From a5dbc23f5ea8f2a9f2b4bae9a24fadd6ab441f7b Mon Sep 17 00:00:00 2001 From: matthias <> Date: Thu, 15 Jan 2009 19:17:56 +0000 Subject: [PATCH] Initial commit --- Basic.coe | 1026 +++ KCPSM3.EXE | Bin 0 -> 90308 bytes Makefile | 45 + NASSYSI.coe | 258 + Nasbugt2.coe | 130 + Nasbugt4.coe | 258 + Naschr-1.coe | 258 + ROM_form.coe | 29 + ROM_form.v | 350 + ROM_form.vhd | 305 + T80.vhd | 1073 +++ T80_ALU.vhd | 351 + T80_MCode.vhd | 1934 ++++ T80_Pack.vhd | 208 + T80_Reg.vhd | 105 + T80_RegX.vhd | 170 + T80a.vhd | 255 + T80s.vhd | 190 + T80se.vhd | 184 + coregen/basic_rom.mif | 8192 +++++++++++++++++ coregen/basic_rom.ngc | 3 + coregen/basic_rom.vhd | 121 + coregen/basic_rom.vho | 58 + coregen/basic_rom.xco | 78 + .../basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj | 24 + coregen/basic_rom_flist.txt | 9 + coregen/basic_rom_readme.txt | 45 + coregen/basic_rom_xmdf.tcl | 72 + coregen/blk_mem_gen_ds512.pdf | Bin 0 -> 1349149 bytes coregen/blk_mem_gen_v2_6.mif | 2048 +++++ coregen/blk_mem_gen_v2_6.ngc | 3 + coregen/blk_mem_gen_v2_6.vhd | 118 + coregen/blk_mem_gen_v2_6.vho | 56 + coregen/blk_mem_gen_v2_6.xco | 78 + ...m_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj | 24 + coregen/blk_mem_gen_v2_6_flist.txt | 9 + coregen/blk_mem_gen_v2_6_readme.txt | 45 + coregen/blk_mem_gen_v2_6_xmdf.tcl | 72 + coregen/charrom.mif | 2048 +++++ coregen/charrom.ngc | 3 + coregen/charrom.vhd | 118 + coregen/charrom.vho | 56 + coregen/charrom.xco | 78 + .../charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj | 24 + coregen/charrom_flist.txt | 10 + coregen/charrom_readme.txt | 51 + coregen/charrom_xmdf.tcl | 76 + coregen/coregen.cgp | 20 + coregen/dcm_in50.vhd | 98 + coregen/dcm_in50.xaw | 3 + coregen/dcm_in50_arwz.ucf | 17 + coregen/dist_mem_gen_ds322.pdf | Bin 0 -> 652259 bytes coregen/dist_mem_gen_release_notes.txt | 99 + coregen/distram16x8.ngc | 3 + coregen/distram16x8.vhd | 115 + coregen/distram16x8.vho | 64 + coregen/distram16x8.xco | 63 + coregen/distram16x8_flist.txt | 7 + coregen/distram16x8_readme.txt | 36 + coregen/distram16x8_xmdf.tcl | 64 + coregen/monitorrom.asy | 17 + coregen/monitorrom.mif | 2048 +++++ coregen/monitorrom.ngc | 3 + coregen/monitorrom.sym | 27 + coregen/monitorrom.v | 126 + coregen/monitorrom.veo | 45 + coregen/monitorrom.vhd | 121 + coregen/monitorrom.vhd.bak | 118 + coregen/monitorrom.vho | 58 + coregen/monitorrom.xco | 78 + ...monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj | 24 + coregen/monitorrom_flist.txt | 9 + coregen/monitorrom_readme.txt | 45 + coregen/monitorrom_xmdf.tcl | 72 + coregen/ram2kx8.ngc | 3 + coregen/ram2kx8.vhd | 142 + coregen/ram2kx8.vho | 72 + coregen/ram2kx8.xco | 78 + .../ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj | 24 + coregen/ram2kx8_flist.txt | 8 + coregen/ram2kx8_readme.txt | 39 + coregen/ram2kx8_xmdf.tcl | 68 + dcm_in50_sim.vhd | 49 + fifo16x8.vhd | 72 + kcpsm3.vhd | 1901 ++++ keyboard.vhd | 206 + memory.vhd | 128 + nascom2.prj | 23 + nascom2.ucf | 159 + nascom2.xst | 15 + nascom2_t80.mpf | 288 + nascom2_vhdl.prj | 23 + spi.vhd | 99 + syncgen.vhd | 87 + test.coe | 9 + textgen.vhd | 104 + toplevel.vhd | 318 + toplevel_tb.vhd | 155 + uart.vhd | 259 + uart_prog.psm | 2 + uartprog.psm | 445 + video.vhd | 121 + videogen.vhd | 132 + vsim.wlf | Bin 0 -> 40960 bytes 104 files changed, 29057 insertions(+) create mode 100755 Basic.coe create mode 100755 KCPSM3.EXE create mode 100755 Makefile create mode 100755 NASSYSI.coe create mode 100755 Nasbugt2.coe create mode 100755 Nasbugt4.coe create mode 100755 Naschr-1.coe create mode 100755 ROM_form.coe create mode 100755 ROM_form.v create mode 100755 ROM_form.vhd create mode 100755 T80.vhd create mode 100755 T80_ALU.vhd create mode 100755 T80_MCode.vhd create mode 100755 T80_Pack.vhd create mode 100755 T80_Reg.vhd create mode 100755 T80_RegX.vhd create mode 100755 T80a.vhd create mode 100755 T80s.vhd create mode 100755 T80se.vhd create mode 100755 coregen/basic_rom.mif create mode 100755 coregen/basic_rom.ngc create mode 100755 coregen/basic_rom.vhd create mode 100755 coregen/basic_rom.vho create mode 100755 coregen/basic_rom.xco create mode 100755 coregen/basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj create mode 100755 coregen/basic_rom_flist.txt create mode 100755 coregen/basic_rom_readme.txt create mode 100755 coregen/basic_rom_xmdf.tcl create mode 100755 coregen/blk_mem_gen_ds512.pdf create mode 100755 coregen/blk_mem_gen_v2_6.mif create mode 100755 coregen/blk_mem_gen_v2_6.ngc create mode 100755 coregen/blk_mem_gen_v2_6.vhd create mode 100755 coregen/blk_mem_gen_v2_6.vho create mode 100755 coregen/blk_mem_gen_v2_6.xco create mode 100755 coregen/blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj create mode 100755 coregen/blk_mem_gen_v2_6_flist.txt create mode 100755 coregen/blk_mem_gen_v2_6_readme.txt create mode 100755 coregen/blk_mem_gen_v2_6_xmdf.tcl create mode 100755 coregen/charrom.mif create mode 100755 coregen/charrom.ngc create mode 100755 coregen/charrom.vhd create mode 100755 coregen/charrom.vho create mode 100755 coregen/charrom.xco create mode 100755 coregen/charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj create mode 100755 coregen/charrom_flist.txt create mode 100755 coregen/charrom_readme.txt create mode 100755 coregen/charrom_xmdf.tcl create mode 100755 coregen/coregen.cgp create mode 100755 coregen/dcm_in50.vhd create mode 100755 coregen/dcm_in50.xaw create mode 100755 coregen/dcm_in50_arwz.ucf create mode 100755 coregen/dist_mem_gen_ds322.pdf create mode 100755 coregen/dist_mem_gen_release_notes.txt create mode 100755 coregen/distram16x8.ngc create mode 100755 coregen/distram16x8.vhd create mode 100755 coregen/distram16x8.vho create mode 100755 coregen/distram16x8.xco create mode 100755 coregen/distram16x8_flist.txt create mode 100755 coregen/distram16x8_readme.txt create mode 100755 coregen/distram16x8_xmdf.tcl create mode 100755 coregen/monitorrom.asy create mode 100755 coregen/monitorrom.mif create mode 100755 coregen/monitorrom.ngc create mode 100755 coregen/monitorrom.sym create mode 100755 coregen/monitorrom.v create mode 100755 coregen/monitorrom.veo create mode 100755 coregen/monitorrom.vhd create mode 100755 coregen/monitorrom.vhd.bak create mode 100755 coregen/monitorrom.vho create mode 100755 coregen/monitorrom.xco create mode 100755 coregen/monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj create mode 100755 coregen/monitorrom_flist.txt create mode 100755 coregen/monitorrom_readme.txt create mode 100755 coregen/monitorrom_xmdf.tcl create mode 100755 coregen/ram2kx8.ngc create mode 100755 coregen/ram2kx8.vhd create mode 100755 coregen/ram2kx8.vho create mode 100755 coregen/ram2kx8.xco create mode 100755 coregen/ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj create mode 100755 coregen/ram2kx8_flist.txt create mode 100755 coregen/ram2kx8_readme.txt create mode 100755 coregen/ram2kx8_xmdf.tcl create mode 100755 dcm_in50_sim.vhd create mode 100755 fifo16x8.vhd create mode 100755 kcpsm3.vhd create mode 100755 keyboard.vhd create mode 100755 memory.vhd create mode 100755 nascom2.prj create mode 100755 nascom2.ucf create mode 100755 nascom2.xst create mode 100755 nascom2_t80.mpf create mode 100755 nascom2_vhdl.prj create mode 100755 spi.vhd create mode 100755 syncgen.vhd create mode 100755 test.coe create mode 100755 textgen.vhd create mode 100755 toplevel.vhd create mode 100755 toplevel_tb.vhd create mode 100755 uart.vhd create mode 100755 uart_prog.psm create mode 100755 uartprog.psm create mode 100755 video.vhd create mode 100755 videogen.vhd create mode 100755 vsim.wlf diff --git a/Basic.coe b/Basic.coe new file mode 100755 index 0000000..c98f8c8 --- /dev/null +++ b/Basic.coe @@ -0,0 +1,1026 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +C3, 03, E0, F3, DD, 21, 00, 00, +C3, 12, E0, 8B, E9, F2, F0, C3, +3C, E7, 21, 00, 10, F9, C3, BB, +FE, 11, DF, E2, 06, 63, 21, 00, +10, 1A, 77, 23, 13, 05, C2, 21, +E0, F9, CD, DF, E4, CD, 81, EB, +32, AA, 10, 32, F9, 10, 21, 03, +E1, CD, 10, F2, CD, FC, E4, CD, +36, E8, B7, C2, 5B, E0, 21, 5D, +11, 23, 7C, B5, CA, 6D, E0, 7E, +47, 2F, 77, BE, 70, CA, 49, E0, +C3, 6D, E0, CD, A5, E9, B7, C2, +AD, E3, EB, 2B, 3E, D9, 46, 77, +BE, 70, C2, 36, E0, 2B, 11, 5C, +11, CD, 8A, E6, DA, 36, E0, 00, +00, 00, 00, 00, 00, 00, 00, 00, +11, CE, FF, 22, AF, 10, 19, 22, +5A, 10, CD, BA, E4, 2A, 5A, 10, +11, EF, FF, 19, 11, F9, 10, 7D, +93, 6F, 7C, 9A, 67, E5, 21, C5, +E0, CD, 10, F2, E1, CD, AD, F9, +21, B7, E0, CD, 10, F2, 31, 66, +10, CD, DF, E4, C3, F8, E3, 20, +42, 79, 74, 65, 73, 20, 66, 72, +65, 65, 0D, 00, 00, 4E, 41, 53, +43, 4F, 4D, 20, 52, 4F, 4D, 20, +42, 41, 53, 49, 43, 20, 56, 65, +72, 20, 34, 2E, 37, 20, 20, 20, +0D, 43, 6F, 70, 79, 72, 69, 67, +68, 74, 20, 28, 43, 29, 20, 31, +39, 37, 38, 20, 62, 79, 20, 4D, +69, 63, 72, 6F, 73, 6F, 66, 74, +0D, 00, 00, 4D, 65, 6D, 6F, 72, +79, 20, 73, 69, 7A, 65, 00, 22, +F8, E6, F8, 38, F8, 03, 10, D0, +F0, 41, F4, FE, F0, AC, FA, 8B, +FB, C7, F6, FA, FA, 00, FC, 06, +FC, 67, FC, 7C, FC, A3, F5, BC, +FD, 51, 10, 82, F3, 9A, F1, 1C, +F4, 91, F3, A2, F3, B2, F3, E2, +F3, EC, F3, C5, 4E, 44, C6, 4F, +52, CE, 45, 58, 54, C4, 41, 54, +41, C9, 4E, 50, 55, 54, C4, 49, +4D, D2, 45, 41, 44, CC, 45, 54, +C7, 4F, 54, 4F, D2, 55, 4E, C9, +46, D2, 45, 53, 54, 4F, 52, 45, +C7, 4F, 53, 55, 42, D2, 45, 54, +55, 52, 4E, D2, 45, 4D, D3, 54, +4F, 50, CF, 55, 54, CF, 4E, CE, +55, 4C, 4C, D7, 41, 49, 54, C4, +45, 46, D0, 4F, 4B, 45, C4, 4F, +4B, 45, D3, 43, 52, 45, 45, 4E, +CC, 49, 4E, 45, 53, C3, 4C, 53, +D7, 49, 44, 54, 48, CD, 4F, 4E, +49, 54, 4F, 52, D3, 45, 54, D2, +45, 53, 45, 54, D0, 52, 49, 4E, +54, C3, 4F, 4E, 54, CC, 49, 53, +54, C3, 4C, 45, 41, 52, C3, 4C, +4F, 41, 44, C3, 53, 41, 56, 45, +CE, 45, 57, D4, 41, 42, 28, D4, +4F, C6, 4E, D3, 50, 43, 28, D4, +48, 45, 4E, CE, 4F, 54, D3, 54, +45, 50, AB, AD, AA, AF, DE, C1, +4E, 44, CF, 52, BE, BD, BC, D3, +47, 4E, C9, 4E, 54, C1, 42, 53, +D5, 53, 52, C6, 52, 45, C9, 4E, +50, D0, 4F, 53, D3, 51, 52, D2, +4E, 44, CC, 4F, 47, C5, 58, 50, +C3, 4F, 53, D3, 49, 4E, D4, 41, +4E, C1, 54, 4E, D0, 45, 45, 4B, +C4, 45, 45, 4B, D0, 4F, 49, 4E, +54, CC, 45, 4E, D3, 54, 52, 24, +D6, 41, 4C, C1, 53, 43, C3, 48, +52, 24, CC, 45, 46, 54, 24, D2, +49, 47, 48, 54, 24, CD, 49, 44, +24, 80, 72, E8, 79, E7, F6, EC, +70, EA, FD, EB, 28, EF, 2C, EC, +87, EA, 2D, EA, 10, EA, FF, EA, +46, E8, 1C, EA, 4B, EA, 72, EA, +70, E8, 4D, F4, E1, EA, B1, E8, +53, F4, 06, F1, AA, F5, C7, FD, +E6, FD, AD, FD, 8B, FD, A5, FD, +A2, FE, 54, 10, 57, 10, 23, EB, +9E, E8, DD, E6, CA, E9, F9, F4, +C3, F4, B9, E4, 79, 94, F9, 79, +C8, F5, 7C, 06, F7, 7C, 67, F7, +7F, B5, FA, 50, 81, EE, 46, 80, +EE, 4E, 46, 53, 4E, 52, 47, 4F, +44, 46, 43, 4F, 56, 4F, 4D, 55, +4C, 42, 53, 44, 44, 2F, 30, 49, +44, 54, 4D, 4F, 53, 4C, 53, 53, +54, 43, 4E, 55, 46, 4D, 4F, C3, +AE, E0, C3, A0, E9, D3, 00, C9, +D6, 00, 6F, 7C, DE, 00, 67, 78, +DE, 00, 47, 3E, 00, C9, 00, 00, +00, 35, 4A, CA, 99, 39, 1C, 76, +98, 22, 95, B3, 98, 0A, DD, 47, +98, 53, D1, 99, 99, 0A, 1A, 9F, +98, 65, BC, CD, 98, D6, 77, 3E, +98, 52, C7, 4F, 80, DB, 00, C9, +01, 2F, 1C, 00, 00, 05, 00, 05, +00, 00, 00, 00, 00, C3, 07, E6, +C3, 79, FF, C3, 40, FF, C3, 55, +FF, 5D, 11, FE, FF, FA, 10, 20, +45, 72, 72, 6F, 72, 00, 20, 69, +6E, 20, 00, 4F, 6B, 0D, 00, 00, +42, 72, 65, 61, 6B, 00, 21, 04, +00, 39, 7E, 23, FE, 81, C0, 4E, +23, 46, 23, E5, 69, 60, 7A, B3, +EB, CA, 70, E3, EB, CD, 8A, E6, +01, 0D, 00, E1, C8, 09, C3, 5A, +E3, CD, 93, E3, C5, E3, C1, CD, +8A, E6, 7E, 02, C8, 0B, 2B, C3, +7F, E3, E5, 2A, DA, 10, 06, 00, +09, 09, 3E, E5, 3E, D0, 95, 6F, +3E, FF, 9C, DA, A2, E3, 67, 39, +E1, D8, 1E, 0C, C3, C1, E3, 2A, +C9, 10, 22, 5C, 10, 1E, 02, 01, +1E, 14, 01, 1E, 00, 01, 1E, 12, +01, 1E, 22, 01, 1E, 0A, 01, 1E, +18, CD, DF, E4, 32, 45, 10, CD, +74, EB, 21, B9, E2, 57, 3E, 3F, +CD, 9B, E6, 19, 7E, CD, 9B, E6, +CD, 36, E8, CD, 9B, E6, 21, 3F, +E3, CD, 10, F2, 2A, 5C, 10, 11, +FE, FF, CD, 8A, E6, CA, 12, E0, +7C, A5, 3C, C4, A5, F9, 3E, C1, +AF, 32, 45, 10, CD, 74, EB, 21, +4B, E3, CD, 10, F2, 21, FF, FF, +22, 5C, 10, CD, F2, E5, DA, 05, +E4, CD, 36, E8, 3C, 3D, CA, 05, +E4, F5, CD, A5, E9, D5, CD, 09, +E5, 47, D1, F1, D2, 16, E8, D5, +C5, AF, 32, CC, 10, CD, 36, E8, +B7, F5, CD, 99, E4, DA, 3E, E4, +F1, F5, CA, 46, EA, B7, C5, D2, +55, E4, EB, 2A, D6, 10, 1A, 02, +03, 13, CD, 8A, E6, C2, 46, E4, +60, 69, 22, D6, 10, D1, F1, CA, +7C, E4, 2A, D6, 10, E3, C1, 09, +E5, CD, 79, E3, E1, 22, D6, 10, +EB, 74, D1, 23, 23, 73, 23, 72, +23, 11, 61, 10, 1A, 77, 23, 13, +B7, C2, 74, E4, CD, C5, E4, 23, +EB, 62, 6B, 7E, 23, B6, CA, 05, +E4, 23, 23, 23, AF, BE, 23, C2, +8D, E4, EB, 73, 23, 72, C3, 81, +E4, 2A, 5E, 10, 44, 4D, 7E, 23, +B6, 2B, C8, 23, 23, 7E, 23, 66, +6F, CD, 8A, E6, 60, 69, 7E, 23, +66, 6F, 3F, C8, 3F, D0, C3, 9C, +E4, C0, 2A, 5E, 10, AF, 77, 23, +77, 23, 22, D6, 10, 2A, 5E, 10, +2B, 22, CE, 10, 2A, AF, 10, 22, +C3, 10, AF, CD, 46, E8, 2A, D6, +10, 22, D8, 10, 22, DA, 10, C1, +2A, 5A, 10, F9, 21, B3, 10, 22, +B1, 10, AF, 6F, 67, 22, D4, 10, +32, CB, 10, 22, DE, 10, E5, C5, +2A, CE, 10, C9, 3E, 3F, CD, 9B, +E6, 3E, 20, CD, 9B, E6, C3, 4E, +10, AF, 32, AE, 10, 0E, 05, 11, +61, 10, 7E, FE, 20, CA, 91, E5, +47, FE, 22, CA, B1, E5, B7, CA, +B8, E5, 3A, AE, 10, B7, 7E, C2, +91, E5, FE, 3F, 3E, 9E, CA, 91, +E5, 7E, FE, 30, DA, 3C, E5, FE, +3C, DA, 91, E5, D5, 11, 42, E1, +C5, 01, 8D, E5, C5, 06, 7F, 7E, +FE, 61, DA, 55, E5, FE, 7B, D2, +55, E5, E6, 5F, 77, 4E, EB, 23, +B6, F2, 57, E5, 04, 7E, E6, 7F, +C8, B9, C2, 57, E5, EB, E5, 13, +1A, B7, FA, 89, E5, 4F, 78, FE, +88, C2, 78, E5, CD, 36, E8, 2B, +23, 7E, FE, 61, DA, 81, E5, E6, +5F, B9, CA, 67, E5, E1, C3, 55, +E5, 48, F1, EB, C9, EB, 79, C1, +D1, 23, 12, 13, 0C, D6, 3A, CA, +9F, E5, FE, 49, C2, A2, E5, 32, +AE, 10, D6, 54, C2, 12, E5, 47, +7E, B7, CA, B8, E5, B8, CA, 91, +E5, 23, 12, 0C, 13, C3, A8, E5, +21, 60, 10, 12, 13, 12, 13, 12, +C9, 3A, 44, 10, B7, 3E, 00, 32, +44, 10, C2, D5, E5, 05, CA, F2, +E5, CD, 9B, E6, 3E, 05, 2B, CA, +E9, E5, 7E, CD, 9B, E6, C3, 10, +E6, 05, 2B, CD, 9B, E6, C2, 10, +E6, CD, 9B, E6, CD, 81, EB, C3, +07, E6, CD, 6D, FE, CA, 07, E6, +2A, 75, 0C, 7E, FE, 74, CA, 07, +E6, CD, E8, FE, C3, 86, EB, 21, +61, 10, 06, 01, AF, 32, 44, 10, +CD, CC, E6, 4F, FE, 7F, CA, C1, +E5, 3A, 44, 10, B7, CA, 29, E6, +3E, 00, CD, 9B, E6, AF, 32, 44, +10, 79, FE, 07, CA, 6D, E6, FE, +03, CC, 81, EB, 37, C8, FE, 0D, +CA, 7C, EB, FE, 15, CA, EC, E5, +FE, 40, CA, E9, E5, FE, 5F, CA, +E1, E5, FE, 08, CA, E1, E5, FE, +12, C2, 68, E6, C5, D5, E5, 36, +00, CD, F4, FF, 21, 61, 10, CD, +10, F2, E1, D1, C1, C3, 10, E6, +FE, 20, DA, 10, E6, 78, FE, 49, +3E, 07, D2, 82, E6, 79, 71, 32, +CC, 10, 23, 04, CD, 9B, E6, C3, +10, E6, CD, 9B, E6, 3E, 08, C3, +7C, E6, 7C, 92, C0, 7D, 93, C9, +7E, E3, BE, 23, E3, CA, 36, E8, +C3, AD, E3, F5, 3A, 45, 10, B7, +C2, 45, F2, F1, C5, F5, FE, 20, +DA, BF, E6, 3A, 42, 10, 47, 3A, +AB, 10, 04, CA, BB, E6, 05, B8, +CC, 81, EB, 3C, 32, AB, 10, F1, +C1, F5, F1, F5, C5, 4F, CD, D9, +FC, C1, F1, C9, CD, 05, FD, E6, +7F, FE, 0F, C0, 3A, 45, 10, 2F, +32, 45, 10, AF, C9, CD, A5, E9, +C0, C1, CD, 99, E4, C5, CD, 33, +E7, E1, 4E, 23, 46, 23, 78, B1, +CA, F8, E3, CD, 46, E7, CD, 61, +E8, C5, CD, 81, EB, 5E, 23, 56, +23, E5, EB, CD, AD, F9, 3E, 20, +E1, CD, 9B, E6, 7E, B7, 23, CA, +E9, E6, F2, 09, E7, D6, 7F, 4F, +11, 43, E1, 1A, 13, B7, F2, 1B, +E7, 0D, C2, 1B, E7, E6, 7F, CD, +9B, E6, 1A, 13, B7, F2, 25, E7, +C3, 0C, E7, E5, 2A, 48, 10, 22, +46, 10, E1, C9, 21, DE, FE, 22, +7E, 0C, C3, F8, E3, FE, E5, D5, +2A, 46, 10, 11, FF, FF, ED, 5A, +22, 46, 10, D1, E1, F0, E5, 2A, +48, 10, 22, 46, 10, 3A, 4C, 10, +B7, C2, E5, FE, CD, 05, FD, FE, +03, CA, 70, E7, E1, C3, 46, E7, +2A, 48, 10, 22, 46, 10, C3, B1, +E0, 3E, 64, 32, CB, 10, CD, 87, +EA, C1, E5, CD, 70, EA, 22, C7, +10, 21, 02, 00, 39, CD, 5A, E3, +D1, C2, A9, E7, 09, D5, 2B, 56, +2B, 5E, 23, 23, E5, 2A, C7, 10, +CD, 8A, E6, E1, C2, 8D, E7, D1, +F9, EB, 0E, 08, CD, 8A, E3, E5, +2A, C7, 10, E3, E5, 2A, 5C, 10, +E3, CD, 44, ED, CD, 90, E6, A6, +CD, 41, ED, E5, CD, 5F, F8, E1, +C5, D5, 01, 00, 81, 51, 5A, 7E, +FE, AB, 3E, 01, C2, E5, E7, CD, +36, E8, CD, 41, ED, E5, CD, 5F, +F8, CD, 13, F8, E1, C5, D5, F5, +33, E5, 2A, CE, 10, E3, 06, 81, +C5, 33, CD, 40, FD, B7, C4, 66, +E8, 22, CE, 10, 7E, FE, 3A, CA, +16, E8, B7, C2, AD, E3, 23, 7E, +23, B6, CA, 7A, E8, 23, 5E, 23, +56, EB, 22, 5C, 10, EB, CD, 36, +E8, 11, F2, E7, D5, C8, D6, 80, +DA, 87, EA, FE, 25, D2, AD, E3, +07, 4F, 06, 00, EB, 21, 5A, E2, +09, 4E, 23, 46, C5, EB, 23, 7E, +FE, 3A, D0, FE, 20, CA, 36, E8, +FE, 30, 3F, 3C, 3D, C9, EB, 2A, +5E, 10, CA, 5B, E8, EB, CD, A5, +E9, E5, CD, 99, E4, 60, 69, D1, +D2, 46, EA, 2B, 22, DC, 10, EB, +C9, CD, 40, FD, B7, C8, CD, CC, +E6, FE, 13, CC, CC, E6, FE, 03, +C0, F6, C0, 22, CE, 10, 21, F6, +FF, C1, 2A, 5C, 10, F5, 7D, A4, +3C, CA, 8D, E8, 22, D2, 10, 2A, +CE, 10, 22, D4, 10, AF, 32, 45, +10, CD, 74, EB, F1, 21, 50, E3, +C2, E1, E3, C3, F8, E3, 2A, D4, +10, 7C, B5, 1E, 20, CA, C1, E3, +EB, 2A, D2, 10, 22, 5C, 10, EB, +C9, CD, 84, F4, C0, 32, 41, 10, +C9, 06, FF, CD, 36, E8, 78, 32, +CE, 10, 3E, 01, 32, CB, 10, CD, +2D, EF, E5, 32, CB, 10, 60, 69, +0B, 0B, 0B, 0B, 3A, CE, 10, B7, +F5, EB, 19, EB, 4E, 06, 00, 09, +09, 23, E5, D5, C5, 3A, CE, 10, +FE, FF, CC, D5, FC, 3A, CE, 10, +FE, FF, C4, C8, FC, 00, 00, 00, +21, 00, 00, 22, 4A, 10, C1, D1, +E1, 06, D2, C3, D6, FF, 78, CD, +B7, F4, CD, B7, F4, C3, 1D, E9, +0E, 04, CD, B4, F4, B8, C2, 10, +E9, 0D, C2, 12, E9, CD, 44, ED, +CD, 8A, E6, CA, 37, E9, F1, F5, +7E, F4, BA, F4, FC, B4, F4, 77, +CD, 40, E9, 23, C3, 20, E9, CD, +4D, E9, CD, D5, FC, F1, E1, C9, +E5, 2A, 4A, 10, 06, 00, 4F, 09, +22, 4A, 10, E1, C9, 3A, CE, 10, +B7, FA, 60, E9, 3A, 4A, 10, CD, +BA, F4, 3A, 4B, 10, C3, BA, F4, +CD, B4, F4, F5, CD, B4, F4, C1, +58, 57, 2A, 4A, 10, CD, 8A, E6, +C8, CD, D5, FC, C3, 6B, F5, 7E, +FE, 41, D8, FE, 5B, 3F, C9, CD, +36, E8, CD, 41, ED, CD, 13, F8, +FA, A0, E9, 3A, E7, 10, FE, 90, +DA, BB, F8, 01, 80, 90, 11, 00, +00, E5, CD, 8E, F8, E1, 51, C8, +1E, 08, C3, C1, E3, 2B, 11, 00, +00, CD, 36, E8, D0, E5, F5, 21, +98, 19, CD, 8A, E6, DA, AD, E3, +62, 6B, 19, 29, 19, 29, F1, D6, +30, 5F, 16, 00, 19, EB, E1, C3, +A9, E9, CA, C9, E4, CD, 82, E9, +2B, CD, 36, E8, E5, 2A, AF, 10, +CA, ED, E9, E1, CD, 90, E6, 2C, +D5, CD, 82, E9, 2B, CD, 36, E8, +C2, AD, E3, E3, EB, 7D, 93, 5F, +7C, 9A, 57, DA, A2, E3, E5, 2A, +D6, 10, 01, 28, 00, 09, CD, 8A, +E6, D2, A2, E3, EB, 22, 5A, 10, +E1, 22, AF, 10, E1, C3, C9, E4, +CA, C5, E4, CD, C9, E4, 01, F2, +E7, C3, 2C, EA, 0E, 03, CD, 8A, +E3, C1, E5, E5, 2A, 5C, 10, E3, +3E, 8C, F5, 33, C5, CD, A5, E9, +CD, 72, EA, E5, 2A, 5C, 10, CD, +8A, E6, E1, 23, DC, 9C, E4, D4, +99, E4, 60, 69, 2B, D8, 1E, 0E, +C3, C1, E3, C0, 16, FF, CD, 56, +E3, F9, FE, 8C, 1E, 04, C2, C1, +E3, E1, 22, 5C, 10, 23, 7C, B5, +C2, 6A, EA, 3A, CC, 10, B7, C2, +F7, E3, 21, F2, E7, E3, 3E, E1, +01, 3A, 0E, 00, 06, 00, 79, 48, +47, 7E, B7, C8, B8, C8, 23, FE, +22, CA, 76, EA, C3, 79, EA, CD, +2D, EF, CD, 90, E6, B4, D5, 3A, +AD, 10, F5, CD, 5A, ED, F1, E3, +22, CE, 10, 1F, CD, 46, ED, CA, +DA, EA, E5, 2A, E4, 10, E5, 23, +23, 5E, 23, 56, 2A, 5E, 10, CD, +8A, E6, D2, C9, EA, 2A, 5A, 10, +CD, 8A, E6, D1, D2, D1, EA, 21, +BF, 10, CD, 8A, E6, D2, D1, EA, +3E, D1, CD, 71, F3, EB, CD, AA, +F1, CD, 71, F3, E1, CD, 6E, F8, +E1, C9, E5, CD, 6B, F8, D1, E1, +C9, CD, 84, F4, 7E, 47, FE, 8C, +CA, F0, EA, CD, 90, E6, 88, 2B, +4B, 0D, 78, CA, 1E, E8, CD, A6, +E9, FE, 2C, C0, C3, F1, EA, CD, +5A, ED, 7E, FE, 88, CA, 0D, EB, +CD, 90, E6, A9, 2B, CD, 44, ED, +CD, 13, F8, CA, 72, EA, CD, 36, +E8, DA, 2D, EA, C3, 1D, E8, 2B, +CD, 36, E8, CA, 81, EB, C8, FE, +A5, CA, AF, EB, FE, A8, CA, AF, +EB, E5, FE, 2C, CA, 98, EB, FE, +3B, CA, D2, EB, C1, CD, 5A, ED, +E5, 3A, AD, 10, B7, C2, 6D, EB, +CD, B8, F9, CD, CE, F1, 36, 20, +2A, E4, 10, 34, 2A, E4, 10, 3A, +42, 10, 47, 04, CA, 69, EB, 04, +3A, AB, 10, 86, 3D, B8, D4, 81, +EB, CD, 13, F2, AF, C4, 13, F2, +E1, C3, 1F, EB, 3A, AB, 10, B7, +C8, C3, 81, EB, 36, 00, 21, 60, +10, 3E, 0D, CD, 9B, E6, AF, 32, +AB, 10, 3A, 41, 10, 3D, C8, F5, +AF, CD, 9B, E6, F1, C3, 8D, EB, +3A, 43, 10, 47, 3A, AB, 10, B8, +D4, 81, EB, D2, D2, EB, D6, 0E, +D2, A6, EB, 2F, C3, C7, EB, F5, +CD, 81, F4, CD, 90, E6, 29, 2B, +F1, D6, A8, E5, CA, C2, EB, 3A, +AB, 10, 2F, 83, D2, D2, EB, 3C, +47, 3E, 20, CD, 9B, E6, 05, C2, +CB, EB, E1, CD, 36, E8, C3, 26, +EB, 3F, 52, 65, 64, 6F, 20, 66, +72, 6F, 6D, 20, 73, 74, 61, 72, +74, 0D, 0A, 00, 3A, CD, 10, B7, +C2, A7, E3, C1, 21, D9, EB, CD, +10, F2, C3, F8, E4, CD, 7B, F1, +7E, FE, 22, 3E, 00, 32, 45, 10, +C2, 17, EC, CD, CF, F1, CD, 90, +E6, 3B, E5, CD, 13, F2, 3E, E5, +CD, FC, E4, C1, DA, 77, E8, 23, +7E, B7, 2B, C5, CA, 6F, EA, 36, +2C, C3, 31, EC, E5, 2A, DC, 10, +F6, AF, 32, CD, 10, E3, C3, 3D, +EC, CD, 90, E6, 2C, CD, 2D, EF, +E3, D5, 7E, FE, 2C, CA, 65, EC, +3A, CD, 10, B7, C2, D2, EC, 3E, +3F, CD, 9B, E6, CD, FC, E4, D1, +C1, DA, 77, E8, 23, 7E, B7, 2B, +C5, CA, 6F, EA, D5, 3A, AD, 10, +B7, CA, 8F, EC, CD, 36, E8, 57, +47, FE, 22, CA, 83, EC, 3A, CD, +10, B7, 57, CA, 80, EC, 16, 3A, +06, 2C, 2B, CD, D2, F1, EB, 21, +9A, EC, E3, D5, C3, A2, EA, CD, +36, E8, CD, 1A, F9, E3, CD, 6B, +F8, E1, 2B, CD, 36, E8, CA, A6, +EC, FE, 2C, C2, EC, EB, E3, 2B, +CD, 36, E8, C2, 39, EC, D1, 3A, +CD, 10, B7, EB, C2, 5C, E8, D5, +B6, 21, C1, EC, C4, 10, F2, E1, +C9, 3F, 45, 78, 74, 72, 61, 20, +69, 67, 6E, 6F, 72, 65, 64, 0D, +0A, 00, CD, 70, EA, B7, C2, EB, +EC, 23, 7E, 23, B6, 1E, 06, CA, +C1, E3, 23, 5E, 23, 56, EB, 22, +C9, 10, EB, CD, 36, E8, FE, 83, +C2, D2, EC, C3, 65, EC, 11, 00, +00, C4, 2D, EF, 22, CE, 10, CD, +56, E3, C2, B3, E3, F9, D5, 7E, +23, F5, D5, CD, 51, F8, E3, E5, +CD, BE, F5, E1, CD, 6B, F8, E1, +CD, 62, F8, E5, CD, 8E, F8, E1, +C1, 90, CD, 62, F8, CA, 31, ED, +EB, 22, 5C, 10, 69, 60, C3, EE, +E7, F9, 2A, CE, 10, 7E, FE, 2C, +C2, F2, E7, CD, 36, E8, CD, F9, +EC, CD, 5A, ED, F6, 37, 3A, AD, +10, 8F, B7, E8, C3, BF, E3, CD, +90, E6, B4, C3, 5A, ED, CD, 90, +E6, 28, 2B, 16, 00, D5, 0E, 01, +CD, 8A, E3, CD, D1, ED, 22, D0, +10, 2A, D0, 10, C1, 78, FE, 78, +D4, 44, ED, 7E, 16, 00, D6, B3, +DA, 92, ED, FE, 03, D2, 92, ED, +FE, 01, 17, AA, BA, 57, DA, AD, +E3, 22, C5, 10, CD, 36, E8, C3, +76, ED, 7A, B7, C2, A8, EE, 7E, +22, C5, 10, D6, AC, D8, FE, 07, +D0, 5F, 3A, AD, 10, 3D, B3, 7B, +CA, 06, F3, 07, 83, 5F, 21, A4, +E2, 19, 78, 56, BA, D0, 23, CD, +44, ED, C5, 01, 69, ED, C5, 43, +4A, CD, 44, F8, 58, 51, 4E, 23, +46, 23, C5, 2A, C5, 10, C3, 5D, +ED, AF, 32, AD, 10, CD, 36, E8, +1E, 24, CA, C1, E3, DA, 1A, F9, +CD, 77, E9, D2, 22, EE, FE, AC, +CA, D1, ED, FE, 2E, CA, 1A, F9, +FE, AD, CA, 11, EE, FE, 22, CA, +CF, F1, FE, AA, CA, 08, EF, FE, +A7, CA, 33, F1, D6, B6, D2, 33, +EE, CD, 56, ED, CD, 90, E6, 29, +C9, 16, 7D, CD, 5D, ED, 2A, D0, +10, E5, CD, 3C, F8, CD, 44, ED, +E1, C9, CD, 2D, EF, E5, EB, 22, +E4, 10, 3A, AD, 10, B7, CC, 51, +F8, E1, C9, 06, 00, 07, 4F, C5, +CD, 36, E8, 79, FE, 22, CA, 79, +FF, FE, 2D, DA, 5F, EE, CD, 56, +ED, CD, 90, E6, 2C, CD, 45, ED, +EB, 2A, E4, 10, E3, E5, EB, CD, +84, F4, EB, E3, C3, 67, EE, CD, +09, EE, E3, 11, 1D, EE, D5, 01, +0F, E1, 09, 4E, 23, 66, 69, E9, +15, FE, AD, C8, FE, 2D, C8, 14, +FE, 2B, C8, FE, AC, C8, 2B, C9, +F6, AF, F5, CD, 44, ED, CD, 8B, +E9, F1, EB, C1, E3, EB, CD, 54, +F8, F5, CD, 8B, E9, F1, C1, 79, +21, F1, F0, C2, A3, EE, A3, 4F, +78, A2, E9, B3, 4F, 78, B2, E9, +21, BA, EE, 3A, AD, 10, 1F, 7A, +17, 5F, 16, 64, 78, BA, D0, C3, +BA, ED, BC, EE, 79, B7, 1F, C1, +D1, F5, CD, 46, ED, 21, FE, EE, +E5, CA, 8E, F8, AF, 32, AD, 10, +D5, CD, 53, F3, 7E, 23, 23, 4E, +23, 46, D1, C5, F5, CD, 57, F3, +CD, 62, F8, F1, 57, E1, 7B, B2, +C8, 7A, D6, 01, D8, AF, BB, 3C, +D0, 15, 1D, 0A, BE, 23, 03, CA, +E6, EE, 3F, C3, 1E, F8, 3C, 8F, +C1, A0, C6, FF, 9F, C3, 25, F8, +16, 5A, CD, 5D, ED, CD, 44, ED, +CD, 8B, E9, 7B, 2F, 4F, 7A, 2F, +CD, F1, F0, C1, C3, 69, ED, 2B, +CD, 36, E8, C8, CD, 90, E6, 2C, +01, 1F, EF, C5, F6, AF, 32, AC, +10, 46, CD, 77, E9, DA, AD, E3, +AF, 4F, 32, AD, 10, CD, 36, E8, +DA, 49, EF, CD, 77, E9, DA, 56, +EF, 4F, CD, 36, E8, DA, 4A, EF, +CD, 77, E9, D2, 4A, EF, D6, 24, +C2, 65, EF, 3C, 32, AD, 10, 0F, +81, 4F, CD, 36, E8, 3A, CB, 10, +3D, CA, 12, F0, F2, 75, EF, 7E, +D6, 28, CA, EA, EF, AF, 32, CB, +10, E5, 50, 59, 2A, DE, 10, CD, +8A, E6, 11, E0, 10, CA, 54, F7, +2A, D8, 10, EB, 2A, D6, 10, CD, +8A, E6, CA, A8, EF, 79, 96, 23, +C2, 9D, EF, 78, 96, 23, CA, DC, +EF, 23, 23, 23, 23, C3, 8F, EF, +E1, E3, D5, 11, 25, EE, CD, 8A, +E6, D1, CA, DF, EF, E3, E5, C5, +01, 06, 00, 2A, DA, 10, E5, 09, +C1, E5, CD, 79, E3, E1, 22, DA, +10, 60, 69, 22, D8, 10, 2B, 36, +00, CD, 8A, E6, C2, CE, EF, D1, +73, 23, 72, 23, EB, E1, C9, 32, +E7, 10, 21, 4A, E3, 22, E4, 10, +E1, C9, E5, 2A, AC, 10, E3, 57, +D5, C5, CD, 7F, E9, C1, F1, EB, +E3, E5, EB, 3C, 57, 7E, FE, 2C, +CA, F0, EF, CD, 90, E6, 29, 22, +D0, 10, E1, 22, AC, 10, 1E, 00, +D5, 11, E5, F5, 2A, D8, 10, 3E, +19, EB, 2A, DA, 10, EB, CD, 8A, +E6, CA, 4A, F0, 7E, B9, 23, C2, +2C, F0, 7E, B8, 23, 5E, 23, 56, +23, C2, 18, F0, 3A, AC, 10, B7, +C2, B6, E3, F1, 44, 4D, CA, 54, +F7, 96, CA, A8, F0, 1E, 10, C3, +C1, E3, 11, 04, 00, F1, CA, A0, +E9, 71, 23, 70, 23, 4F, CD, 8A, +E3, 23, 23, 22, C5, 10, 71, 23, +3A, AC, 10, 17, 79, 01, 0B, 00, +D2, 6D, F0, C1, 03, 71, 23, 70, +23, F5, E5, CD, FF, F8, EB, E1, +F1, 3D, C2, 65, F0, F5, 42, 4B, +EB, 19, DA, A2, E3, CD, 93, E3, +22, DA, 10, 2B, 36, 00, CD, 8A, +E6, C2, 8B, F0, 03, 57, 2A, C5, +10, 5E, EB, 29, 09, EB, 2B, 2B, +73, 23, 72, 23, F1, DA, CC, F0, +47, 4F, 7E, 23, 16, E1, 5E, 23, +56, 23, E3, F5, CD, 8A, E6, D2, +45, F0, E5, CD, FF, F8, D1, 19, +F1, 3D, 44, 4D, C2, AD, F0, 29, +29, C1, 09, EB, 2A, D0, 10, C9, +2A, DA, 10, EB, 21, 00, 00, 39, +3A, AD, 10, B7, CA, EC, F0, CD, +53, F3, CD, 53, F2, 2A, 5A, 10, +EB, 2A, C3, 10, 7D, 93, 4F, 7C, +9A, 41, 50, 1E, 00, 21, AD, 10, +73, 06, 90, C3, 2A, F8, 3A, AB, +10, 47, AF, C3, F2, F0, CD, 89, +F1, CD, 7B, F1, 01, 70, EA, C5, +D5, CD, 90, E6, 28, CD, 2D, EF, +E5, EB, 2B, 56, 2B, 5E, E1, CD, +44, ED, CD, 90, E6, 29, CD, 90, +E6, B4, 44, 4D, E3, 71, 23, 70, +C3, C8, F1, CD, 89, F1, D5, CD, +09, EE, CD, 44, ED, E3, 5E, 23, +56, 23, 7A, B3, CA, B9, E3, 7E, +23, 66, 6F, E5, 2A, DE, 10, E3, +22, DE, 10, 2A, E2, 10, E5, 2A, +E0, 10, E5, 21, E0, 10, D5, CD, +6B, F8, E1, CD, 41, ED, 2B, CD, +36, E8, C2, AD, E3, E1, 22, E0, +10, E1, 22, E2, 10, E1, 22, DE, +10, E1, C9, E5, 2A, 5C, 10, 23, +7C, B5, E1, C0, 1E, 16, C3, C1, +E3, CD, 90, E6, A7, 3E, 80, 32, +CB, 10, B6, 47, CD, 32, EF, C3, +44, ED, CD, 44, ED, CD, B8, F9, +CD, CE, F1, CD, 53, F3, 01, AE, +F3, C5, 7E, 23, 23, E5, CD, 29, +F2, E1, 4E, 23, 46, CD, C2, F1, +E5, 6F, CD, 46, F3, D1, C9, CD, +29, F2, 21, BF, 10, E5, 77, 23, +23, 73, 23, 72, E1, C9, 2B, 06, +22, 50, E5, 0E, FF, 23, 7E, 0C, +B7, CA, E4, F1, BA, CA, E4, F1, +B8, C2, D5, F1, FE, 22, CC, 36, +E8, E3, 23, EB, 79, CD, C2, F1, +11, BF, 10, 2A, B1, 10, 22, E4, +10, 3E, 01, 32, AD, 10, CD, 6E, +F8, CD, 8A, E6, 22, B1, 10, E1, +7E, C0, 1E, 1E, C3, C1, E3, 23, +CD, CE, F1, CD, 53, F3, CD, 62, +F8, 1C, 1D, C8, 0A, CD, 9B, E6, +FE, 0D, CC, 86, EB, 03, C3, 1A, +F2, B7, 0E, F1, F5, 2A, 5A, 10, +EB, 2A, C3, 10, 2F, 4F, 06, FF, +09, 23, CD, 8A, E6, DA, 47, F2, +22, C3, 10, 23, EB, F1, C9, F1, +1E, 1A, CA, C1, E3, BF, F5, 01, +2B, F2, C5, 2A, AF, 10, 22, C3, +10, 21, 00, 00, E5, 2A, 5A, 10, +E5, 21, B3, 10, EB, 2A, B1, 10, +EB, CD, 8A, E6, 01, 64, F2, C2, +B8, F2, 2A, D6, 10, EB, 2A, D8, +10, EB, CD, 8A, E6, CA, 8B, F2, +7E, 23, 23, B7, CD, BB, F2, C3, +75, F2, C1, EB, 2A, DA, 10, EB, +CD, 8A, E6, CA, E1, F2, CD, 62, +F8, 7B, E5, 09, B7, F2, 8A, F2, +22, C5, 10, E1, 4E, 06, 00, 09, +09, 23, EB, 2A, C5, 10, EB, CD, +8A, E6, CA, 8B, F2, 01, AA, F2, +C5, F6, 80, 7E, 23, 23, 5E, 23, +56, 23, F0, B7, C8, 44, 4D, 2A, +C3, 10, CD, 8A, E6, 60, 69, D8, +E1, E3, CD, 8A, E6, E3, E5, 60, +69, D0, C1, F1, F1, E5, D5, C5, +C9, D1, E1, 7D, B4, C8, 2B, 46, +2B, 4E, E5, 2B, 2B, 6E, 26, 00, +09, 50, 59, 2B, 44, 4D, 2A, C3, +10, CD, 7C, E3, E1, 71, 23, 70, +69, 60, 2B, C3, 56, F2, C5, E5, +2A, E4, 10, E3, CD, D1, ED, E3, +CD, 45, ED, 7E, E5, 2A, E4, 10, +E5, 86, 1E, 1C, DA, C1, E3, CD, +BF, F1, D1, CD, 57, F3, E3, CD, +56, F3, E5, 2A, C1, 10, EB, CD, +3D, F3, CD, 3D, F3, 21, 66, ED, +E3, E5, C3, F0, F1, E1, E3, 7E, +23, 23, 4E, 23, 46, 6F, 2C, 2D, +C8, 0A, 12, 03, 13, C3, 47, F3, +CD, 45, ED, 2A, E4, 10, EB, CD, +71, F3, EB, C0, D5, 50, 59, 1B, +4E, 2A, C3, 10, CD, 8A, E6, C2, +6F, F3, 47, 09, 22, C3, 10, E1, +C9, 2A, B1, 10, 2B, 46, 2B, 4E, +2B, 2B, CD, 8A, E6, C0, 22, B1, +10, C9, 01, 01, F1, C5, CD, 50, +F3, AF, 57, 32, AD, 10, 7E, B7, +C9, 01, 01, F1, C5, CD, 86, F3, +CA, A0, E9, 23, 23, 5E, 23, 56, +1A, C9, 3E, 01, CD, BF, F1, CD, +87, F4, 2A, C1, 10, 73, C1, C3, +F0, F1, CD, 37, F4, AF, E3, 4F, +E5, 7E, B8, DA, C0, F3, 78, 11, +0E, 00, C5, CD, 29, F2, C1, E1, +E5, 23, 23, 46, 23, 66, 68, 06, +00, 09, 44, 4D, CD, C2, F1, 6F, +CD, 46, F3, D1, CD, 57, F3, C3, +F0, F1, CD, 37, F4, D1, D5, 1A, +90, C3, B6, F3, EB, 7E, CD, 3C, +F4, 04, 05, CA, A0, E9, C5, 1E, +FF, FE, 29, CA, 05, F4, CD, 90, +E6, 2C, CD, 84, F4, CD, 90, E6, +29, F1, E3, 01, B8, F3, C5, 3D, +BE, 06, 00, D0, 4F, 7E, 91, BB, +47, D8, 43, C9, CD, 86, F3, CA, +33, F6, 5F, 23, 23, 7E, 23, 66, +6F, E5, 19, 46, 72, E3, C5, 7E, +CD, 1A, F9, C1, E1, 70, C9, EB, +CD, 90, E6, 29, C1, D1, C5, 43, +C9, CD, 87, F4, 32, 3F, 10, CD, +3E, 10, C3, 01, F1, CD, 71, F4, +C3, 06, 10, CD, 71, F4, F5, 1E, +00, 2B, CD, 36, E8, CA, 67, F4, +CD, 90, E6, 2C, CD, 84, F4, C1, +CD, 3E, 10, AB, A0, CA, 68, F4, +C9, CD, 84, F4, 32, 3F, 10, 32, +07, 10, CD, 90, E6, 2C, C3, 84, +F4, CD, 36, E8, CD, 41, ED, CD, +85, E9, 7A, B7, C2, A0, E9, 2B, +CD, 36, E8, 7B, C9, 2A, 5E, 10, +22, D6, 10, 21, 00, 80, 5E, 23, +56, 23, 23, 22, 5E, 10, EB, 22, +AF, 10, 22, 5A, 10, 01, F2, E7, +C5, C3, C5, E4, C3, 56, FD, CD, +BA, F4, F5, C5, 4F, CD, 68, FD, +C1, F1, C9, 06, 01, FE, AE, CA, +BB, E8, CD, 5A, ED, E5, CD, 95, +F3, D5, CD, C8, FC, D1, 3E, D3, +CD, BA, F4, CD, B7, F4, 1A, CD, +BA, F4, 00, 00, 00, 21, D6, 10, +22, 0C, 0C, 2A, D6, 10, 22, 0E, +0C, CD, 73, FE, CD, D8, FC, E1, +C9, 7E, FE, AE, CA, B9, E8, CD, +D1, FF, D6, 9E, CA, 09, F5, AF, +01, 2F, 23, F5, 2B, CD, 36, E8, +3E, 00, CA, 1C, F5, CD, 5A, ED, +CD, 95, F3, 1A, 6F, F1, F5, B7, +67, 22, E4, 10, CC, BA, E4, 2A, +E4, 10, EB, 06, 03, CD, B4, F4, +D6, D3, C2, 2B, F5, 05, C2, 2D, +F5, CD, B4, F4, CD, 74, F5, 1C, +1D, CA, 48, F5, BB, C2, 2B, F5, +00, 00, 00, F1, B7, C2, 5C, F5, +CD, 88, FE, 2A, D6, 10, CD, 93, +E3, C3, 5F, F5, CD, AA, FE, 21, +4B, E3, CD, 10, F2, CD, D8, FC, +C3, 7C, E4, 21, 9D, F5, CD, 10, +F2, C3, E1, E3, C5, E5, D5, F5, +21, 8E, F5, CD, 10, F2, F1, F5, +CD, D9, FC, 21, 94, F5, CD, 10, +F2, F1, D1, E1, C1, C9, 46, 69, +6C, 65, 20, 00, 20, 46, 6F, 75, +6E, 64, 0D, 0A, 00, 42, 61, 64, +00, 00, 00, CD, 8B, E9, 1A, C3, +01, F1, CD, 41, ED, CD, 8B, E9, +D5, CD, 90, E6, 2C, CD, 84, F4, +D1, 12, C9, 21, 91, FA, CD, 62, +F8, C3, CD, F5, CD, 62, F8, 21, +C1, D1, CD, 3C, F8, 78, B7, C8, +3A, E7, 10, B7, CA, 54, F8, 90, +D2, E7, F5, 2F, 3C, EB, CD, 44, +F8, EB, CD, 54, F8, C1, D1, FE, +19, D0, F5, CD, 79, F8, 67, F1, +CD, 92, F6, B4, 21, E4, 10, F2, +0D, F6, CD, 72, F6, D2, 53, F6, +23, 34, CA, BC, E3, 2E, 01, CD, +A8, F6, C3, 53, F6, AF, 90, 47, +7E, 9B, 5F, 23, 7E, 9A, 57, 23, +7E, 99, 4F, DC, 7E, F6, 68, 63, +AF, 47, 79, B7, C2, 40, F6, 4A, +54, 65, 6F, 78, D6, 08, FE, E0, +C2, 21, F6, AF, 32, E7, 10, C9, +05, 29, 7A, 17, 57, 79, 8F, 4F, +F2, 38, F6, 78, 5C, 45, B7, CA, +53, F6, 21, E7, 10, 86, 77, D2, +33, F6, C8, 78, 21, E7, 10, B7, +FC, 65, F6, 46, 23, 7E, E6, 80, +A9, 4F, C3, 54, F8, 1C, C0, 14, +C0, 0C, C0, 0E, 80, 34, C0, C3, +BC, E3, 7E, 83, 5F, 23, 7E, 8A, +57, 23, 7E, 89, 4F, C9, 21, E8, +10, 7E, 2F, 77, AF, 6F, 90, 47, +7D, 9B, 5F, 7D, 9A, 57, 7D, 99, +4F, C9, 06, 00, D6, 08, DA, A1, +F6, 43, 5A, 51, 0E, 00, C3, 94, +F6, C6, 09, 6F, AF, 2D, C8, 79, +1F, 4F, 7A, 1F, 57, 7B, 1F, 5F, +78, 1F, 47, C3, A4, F6, 00, 00, +00, 81, 03, AA, 56, 19, 80, F1, +22, 76, 80, 45, AA, 38, 82, CD, +13, F8, B7, EA, A0, E9, 21, E7, +10, 7E, 01, 35, 80, 11, F3, 04, +90, F5, 70, D5, C5, CD, CD, F5, +C1, D1, 04, CD, 69, F7, 21, B6, +F6, CD, C4, F5, 21, BA, F6, CD, +5B, FB, 01, 80, 80, 11, 00, 00, +CD, CD, F5, F1, CD, 8E, F9, 01, +31, 80, 11, 18, 72, 21, C1, D1, +CD, 13, F8, C8, 2E, 00, CD, D1, +F7, 79, 32, F6, 10, EB, 22, F7, +10, 01, 00, 00, 50, 58, 21, 1E, +F6, E5, 21, 2A, F7, E5, E5, 21, +E4, 10, 7E, 23, B7, CA, 56, F7, +E5, 2E, 08, 1F, 67, 79, D2, 44, +F7, E5, 2A, F7, 10, 19, EB, E1, +3A, F6, 10, 89, 1F, 4F, 7A, 1F, +57, 7B, 1F, 5F, 78, 1F, 47, 2D, +7C, C2, 33, F7, E1, C9, 43, 5A, +51, 4F, C9, CD, 44, F8, 01, 20, +84, 11, 00, 00, CD, 54, F8, C1, +D1, CD, 13, F8, CA, B0, E3, 2E, +FF, CD, D1, F7, 34, 34, 2B, 7E, +32, 12, 10, 2B, 7E, 32, 0E, 10, +2B, 7E, 32, 0A, 10, 41, EB, AF, +4F, 57, 5F, 32, 15, 10, E5, C5, +7D, CD, 09, 10, DE, 00, 3F, D2, +A1, F7, 32, 15, 10, F1, F1, 37, +D2, C1, E1, 79, 3C, 3D, 1F, FA, +54, F6, 17, 7B, 17, 5F, 7A, 17, +57, 79, 17, 4F, 29, 78, 17, 47, +3A, 15, 10, 17, 32, 15, 10, 79, +B2, B3, C2, 8E, F7, E5, 21, E7, +10, 35, E1, C2, 8E, F7, C3, BC, +E3, 78, B7, CA, F5, F7, 7D, 21, +E7, 10, AE, 80, 47, 1F, A8, 78, +F2, F4, F7, C6, 80, 77, CA, 54, +F7, CD, 79, F8, 77, 2B, C9, CD, +13, F8, 2F, E1, B7, E1, F2, 33, +F6, C3, BC, E3, CD, 5F, F8, 78, +B7, C8, C6, 02, DA, BC, E3, 47, +CD, CD, F5, 21, E7, 10, 34, C0, +C3, BC, E3, 3A, E7, 10, B7, C8, +3A, E6, 10, FE, 2F, 17, 9F, C0, +3C, C9, CD, 13, F8, 06, 88, 11, +00, 00, 21, E7, 10, 4F, 70, 06, +00, 23, 36, 80, 17, C3, 1B, F6, +CD, 13, F8, F0, 21, E6, 10, 7E, +EE, 80, 77, C9, EB, 2A, E4, 10, +E3, E5, 2A, E6, 10, E3, E5, EB, +C9, CD, 62, F8, EB, 22, E4, 10, +60, 69, 22, E6, 10, EB, C9, 21, +E4, 10, 5E, 23, 56, 23, 4E, 23, +46, 23, C9, 11, E4, 10, 06, 04, +1A, 77, 13, 23, 05, C2, 70, F8, +C9, 21, E6, 10, 7E, 07, 37, 1F, +77, 3F, 1F, 23, 23, 77, 79, 07, +37, 1F, 4F, 1F, AE, C9, 78, B7, +CA, 13, F8, 21, 1C, F8, E5, CD, +13, F8, 79, C8, 21, E6, 10, AE, +79, F8, CD, A8, F8, 1F, A9, C9, +23, 78, BE, C0, 2B, 79, BE, C0, +2B, 7A, BE, C0, 2B, 7B, 96, C0, +E1, E1, C9, 47, 4F, 57, 5F, B7, +C8, E5, CD, 5F, F8, CD, 79, F8, +AE, 67, FC, DF, F8, 3E, 98, 90, +CD, 92, F6, 7C, 17, DC, 65, F6, +06, 00, DC, 7E, F6, E1, C9, 1B, +7A, A3, 3C, C0, 0B, C9, 21, E7, +10, 7E, FE, 98, 3A, E4, 10, D0, +7E, CD, BB, F8, 36, 98, 7B, F5, +79, 17, CD, 1B, F6, F1, C9, 21, +00, 00, 78, B1, C8, 3E, 10, 29, +DA, 45, F0, EB, 29, EB, D2, 15, +F9, 09, DA, 45, F0, 3D, C2, 07, +F9, C9, FE, 2D, F5, CA, 26, F9, +FE, 2B, CA, 26, F9, 2B, CD, 33, +F6, 47, 57, 5F, 2F, 4F, CD, 36, +E8, DA, 77, F9, FE, 2E, CA, 52, +F9, FE, 45, C2, 56, F9, CD, 36, +E8, CD, 70, EE, CD, 36, E8, DA, +99, F9, 14, C2, 56, F9, AF, 93, +5F, 0C, 0C, CA, 2E, F9, E5, 7B, +90, F4, 6F, F9, F2, 65, F9, F5, +CD, 5B, F7, F1, 3C, C2, 59, F9, +D1, F1, CC, 3C, F8, EB, C9, C8, +F5, CD, FC, F7, F1, 3D, C9, D5, +57, 78, 89, 47, C5, E5, D5, CD, +FC, F7, F1, D6, 30, CD, 8E, F9, +E1, C1, D1, C3, 2E, F9, CD, 44, +F8, CD, 25, F8, C1, D1, C3, CD, +F5, 7B, 07, 07, 83, 07, 86, D6, +30, 5F, C3, 44, F9, E5, 21, 46, +E3, CD, 10, F2, E1, EB, AF, 06, +98, CD, 2A, F8, 21, 0F, F2, E5, +21, E9, 10, E5, CD, 13, F8, 36, +20, F2, C6, F9, 36, 2D, 23, 36, +30, CA, 7C, FA, E5, FC, 3C, F8, +AF, F5, CD, 82, FA, 01, 43, 91, +11, F8, 4F, CD, 8E, F8, B7, E2, +F3, F9, F1, CD, 70, F9, F5, C3, +D5, F9, CD, 5B, F7, F1, 3C, F5, +CD, 82, FA, CD, BB, F5, 3C, CD, +BB, F8, CD, 54, F8, 01, 06, 03, +F1, 81, 3C, FA, 0F, FA, FE, 08, +D2, 0F, FA, 3C, 47, 3E, 02, 3D, +3D, E1, F5, 11, 95, FA, 05, C2, +20, FA, 36, 2E, 23, 36, 30, 23, +05, 36, 2E, CC, 69, F8, C5, E5, +D5, CD, 5F, F8, E1, 06, 2F, 04, +7B, 96, 5F, 23, 7A, 9E, 57, 23, +79, 9E, 4F, 2B, 2B, D2, 2F, FA, +CD, 72, F6, 23, CD, 54, F8, EB, +E1, 70, 23, C1, 0D, C2, 20, FA, +05, CA, 60, FA, 2B, 7E, FE, 30, +CA, 54, FA, FE, 2E, C4, 69, F8, +F1, CA, 7F, FA, 36, 45, 23, 36, +2B, F2, 70, FA, 36, 2D, 2F, 3C, +06, 2F, 04, D6, 0A, D2, 72, FA, +C6, 3A, 23, 70, 23, 77, 23, 71, +E1, C9, 01, 74, 94, 11, F7, 23, +CD, 8E, F8, B7, E1, E2, EA, F9, +E9, 00, 00, 00, 80, A0, 86, 01, +10, 27, 00, E8, 03, 00, 64, 00, +00, 0A, 00, 00, 01, 00, 00, 21, +3C, F8, E3, E9, CD, 44, F8, 21, +91, FA, CD, 51, F8, C1, D1, CD, +13, F8, 78, CA, FA, FA, F2, C5, +FA, B7, CA, B0, E3, B7, CA, 34, +F6, D5, C5, 79, F6, 7F, CD, 5F, +F8, F2, E2, FA, D5, C5, CD, E6, +F8, C1, D1, F5, CD, 8E, F8, E1, +7C, 1F, E1, 22, E6, 10, E1, 22, +E4, 10, DC, A7, FA, CC, 3C, F8, +D5, C5, CD, C7, F6, C1, D1, CD, +08, F7, CD, 44, F8, 01, 38, 81, +11, 3B, AA, CD, 08, F7, 3A, E7, +10, FE, 88, D2, EF, F7, CD, E6, +F8, C6, 80, C6, 02, DA, EF, F7, +F5, 21, B6, F6, CD, BE, F5, CD, +FF, F6, F1, C1, D1, F5, CD, CA, +F5, CD, 3C, F8, 21, 3A, FB, CD, +6A, FB, 11, 00, 00, C1, 4A, C3, +08, F7, 08, 40, 2E, 94, 74, 70, +4F, 2E, 77, 6E, 02, 88, 7A, E6, +A0, 2A, 7C, 50, AA, AA, 7E, FF, +FF, 7F, 7F, 00, 00, 80, 81, 00, +00, 00, 81, CD, 44, F8, 11, 06, +F7, D5, E5, CD, 5F, F8, CD, 08, +F7, E1, CD, 44, F8, 7E, 23, CD, +51, F8, 06, F1, C1, D1, 3D, C8, +D5, C5, F5, E5, CD, 08, F7, E1, +CD, 62, F8, E5, CD, CD, F5, E1, +C3, 73, FB, CD, 13, F8, 21, 19, +10, FA, EC, FB, 21, 3A, 10, CD, +51, F8, 21, 19, 10, C8, 86, E6, +07, 06, 00, 77, 23, 87, 87, 4F, +09, CD, 62, F8, CD, 08, F7, 3A, +18, 10, 3C, E6, 03, 06, 00, FE, +01, 88, 32, 18, 10, 21, F0, FB, +87, 87, 4F, 09, CD, BE, F5, CD, +5F, F8, 7B, 59, EE, 4F, 4F, 36, +80, 2B, 46, 36, 80, 21, 17, 10, +34, 7E, D6, AB, C2, E3, FB, 77, +0C, 15, 1C, CD, 1E, F6, 21, 3A, +10, C3, 6B, F8, 77, 2B, 77, 2B, +77, C3, C7, FB, 68, B1, 46, 68, +99, E9, 92, 69, 10, D1, 75, 68, +21, 4A, FC, CD, BE, F5, CD, 44, +F8, 01, 49, 83, 11, DB, 0F, CD, +54, F8, C1, D1, CD, 69, F7, CD, +44, F8, CD, E6, F8, C1, D1, CD, +CA, F5, 21, 4E, FC, CD, C4, F5, +CD, 13, F8, 37, F2, 36, FC, CD, +BB, F5, CD, 13, F8, B7, F5, F4, +3C, F8, 21, 4E, FC, CD, BE, F5, +F1, D4, 3C, F8, 21, 52, FC, C3, +5B, FB, DB, 0F, 49, 81, 00, 00, +00, 7F, 05, BA, D7, 1E, 86, 64, +26, 99, 87, 58, 34, 23, 87, E0, +5D, A5, 86, DA, 0F, 49, 83, CD, +44, F8, CD, 06, FC, C1, E1, CD, +44, F8, EB, CD, 54, F8, CD, 00, +FC, C3, 67, F7, CD, 13, F8, FC, +A7, FA, FC, 3C, F8, 3A, E7, 10, +FE, 81, DA, 99, FC, 01, 00, 81, +51, 59, CD, 69, F7, 21, C4, F5, +E5, 21, A3, FC, CD, 5B, FB, 21, +4A, FC, C9, 09, 4A, D7, 3B, 78, +02, 6E, 84, 7B, FE, C1, 2F, 7C, +74, 31, 9A, 7D, 84, 3D, 5A, 7D, +C8, 7F, 91, 7E, E4, BB, 4C, 7E, +6C, AA, AA, 7F, 00, 00, 00, 81, +CD, 39, FE, 06, 00, CD, 9B, FD, +05, C2, CD, FC, C9, C3, 39, FE, +C9, E5, C5, D5, F5, CD, 6D, FE, +C2, FB, FC, F1, F5, FE, 0A, CA, +00, FD, FE, 08, C2, F1, FC, 3E, +1D, FE, 0D, C2, FD, FC, 3E, 1F, +C3, FD, FC, F1, F5, CD, 45, FE, +F1, D1, C1, E1, C9, E5, C5, D5, +CD, 6D, FE, CA, 13, FD, DF, 7B, +C3, 19, FD, CD, 4D, 0C, D2, 13, +FD, FE, 1D, C2, 20, FD, 3E, 08, +FE, 1C, C2, 27, FD, 3E, 03, FE, +1A, C2, 2E, FD, 3E, 7F, FE, 1B, +C2, 35, FD, 3E, 03, FE, 1F, C2, +3C, FD, 3E, 0D, D1, C1, E1, C9, +AF, CD, 70, FD, CA, 50, FD, 3A, +4D, 10, B7, C2, 50, FD, AF, C9, +CD, 53, FE, 3E, FF, C9, DB, 02, +17, D2, 56, FD, DB, 01, C9, D3, +01, DB, 02, 87, F8, C3, 61, FD, +F5, CD, 5F, FD, F1, C9, 00, 00, +E5, 3E, 02, 21, 00, 0C, AE, D3, +00, EE, 01, D3, 00, EE, 02, D3, +00, 7E, D3, 00, 19, E1, DB, 00, +E6, 12, C9, CD, 6D, FE, CA, 96, +FD, 3E, 0C, C3, D9, FC, 3E, 1E, +C3, D9, FC, AF, F5, F1, F5, F1, +3D, C2, 9C, FD, C9, CD, 84, F4, +7B, 32, 42, 10, C9, CD, 41, ED, +CD, 8B, E9, ED, 53, 46, 10, ED, +53, 48, 10, C9, CD, 8B, E9, D5, +E1, 46, 23, 7E, C3, F2, F0, CD, +41, ED, CD, 8B, E9, D5, CD, 90, +E6, 2C, CD, 41, ED, CD, 8B, E9, +E3, 73, 23, 72, E1, C9, F3, DD, +21, FF, FF, C3, 12, E0, CD, 84, +F4, F5, CD, 90, E6, 2C, CD, 84, +F4, C1, E5, C5, CD, 11, FE, E5, +CD, 6D, FE, CA, 04, FE, E1, 22, +29, 0C, E1, C9, 2A, 18, 0C, 36, +20, E1, 22, 18, 0C, 36, 5F, E1, +C9, 21, C9, 07, 06, 00, 4F, B7, +CA, A0, E9, FE, 11, F2, A0, E9, +D1, F1, D5, 16, 00, 5F, B7, CA, +A0, E9, FE, 31, F2, A0, E9, 19, +16, 00, 59, 06, 40, 19, 10, FD, +C9, CD, 6D, FE, CA, 42, FE, DF, +5F, C9, C3, 51, 00, F5, CD, 6D, +FE, CA, 4F, FE, F1, F7, C9, F1, +C3, 4A, 0C, 3A, 4D, 10, C2, 65, +FE, CD, 6D, FE, CA, 62, FE, DF, +62, C9, C3, 4D, 0C, 3E, 00, 32, +4D, 10, 3E, 03, C9, 3A, 01, 00, +FE, 33, C9, CD, 39, FE, CD, 6D, +FE, CA, 7F, FE, DF, 57, C9, 3A, +8D, 00, CA, 00, 04, C3, D1, 03, +CD, 39, FE, CD, 6D, FE, CA, 99, +FE, 3E, 52, 32, 2B, 0C, DF, 52, +C9, 3A, 8D, 00, CA, 0C, 07, C3, +D1, 03, CD, 6D, FE, CA, 00, 00, +DF, 5B, CD, 39, FE, CD, 6D, FE, +CA, A0, E9, 3E, 56, 32, 2B, 0C, +DF, 56, C9, 3E, 00, 32, 4D, 10, +CD, 6D, FE, CA, 19, E0, 21, DE, +FE, 22, 7E, 0C, DD, E5, F1, B7, +C2, 19, E0, 06, 0F, CD, CD, FC, +CD, 0D, 00, C3, 19, E0, F5, 3E, +FF, 32, 4D, 10, F1, ED, 45, 00, +DF, 63, D5, D5, E1, 11, 2F, 00, +19, 7E, FE, 20, C2, 02, FF, 1D, +3E, 00, B3, CA, 02, FF, 2B, C3, +F1, FE, D5, C1, 03, 11, 61, 10, +E1, C5, ED, B0, 3E, 00, 12, C1, +41, 21, 60, 10, C9, CD, 90, E6, +28, CD, 41, ED, CD, 8B, E9, D5, +CD, 90, E6, 2C, CD, 41, ED, CD, +90, E6, 29, CD, 8B, E9, E5, FD, +E1, CD, 96, FF, F5, CD, C2, FF, +CD, 11, FE, F1, 06, C0, B0, C9, +CD, 15, FF, F5, 7E, FE, C0, D2, +50, FF, F1, 77, FD, E5, E1, C9, +C1, B0, C3, 4B, FF, CD, 15, FF, +F5, 7E, FE, C0, DA, 75, FF, 06, +3F, A0, C1, A0, CA, 4C, FF, 7E, +E6, 3F, A8, FE, C0, C2, 4B, FF, +3E, 20, C3, 4B, FF, C1, C3, 4C, +FF, CD, 15, FF, 46, CD, ED, FF, +C2, 91, FF, 3E, 00, 06, 01, E1, +FD, E5, 11, 1D, EE, D5, C3, F2, +F0, 06, 00, C3, 87, FF, C1, E1, +E5, C5, 7D, 06, 01, A0, F5, D5, +E1, 11, 00, 00, 01, 03, 00, 23, +ED, 42, 13, CA, B1, FF, F2, A8, +FF, 09, F1, B7, 7D, CA, BA, FF, +C6, 03, 47, 3E, 01, 07, 10, FD, +1F, C9, C1, F1, E1, F5, 7D, 1F, +C6, 01, E6, 3F, 67, E5, C5, 7B, +C9, CD, D5, FC, 7E, C9, 3A, CE, +10, FE, FF, C2, 06, E9, C3, 10, +E9, CD, 81, EB, C3, F2, E5, CD, +81, EB, C3, F2, E5, F5, A0, C1, +B8, 3E, 00, C9, CD, 9B, E6, C3, +81, EB, C3, DE, FD, C3, B1, E0; \ No newline at end of file diff --git a/KCPSM3.EXE b/KCPSM3.EXE new file mode 100755 index 0000000000000000000000000000000000000000..fb0035d0b33815c18d44eedb2eca3d0398b33875 GIT binary patch literal 90308 zcmeFa34B!5`Tu`spG@`u0Rn`u2uPGA0R*xzA<2X#z$AerBq1P8)$&DalNoRU92}KQ zu29?%Q@4m%ifE%v?H~$)!GuMW0M)RFNRZxPC}N0NVdnoi=iWPWCjqMc{{G+B_xF3X z_MLm?+_OICInO!wxeId&_A?(a3=_k=A@g{i>T5wbj5*pn8b=xU&;S14mcY{Gvr~;Z zbK+xS2K{E~v~kSF2)@7Lgl=z)Q2GQQKNn`-7-6(eVvLpU>{zYc<1tnaEp)_cw+`;hZrlf^J2B}waz$Zqg~g_SoIk5${ik$^*obptlWBU ztkzh0PY(VfzTsTMxwW-Zg}M=;-|`q^O@53CUGrJJdKGh*%r0Y$o=K}1qg@V^&uc~s@0#adhm>_x*kmoVzM~xVTfZur{ zfyx)=lWY;ajg_RGW`(maLlV&#Dp_BasNP1qT`Y{GfjG$!lVjz;ei%zc8Y{m+IjZXz z`DbX+Ls2we&?l0-J(7*~Cab+&vN&(D{kKat)tl_V?UL!e$v(ebGJ`kS(c2}P>rHmz zcFA(R$r^8$tk|3EjF{{`=>U}Cypn;?En;AU7pW(kinqYiMVNi^o<5c z>uG?rj|NB!Xn+Wv28dW`fC!ERDqp7xkBP;Pdu1~wCwukC6lG<;YE8%%n1-*=diA{6FKVMr>HjV~uw-HcOo3JM((lae%SNORcCEMXdvs>L?g$B9+7 zqpEgkRh?p0lW1?M+QVju6Hz=Rt-f8Xo_2+nPm=L6aEnzUV3+0=&dbAvCLv&-B#wly zBq9_NO@*QkCnu`Z;okOW#q5X|#i2$VZ;_<^73vETGa%q!OQKjyShzJgES!jboOCoJ z#GDA&BgG$NXrhE4&K118!hG%XrjxWU49WTSi5Y2eyu6~tf)Vi5LK5=lhl=MB@K#K< zus`OCeL6ZpmqsFLs#Qcm>8Wh-6p@+#siop6(p>*jrQ#{l1piY{il>Oa(Nn~Rs}-@@ zVYOHTE?*}$-B|gn{%99jE6G{h_<(Fsm zngev&j@A>kBC118%B6*5c^@Nc6$#K0Ap$D;HN$L)!)%D7vq24Uj|i7Bq>Rdybf@5z z1u1rdSO^048Vs$l8HixWDf=fv4Cd7!8Epd@bzx(3H#Ks6)%!)gBBfJhzAWeoU%&G- zc0njm%nn_f&Ct2B?_ScG$znSYuqTQ?5b)+e69R?TnGrgb84x$BKvxUv#v*f`$=k#K|)f6 zFgRpzC6bvTm5g2#1_D0GNORmyGDf~(xkAGr_DlT87W-lv`I!5BF?D>*a$ighA7k>x zyv4_?^2KcAW1jNGU|fh6ZSch`=VLbcVhZ?}H+?baKFPJi7lSp8#C+t78P3Pl`eFo) zJtV|HC}>YNF^!fC!3iX!irPZ~gh7$2tDRxYvKiK7*sq-=ICI#6VFw{hX@Q;pqCn?< zHSNWSe%@Io$Z(7=Mv&noUyLBbWM7ORLxV3ykYT1TMv&orUyLBbB43Ok!xCSNAj9QC z3}pCt4>gu{xfBU+E5qB!|HXfi*ZGo)itA%vV?ONBm;sUjtwTcJoN_*SQ=34{#&w}Y z45~>sgKea?MBU$LD{i5tjgKE@XrW7@)XGKl7y3)g3N;bq5F@FyyJ2cj(mseaLSqCG zqdL)y{{FVTD)p~m@hy9`O(+p{+`h>l`Ip$e$@`XCgMTz%7b*3Iz`}3 zy2+JWKD}})_|KJF?Z3E66|daZCg+EH6mS=XG4|=vMthN9ES)ioJs;t-1!QelBE*t_ zHwg_;B_LiD8o2dkV2M})36SCCD~35W7C7p4JRf!nbAqK7s0b!Z1lG6$w5Zj9Yshw608-$$#i4mHa5N={po3&LfP z!U}9PaI=Eh=)r-Smu`hopPwLd>2^N^tr4}6m(*0h_Mu@jh%Mr+F||Vct{`yluv*h| zK9AQDu^=*NROJ8b7Zq)n&%XM5#^71^8d2Y(0!s-CjCJ3;ggh+B$`|u`kC@9nVy^dy z(GL}5<}LZ>#1!<%^>&Y#x*jntLW~pc3~zN2!@4&>Tu`6@TTFD3n~l46Vg^Aay{nv{ zl2nuYR=I9!NHs%T%>Oc$%2Nq`1>butE9&&M_c^M9E)D7LpuA* zvJ!6{b-e%btXk0s{qn5DI{urriWe9EhM2@f@fWRZE5xRf0JRe6xBW3O7J+UaOA;gT zST@T1T#lHISc=hq`x>DLa@B-Rd4JcdpTz!#m`Mg^GFLE@eC#c4B`Hj>mBiWlB%H07 z22wMKD2W;T$eN4@H0ELdD*(idWo|xI>`#e(hEChd#n#`&_eMNm0 zNQ}fa3~eJZ64x*`fFwqA4P%DrRff#sJ416I5!tM~#d`7wC^7GvNo;DUzghW|~1$55sJO#%|*f0Ka3NNy6eDC*VKqDEDt?fhK)Q0xT) zw-ocgo{Jyxz4_=Cz42N?$bqh^{F5`YAXu`b@T&$c)P&Q-rN-Y1HTtCxUDZ=~^};5U z}eyrOZ8#I(7n+< zF%U6|k0G}KCL`=W3I)Ph`*tzlozLxJjQ&oc%=^T^4l!_53``#VZ%=;eoc8N7H*&M6?31avshm+lmd>N1^bxqF^u>GO&TR^6J49Cl)|Dl)di4p>3Y?L9SzXW&ad6Yjxw6r<^#Towr5e^}q~ zACC3>@-zzV=NFo@VowmD+Cv1C`YJlneQt@seg-?a_=e zv4ZA2EChu4J4sF@F7baam-%h~=nj!QVU?s};4YDN_HD7u76fRov2CI{FBBe4Z}A9E zH;{AG93bG`>)7wQi+_TGTrW`@%RifRA>2MeI75skIZK~SLl~K zd-3QGM3-*z2o_BW^8hDk%5XY|X(jKAqu(gjPoC$br$l>EufBp6y) zT&r$rp)Q;0H}wV5T||PqnYd2}-a5sW=5Wzd)53ddKC}}T3%cU(cfpgX|M9#0GDnej zau7@M%d&bmN54F)IBBV6`(?Fyy**k?{#L0$7H2+;$qf%&S;#=#sy=e=mi3WRcu`yxL?Om(xf=f8wXB_@wIKrcw|2U_ z1@lR&^FQ_PJ#ED#=hAghw05BHK-!8T$R@}bd!z?_jx zRdcwCY^nIf;tBG!HMP_J>B+OkQuDi%x7eaV##RI_ACi^Wd;hHDK_jtH(#V}MCiZ|L zqFZ}2L6Bqr~u_^0tU&s*RpJ#vX@{$p3DVZG%$0{^iq zKkHQrza5%eG^hx4yQ4;8BzM$S$mkAAd`|!tAdImex*PKcS9E0QB6rkINPd$`Kd%Wv za@^!WQu|rxH*YY+jN}T?t`h+*z=_*&qzz#`(nj`7iz{2wiysyc=T(T<1=3*hqg%mW z=Qf37S^+Mg>+lJ@7y zk_c`@Pbt3?Kn3T2qmYQw|3)E+@xM_>V*GCuk{JIRg(SxRMj?suzfnkH{BIPJ82=lE zBt~+haIV}wq5II16_EG)M~Wjv0=)J_Nux&KooTA~B-USzXmoN9A<+qqg@>9|{>4l~ z4-L-jk&V19Or(C3DGC!z3*%cHEjAAUd$zCS`TRqu|ElJj%rl|po6OdIjGfey@2ln3 zR$vpKwzx;yo7A|BPuo*2H)#WIY>_q)i3t~N@R5-iA}Rm*d2_qqFTS3+dP-azi<^wn z3u-z8a(x~<+~Xx0=YNlv#Q5LirCRCBg?qdt#{V8KiSfV3OJe-*@sb$-d%PrOldoh* zhr~$k@owdx6C5fk4gz+u1N@4E*YJt*@heW8%uZ5>9pY1zNT!f8j87qUkWcZDG{qH$ zmLb0H#HV;fn&NXlg(v_%#goz$+xZltK=>5TN>h~cDMSJBDK<${+{dR71;(d%Q<@@; zPaz7BPqAH^Vkn5uaD+rkcM*$>{3iGf^YNaU%iVM2(h4 zc}*0F?Pj8G*{l*@lXCizzND8qM zKE(lP3MdFkAy&$#I3`U21tBRUjevrjk){w7gj|mB3WD3I|K}7WS?ntb@IyCU8s!~2 z66-H^s<$_7)&snP_}!67=x5k!<##S#9W%Are+*OfEjRyVrr$z!{_a>%zz z{9QREP;l=zX_n&$Rv`a7O~}*JuS32r;r-$V@l4Sd!&lR9K-gEIUi!_7B@x!-B@yHy z&@6g}4OtT5{U8S%LBg{&-f!Iq10?xg#F7YA7oNP~@B8w@=l!q+F<|tiA`(M;MOII* zTnap-FZ>Nae0FFPvk`x@@I1AcuWBikE0L{2T_TY&aZgol&Lpq@l|+Q%bw9FI7Aqt7 z8N3OqN+R@IO3+KBTl0=Jd1=HnB<~|hPQI)`+D6{O@RmWobV4H00{xby$c}svQn7~$ zn)HHxE8>|R<3~Op<3D~MNyl&Z&BhOTXtB~Sw$!Wd!*{6YH$Zxh;#V?j@_iBH(b^AX zB+38Oc#^8V=&3p>JynPJ{=CY-D^aW(s?vHZRUt*66^ni#g7)fy_QHmKmNwfnG zlp5_1i^&nNo5UYz8yoU~Z(fiSJvz4xkw0@1kiIqxeWSCIJgIC^e`L9a|^ZG_#eHa*71K#?;a6V4T1l~dN;R+-jQ!( z`Rg5GysAdbDSgwD7)-hlJc|%GJ{O9j z>j2I@;X8NBb%P~u%dLvAMXrhPOd_m`sMO?=&uV!W3~@!l&cfRQvP5_jkafa)=7i8T z=`w?L!sO|;aAeS>j+epN9z7&mL@GsZxfdmAgtewcjPg$U6Jg!W0QsC5Ey$aOeAmo> zfRL-_03l-!TVO|C@)a|>+3*e}BKU3$9nY5x(cdq92P$89s*Zd%kk%~bz}tzwT@pPb zF1LPX=oncrMo(gV2$qP-1!O7C57*b#h^Up$|kPnp`cCv0~q>L16$L95BrFDu~;wA`^dO!@kE(W}%CApmk z7+M;(QetW8lD3@@v-F-Qlr~EYSj2!`40wyZc*FTSzE~`kH!Jppn8jPHZjw-bvKZ)D z?C)=oo5iH>_?Dqqtd^<#5)NxT=jVI`d$*?|^pO56Nf#bNfwvIv+hSc8#lY};_+~hV z-QZPZI4yHF%EVSo?4UhcNM?Ut44e=Hk&}gv%ohUA#_N1hC-KDGQxTac3QwYYi@Hto z9u|wL76Z*82b*yQJYGpn6c7;8E)S#X7E;gLX1&Q70U9S$`oTt z#DMqIs_rp--&(rhA>A3lRAwziWp7P2t~WFbnvbmO4OjRdo)v2Pyn)Sd8AisLi7#5* zWkjTB#U*O^eGkss3>?WtieU{LF>b0G`0670=qR6v?h?HPvxZB0o8b~`xSU|PwE9mp zo?MwF1(7#sqZkrl*C8|AN3J(q;M?PzOwZ6ZCH0mhjp&&)m?rgRrBx8;5A7)*K&ev@ zQ#k@cI3#DB|73Os@gnhcyv4evgZe zos>kp6TT9!Sl3+fFHz{^?Mu9Z5^2>pDN!su!O%i9--F-l4VQcktmONP7{C6$$Lz#( zBq~sEXki$3h1!}(S~iENH#C!X#SP7@p;^)Wt1X@tT5&e3kg`QaaUTIMZc2L&Wjn$B{^u4k&qW-e$OJ zU31lgs@7xdq0dyKLxRJueehBh~pNgdR z%3JVT`F;mMBhX0iaG+s)YdPy0&Lg}NK}0Wn(M0&n7;Y+gXYobMVqzhP5h(ZuJrbqDr>j*B)y&6NJCU{ z6b#%W_y9C+vC&BXdRqze70432Qh>>GR1K5$YjG6J|BKvIWjpUN+^*vT$o`qT(GXWAX{xGDJy@2Pw{38 zAI@HHI47C|1Ze+M(*7Y*=%0{|^zR({cSe$pmn>p@l12YK%uXHOKcWDnH`E(TH>Ix@ zVny=`*B-zaEB^vB&qoOs6MkN(i8m1n@QGV2jmG?wED*uMmnKMzZSK`d8mSW^1Ls~P zva`*-LS1WthAZ^h&Qi~<@?Y+r|1$l=-vDC7N!>(H-R&+di-^LzZv2+nsg~GL7*CIf zx1IbhAD<~Fg$ex?Y^HThbExn_2<)ZrbpX?6g1ywjwqEskoN5L}7`BZyT=mx2OSyA` zXbIJJ6|PLsZQ&csLleB4Ex7{qlB*eU9hFQa>a|6&-tM4$o8by?5d>p}3z}*{63G13%ie2NJ^aU#W3X^NqI3cX(n zgER$px%2s!N{SI%dQ@!b(e5qHl$JqSiWL4W&6cJhEkz1R6=*4*i13Mvv=k})TbeH| z11;T-M3AW@k=WAD#g=~Fy`^}v$5#t!DN^{iv{+gTX(>|px3ol>g0vJVB#l5{mPu2f zr3?}^qGG72V6_B=#oiP9O0uvLA9dOdJowdZ1{@H(f&jU1VKdaNHy}(VG;654$qbl` z`RAPh3Vw6Dwieg)zEA4kwk4r!_K@@I4g18ZAx_?uLId~!l$H;`xbgugIv+sK_yDFB zAHaO!11QkX5|?||IAU(-c81PwYLD?{T>69mGA^c+^sT;!p~CzIL(Ok6UPT1mY4~l~ z#I25SdEhl2-L`626yhaYweC%!*@Pt)H<54;-n-E`)x8~l)$eD>OMN7}&*adXH{|*R zS0?lftewvo3TH2Q&k{4q@AilssH$8R-^*KbNnAz_K!Jo<@);vEQtAL;61xd&C?cq^ zip79mvwyzS_W50a_zxf%QYth#d07&Z!mo~p=%v}e5KZ^aU$U;bS?B2z*u8?E-wAw~ zPjVG?yG}?oaFs-;^z#4po655C=cvFh>8EsHd$hs(@y(i>Hs8|jMyYP zmNr8(wgKdlYcpBw=?TvYx`*Kx^Ywus;#5??~hQ z?mvBi#SWpOnHG>7+c5O{{o}Yt4E88pccds!Zll>IP2YRKIq-z(<~D8gUdHX798Sy!z^}ks zPz62!yTEC{0T)nc7-k4a0rv{5!trJBAvgj!fp#3_T8stg2Zn&rU>cYY3cwP9H8}nO zz|F>d1zJFO0K<$0nP3@M2mS&Mf-eQmoz!)$S%mdk=1Uv;cfmgvBV28k; zaoh*K0^fp8pa^7`XfP7o-Hqw^Js&Ir_kraCYjAuWRD+MePEaS|vml1~8k_@NKo-n1 z3divv6J!engfPqiFdR$+=>mB;E&-2&wE~-P+y*`XAA?%p0AGQ?Q0PAx0mg!fU@}OT zupHqvU>(>9Hi1{b4zL^4gOlJq;D8IXO9&2Qn89Ea7!U3dn2BRHCo?WghL3Q0pEiwparynV7!jsOW+P1$AEi463~MspcFg;)`C~TR`5Rf0POC@0sQ_P zG=lE{J|n@X@X$;k2muSh3h)xx4649iz$ai|H@?Pi+}LHBK^Ktq!oP6_BEeuV3XB2c zK?a!9jivZ)0;|Dh@VY=Xjyu5#a7y4C9De{m0XOL1+s6ci=YeJ5Y49fa6x4$gzzMDa zRUgz-e#+bbydZ=oN?(n2h5BPzE-F_rYO+w<#HQ zU+6JN0hwSSC<3blKE_eek70s99}o>jf^lF9m=0!xEHEG33tk3005*xtVemCL2fBc= zKl%p7f;cc)AOXi|0$DgN0QZ7rpbTsPFM^jr4LAOdp71g?XSfv|^Q7?=pAgL1H0 z;4K_?fIkB}*b5GUZ$XPd#30B9%mK?lIoJvu;2dy+0r(dzr+{4WBB%nNfv>>@@DI=; z(21jRFk}P51bX8*2_y;>;7BL|E5J+OEwB}Q2pR-V;MfAxF<37^KQIK01f#({U?#`~ zzXA_~GGGDgL1j0xhG4A+<)9M03ATW0@SVWsp_tR)1ULo00hhplVLa(L=7Gn-dhj0D z0}g;wpb1^8Uyj0K6{ zL4l8OJOw(z@DY$TmOj8dyRxXgLIGwmVlSQA3-(P z3HE`{z}Mg$z&naevp^S)%2BYQ<45$L1fUiL_2)-LK1F4`G zJPw`)&x2RN8(LMd5hQ?o zumZdQJ^){U%OGGJ<{wA{S>RXTDS=Hm{!QQ@j(-<8k7EnyGoEK8j@bewI6f`#GLHKM zPT+V>pbN*yIOq?^1owj{!E3+{j)N<}4Ycv71B?dKfF2Zs$H6P$BX9!z2tp@7r@$<5 zKX@9v1!}=JpbPYyi1`F^!0*5Y@FqA8z5Jq(x!?geGwY49@G3_b$K!H*zhGTIJig8RW!;4QERd;>Z`zbVjlkOLkD z8^F8ZAh-ywfxc6*_JB!X3NQ%d;!3OoTefi0jK>;osk6`)GMyaMBa0W1Pb1S)WR z1MC31!QVk6_yJr8A&IaxU@FK0_kq>mdGIH&L%@OKV@a@EpaF0|n+)3mhJq;qMjV%d zC&4S=Rqz*q12}#QT0r15TuXs_Krt|bN5G5VUGP5mOkkBBb^!bVYy+QyL*QF*8OT!5 z4=@aj1L+_e+z(8^1~!3rfgKzHr@%L$1B6Y7%>|1#|&7h)TnlfC*r>z)LuO06ZWv9cvOu70AbN8CVG(1M37{#qm#IH#iK= z0dfI88{~sR@E}+ZHiFj#cH?*y{1aRS;Tb%`a9jd@1)dW41CH;3J>V;F5`@n1F$Cdw zFkheq$A`e<;3=>Pya9HAz2GZ>Z*lwqctF5Rtc3#0aU`q)&wyva^WalZ56%i)#<3M> zXF+GcAb~MBCV(`M3l@XrU@h1LUKQAi<6prZ@Fh42M$hJ%ienmhTHqxdw}SoPdmzii zUI#3e@H>PFPXkuM9}wOOJ_NhK5%3jg0_`Ap4s;Ck27|!e;2w|xvcOvcAL954xFHZc z7wJJFSOgvguYj*5I1wh?0E&4&dLcXrj0W)_9n1y40xyFo=w*bBY@UxRZ3mvFoWt_!HL zF{eRaFi>DPj$^<*Ko4euY)}YFz=NO^JORqVbD$Eu0d|1Dg597V90e!AdEf$9!406x zL4Dv3pa(NSHdp|bfikcPJPkI0m%*E03$TN|;1D;qqdM(`cD1g?SWK(zpK1_XmBFbpJv3}6Hc z!BX&F;2}^3R)7ld0(cc{1@D8ufm(1790RAp1>h98jAI*6=EA;!p&%J71CN3VPzBxw ze+8d|FToiJ9)xvyJbiGC1`~h*6iK)r;fKL9U^94M!cK$_g1>_wKqv43T|VRv!ay%D z0Zb9d!;!EAJO~~J8wB3KaXa`390q4VuZ7qbf{9=cn zC&8QGeSuGLJOnO)pFmI%<{KCQCIUUk6j*}eBj9O)N*qstz(r`Uz&$ul2N@t!U>=SS zfCmNE;kXgJ1U7@$1^$F%4LA;z#n2%T31)#cfCaCC?O+f19GnF%z$}K2gP~w7NCR15 zKKKn-0m{KkU_1C5_#B)BS3nnVgI-Ip9|3V-8ki661uK9RYzAAwHt;Uk2abWi1NFVg z5Bh;juu$MW9QS}j;0(A5I)H8|(t{W<42%<)h2sKnKX?SJ15Zouhf-nnS1Mh*4!KdJB@FNH*!I*<+5Gx@aVIx=yO2OmcCGZy53ig1L zpcQyP|6gMaz*rCmrb$?ca0&PgFoD(JNr6A$_%5gce*+%_2RH$~0T;m!phck1eb99< z5~P6z;J3gA-T{9GwcsE)2EG>1+>iMMhJeu^9!!=n6X9Qhwcrn6KR5!;f`5Rk;HPeM z;dj3WFos|hmOD;<+zVlx z;|}gQCXY={)bDyoG+)QStl8HaYr7*h>O|v9F zIz7y+*u8uj9$(`o%;cW-tWt1)ok1mZnAeVc_tv>HalZu8yHn(~{b&Rjwv- zP_uJGP}Bi7H;5Ls2Lkiuc#+Q$M003d$B`p9zBay9&*hIa1#o-soa!*QxPB;JbQovQ z)WFjwO%20k;(kV}dPKU+q_?Znjttq{c2wweF1pLTa3`J}`Rh2OpS`wA&Xg*g=5dPC z@wKmvQT%PNa*XGX!<6s2WIG^2$YK4sTyE)|rZz6IPq70n!#@axzq#DpI}1(c$xtes zTbON1b405$2DiN{nP|=WRlV3EwQFhMX>%@O&1uH0>|JsuNQ;?pXHK4xz0UpBlfSr7 z(a6nZjCu4%^TMY7>`AVAur1iONN#(=?b78a261DVLaxG7urNO;Yy>-K1iM-utwA6A zkWv-+X0|OkP5-Rgps&$!ul0s-9SKG1m73NxmDa3uIR7!$d3r3aL705S0)_L?Sf_m~ zlbvh1E?;S^I1}e-XkxEf_Kz+McehvhQ_uut;aLitgA^rGNeWpf7&IFyHH%<$jUadC-8cGjsD1x zf>LGN1(&==RwnE{&#_XP(YEtZ;oLb&Hu9fX<_y^a-1lB^1 zCmA*`lARaDO_Np38(5bnW9ri6@|a9{U0S#jF)^9p?7ZH#%m{W~KU-$+_=8jCX-x`t zp4yhFAwPnIAFBE^1zhfF0YC==K@d~1QdW^CCkk(IYs~>Q9#@vdtu(b+uBggQAr;M* zPI+n2M>6*zcOCvaWNt4v1y^J!+y@>}S~5eF>^voRj;Zl94d8~!bQjFM*?C&j%gzh3 zWvbYDI$Nf~)!&$tl^uVuJY=e#i&7LUEX=oDzm7rsW*mkoI{w&(Q|n%0l!I+Aok|Hj zW>(a=9XYwX^#Qv&rv-XU>fK`lc3q#gGw6r4pPou-SC|w#1KT>S`;^tPD=x-*NipLJ z`xSewVsFjFBSUNhpG|{agbc2^muL#atW`U)xn&=*Z16?uyb|67f*R zu2K5O_(liTL0ce$tWCxV0%p-Nkx+?J=#FD!QCWbgW&nr%o;9m9sErZy=P z8qC)aEGTr~!dCqd?qzSn#_=whMV2qGWvZ1+7_COnEP-pWHliP6xg!Yf1vOosSaj05 zPf=~TV!b4<_{_yznN_hDe=C*;f1o(_eMMS`?lYW647wJ7(8XLn-(}sW!f`sl|I5>Y zX3eTdlTVNb1@Nh=192p0IR#U3(UFst7k`>P9PhCtPt`N#N4OPZ<1SRB1#Js6hgw(R zfs}xc6bY*oWxsXr-xfonR3u87uu4@{geVJ%(vT=s!YWPKO!t1>1yc&!5qIH8)VdB> zVuxAHe#xG4y&ijfKu4Ko?Y?Tp`gOlR&mND*{H*;RrtN&`(`>tIttWD0TC4i`G_A?< z(eJ4cT+@Ix|Kunyt zT8x8bm5wnDs7TY*sJF?@18d~4Hjy=&ZCZ0!jRzgn)yOQX0vI!kSfAG9} zA*W(6DT*C=(mJb|CYc^;ex*J`i%s(-sDzyfyE4n-R+!{9+Rmp&G^Cic7l!Dle)Drh zfr(NbL{n4;Mt|0q%!01L&~uF~Lmq#6-D{^(=ybEahUvE05uKMkW<&IcdN%S*%AUEY zu25gZsgymFQ;j*f(}`ZeR)@r0V6SG6@x1s*Kxcu=Rb2RS3{xEgy~{6HJow8f-46jz z23P{R9B~(@@?IVuZR%rLjeq`7ZCM@6n3ai2ld3#jS7WhWQjq~qB2zF(&#u%atW=vu zSXPHJW)ye1%d$F*G3gSc%<8)IP>-v(Zohg%dIa}8qj71;`MUG~8C!pp^Ng^hA#osM zj%KfsNli-}rKdv13_-5moQcs~anz;D7zgH%64}esgRHBe+{$ehQ*Y~PXufh=j7eu* zjcK8U{wT{A1Ug8mrjEMwKxP)Q439B&5a~b9*fMmsj37E3^&0G}bJ>+aBg&PAgq3o$ zf?cV!tqk&HXt6S~D|I6(r13h>Jj5Hbb5)^?BYDp&31Qn+Odmm(+0*l*ox*y_a{bvQ7XHR0T&a`%2y8c4NVmUAZM zLQC@W6s1{{a$ddDLUyzH6$?VhQd%>ZdmyMuVarroGF3{OIify23>P-(17#*G7^)bD z^ZrrJWuxlTm3PUwDp{$*wgAnO%ehBorGs~>nE2CUrmj04XbMa{-|9>pHFsY6oSgDS za;`vDb`?XZ;U1Kg23tE-rRS}k+LhmwFObC_v#wS?v{F0WvRbAx$)IX4;uN;@ zNLzXocZn%enLC{O7-T!kB;{nA`&#A`RD< zbgfI8KHnU`mE%X0^HEQdA=69>)VrQbnmOIvo6CXk(p-U7gqfd0e3l1R`%W8aK1Ex zJ%ztPK&v=js#F{;`^Nd~h(bs7XVH)8*^IjeWAieZT@VQ+5B`{uCwV+3IeQd+WcQ)S zAFnqJx6SFzrbh+Vn$>nj4w)nR)b%EfZB9RjbH)f36S(EN^NkTk61sGqP4CBDxSsF8 zmJZ8S{Aq3|Q>x^Wnbg^=!;x*|8f6%%`#N!CPvsY2Yxs}&T9R0aJz|~+ zXTnSYXgukIYb=;piauX5irubEZchLNtznC<%*&z!Ku`7oiuw4s^~B=2&`+8stH zT|QAAlbW9Cm0dAkSz!*v?z!9?THt{4JW+86cck6g%(Gm z&K!J+m3wbl=Eu=T2T zPXp(GA?hL~W>4ufOP6W|RvGH$Tnau2q!VsdU0i709jk~4iK-0r{G3#X@fa#FMB zx>M)P&AE{}Z_fDZ_!HNaX`Da46My15@F%|AIMbNk#^hw@5)Z{nIUAa{{eGnmESX+tT?|S$|9ClVx|e*2&GGmM`S4A>12`c_9?ZHQ6;UakNR9 zIL)lEd?B-RTFa&(DK<>Urjsnlr(DsS6WN>Bo>+EiDMmk+tlW;nTn2-wH<4jm0PI0=GtNh zaf)nKrA&2Xq0hN&TTKS8&=kcB9nQf+v&cpAO1X8Ksx;QJN;%bh$DYT+LNp`DmLMt@ zi|r0>)P(m(cq=;t>@pT`k#A;wo{W!xHgU#4EjWBaZZ%+NZrwcErhE&-QNU z_4i)8;*a(|(%X|6h7EPLZPIi-+!mNM7IR>$QfE?gISQ;H+++Bc1E=e8BW_`#b8aNg zn4|Db5TsL@!pIe|&d5!d<-<<>)uVSfvxjh_n6f)|YOu;RoN8!nIDNhyX2jeJ)=I0A zG1g;@OA~l1u+c2jJ4p6B@PKaoF>=e6lq~d-SKEYXP+T#S`a%w zgrqWQpM#%-`={)=cIqg?bv=9p$2cQn4&>hR@Krpbr>-vIKp@VF359fH7Wrel~I3W?>imF@)7o9WD(wf~n9)v@gc6IRaZvfuwz}a<8gigP0nInHA12 z2Rly>cD_59EkZSLJf)&QN0lMnmKNr;3{Kc<8jLJ*lg6k|*qd*Pp!tcCaQP^s-ud`o z2WjX~5|7CiWg4HumsG0B;`+-L#-A>yt#fH|xIcKx^$~?Io$9)@2#kMX%ukm25safo zpHwp~C)#6@Z8*gqFN7fF33~?@I*eI)iuU;02IsYR%VgUZFU)u3JjZ2^f39?Lp<|oe z)F1A!F=lzwXx@DWEi(1DWd_;ihp?GcP2exl8i|(Fd-Chkus3r!k40zYt!uzQkoKC> zu@Q!+?M`>TaX*|Ya3K|x8i-3rB})r(1+?zdnswH+AenW32=j=8C`Ic&nRy_4IQBr( z03u?p(Bq2OCNtH_inP}GI>z-k_AqzIy%@9gIX8xKn~XgSd z$ymf6L<_KTpp-qhDq5Er*3`$Clf8aEyht+QMJd&AYuyDf-_8s-^`exv!jD4yy(#B| zu@P-mlU=D|CnG1J7&r@LOjeE&e%ts~5_1RK4zI&OV@Xa)k(v6EO{~lmmP&rgO5`HJ)ILHPv>*B#H!QiPOD|Ikz8;X0Xx*lc4;C^}fg zIr<5zHGH9VvWZ$mGT0NEPf1N!LxX*OgTBn795h_#0AB1)BbUnxG{&n6MmTIkg zWyF?!&t2$xj_R0Zv4g7&cNPq^MeFrkn!8@Dht+gIXu4>93VV!o)DWMW;sxy4a^qXM zry@*)iFGmmw7#g9qDZ~&Y~GId%^K`V+nu2U7q2_(I#Ud7$$DzU^c2&OCWUox@Vb*$ zhdTR1E!P=Qu8j;IX!(eglycOpYci?0(7~k< zxV|mcQLl0k_a@x8TE=;T+_knr>#RV+y&9U|KA(qVTnoVr$g7dB%z~MQh_`eB>h8lsYCs z1-EPdfQ*b6#;{Y?efz?e8Tx$VGv_wrmc;pQCJt(9f#^;s}b6i%6-*t3c)&=b?xo) z;DysoXI+t;xefcz5!21O-S?$(*7mqsS3q3tEK^^2D0*>hkPZ5@?l^I#IxC}`e~xmp zQDoJ~Tfat&m7yt&9mHk#!jduClaX=0*`8>sxk~mShHc_olWjbl%TBTy z0$Z^Oq|Rs+cQ&@z!Ayjj5XJk#J>!c*&!^oN_*8xdHRb}iG!b`pY*CoiC9jUbF2LxS?P^En z86G4}^|(|BCwfQ)Ofjq!8w!CpMGB2Dh0q$b#XGoup7WiKV&`jPb1lh617jWto!=M= zUHtZjt7Z3c1Lj^BHG@BJM{X25xCD%Hm0W+ZvFQT{HT~kiv>O6j6OQcZI3g$9+da z7nrrqNj&o;#e%^cbh{TO*;ITiXUUlGKcFt7r~TvRGjN* z(s5d*RP}dV#kqDz#ongi3gunnN;QePpGxI34UQAK;Bn*1N2cQX&cVdhCRNkc)e0THR@rYHG38yoS6`XNbl-cg1iN(ht^LiiB~p&BI%G#0eGm&-E*UaAp2ptn!g8 zy)7f8?fmoa*)qank6Ind^UlKO+wKp7yNb(6E{(HgsENC(H6uW79%b#6m8w@p_V9=D7oaoj$!Fp1zDKrF=NjdF3>WRDXk#|+15IyR8D#4#%hLrOE66wL6dKrd z6teO(cT9#B#r>0f^})JVZ3}5iSzPRVf?4EDjD*l}p9k94%DQ1Frq0uvojD7vpJ}a* z5Ld7CbTpE!ZyU+Bvvq89+eii8{9|G>$0?bffD^QRUSBFX(hLYn)76jv3~ zdi}^|$g#(Ztq3`na{QvAZbL2mEv&k};oKHdJ?;)I`n~%5l;5lWk)jyUl;RoleTwtF zKJf>W*w4`>a*wW98(%vmLvG?m=V@0qwZetba9(kW%?N8!Dl$T5ko#OjW%3|-cAmT` z2U60ThsU?tR;t&nl;f8LM)V%+UUdtbCUM0&*LY4L=W6AyJGqOA>;ZNfO%l=IY;fja z4^XZl1JceOC`@T^#@BAhkY9V3ztc>;cj|g|XKKcfdUZ)^!;lT?M8htRr)Cy6TUmAv zhcspB$*MZgII2{pFLpd&2|t#q60WV3 z&47m>5cizmS~SD8h>ax~L^U^&fe&ZY?o2(G&Xf&hP0F?I`Fe9Rt{lpGvq!mI^4NWC zjT|F?9xfT;#DwJ_n>jc;p)ADHDaFCvV2tc4B|Bfv&XFtTsACVcos2!2aG*4T+;7QH z+n!L`ij*wwV9VO`iG8wO){-l{1Gs=m$WX5c=C(4(9GfRkI9jSg2G^?R;*aI5FK*}N zdf01)(2p@}229psIg({@CzyqW++v1JL*a5ZO^KU6xZRV(p2c*>E=lQ1ip9@e^Yz>u zhIQlGsW4$LHa4}cJ94t1Zi);wyGS1EV2{T(z%7?wjGHURXQ97WW$f8IGt}rT`~4z^ zF&F+?7DcBi>oeq7fb$&?*pworDbOo0m(1bZ(~CGB^3*s{2UlL~Uc@P5 zPsTQEIK5#Xd`wEkNks#hpbg)}x03X@&E9a4btjxMt85c8GG<=eiqYP4eQ>ek*+$F$ zump9kex;0ejeP9(#6~`n3f;TJ&Gx{(nXnIa?2{qM@pCNx9k)kPt5&3Pp9k0w;$13P zt^2Q<`yt0U7ICo$N`sIh;lK(N_f9~W7GZeFUZv@u`#`A*V~#gkE)0fV@75Za%}Bd@`Iq`mmE-wSaive>r&=oO!8h9M#~iH z`5Kz^Bhizg?m`zwwq7n3R&t{r-H>C41tZ~R+n~zW(T62;m0nBeEc-#0CYNO@UH{;h zR@f%&{BT94#?xf^dB5}U&{T!+b3V8%MKASg29iD1}B zbml0#Pi!uvM63i6RBUvjLD2{+5skYCIP_&o!xV^P9kJ@UdK*#{I_NaM`E3KdU0A0+ zml9O3{w{?HV?!#_t2U<(cPH#E)!ITbu5sh4eFYOG`3S;aM*(uVU^huqN-u13PMG{|R)T%zX& zFlGJNNk#f~k;QsUyt2Rq1aJ!me>)ts*r9sU-K<;DK7$Qz-77N%q?m>(_9{ADS8d7! zN7+DjFNUsNG0|G5uwHa0G_N?se%0Y1=SnrCYUl4f>{nza!1Q4MjH=jytqvLPZ)eEy zc636WIYV&|pV{?2>rOR|P+a3vw0Kk80mr2rw~gTDv+h;kf_A962&Ms?*&~R@Tt=SY?$DNTS zG?q^1YYdjbOo@%TOa=QzY)Fy5!D+oBCo;HkZR>TJ8eNDk)+-uq_=}I(>thekw1sNO zZCNhT!{y1ia+wD`$94DbA#w+NQnC2ByPh${=H_ri-0Y;KdbYPMa;g6JxYgyh!E{BI z7;N+5)n?BYJ3d~Ox@%3U!#O8pZC7V%+1#~VPp1ClKx?z|;Igb{*skXH*}ZK?XDnW* zq0ua^37Waho;tGLI(U{?3J6}+&l*7IB0^1-Kn@_7W#@FH&Il|UyjA?up z?$gOfBtX8U!*E!lU)f)l=7v4&!-l3<4$9VLx)TnYwCcgmQ^8y%@ik_%ec|Yzj>k}D zEhNi};#8f6DJ<}*a-iN6rl?C$FVUN{EJ`GbglUn-$(0Go59m$9>u^U5frRAy^rZ;h zr?>u~saL^1?ef@?F(U4Hw#3C`a*!b!41F`HtX&?n0?vWijvRL2xMP7dmWcM?FNf1;gJ?)GoFS`iC6{t`c|lX7)UpYQrF7 z-(fFLg)rZJ;oWy%P^p>ot(yAuPF$kL*N$MLm!%~%nv}Egdc1ucgS5FL=!`f__0Ob9 zHH}tWb2URF`z4%Q*?UAn^aJ{`V0LgStbuJ{N3UzHue|#Cd9j1R^yTbKSs(88>lkJ3 zJ*G_OiR?_}U{Vn`T!o<+MNVpBSKnaUTzhSk?jz;}e^G{6gX~D5?r&K z8Z3*0`wc_1o0uS0XnPi%SKj7dL7Ao-q)Q{Ybu{`aTMyGmAg|uiLdo~u{ z8im!VB^zb#q3irExu{E~Az2i%3?0l8x}FDdHL?fRWwxy=>PWH8b2m8IX*QKDU5*9e zd<%>he-<{6aG&46BrU~8 z^Zo#1j@s%7ur|3Zo%nakAz?1CsmW1jzO6;9k7JE$DLOHbtdi zFPWAQQleiG%KpeDGVB+4LSa$ICfAq*tRO4Y+_D>7Y!~8Yc5EsgKoXkzzmWMye_`_qwy3xx=cFv#aoAqujR04P}k(;B_vS+jXO&$Wsgh!&|CIY7{0Hd3bHR z%xfEzjn)HK19xvHy&iy#o~$++Org|N&&K{VfEiJ>JPnpQ{vfWP^56nzukRdvHx~N* z!i9?$IfzOG(s>-q-O0E{pu2d`&m3Kmp@m!0>IhOaPD&V58Zs$ik$fdCnYkay%!LE4 zsEsvstpEQQ`xdw+uC(vTg-J*tAYi-)R76{pBD7SwN0Ml@RW6DsirsFv`)=3mc0&T% zwJ?-jgp9Q+t+i@fmA2chx{HNvqjnVu7m=cXRYAN0)`?Ru73CtD`Tl1D)_33U{e2(N znKS2pea>^9+sSb44u#rffA4-TjU|s}mh{^dAzbSiw34VwV&5`~lMo zvW??yA%B1vn6)!ju<*PpIij1;BZI6QsAE@Bzm||M8Whcyl49)}5R7^QB5ZFYO0A(t zvoJO=Cy9JYaLWl!r#UhX$gWiDs^fwr86-e%^@jLP8uu#+_brvlH^zAjL=;WX$_+eZ z%_R4^L!qf__^8?aSWL2eh$ur6QkkwT^!?k$=B09~&YsS&xQ^MoJM}#Z!G=(n= zUlm>uUK&mgpO8sCET@LVQZs;(*T`eN%T<=^;#>mSH-UA;LC$VeKbNLu9kHHfrDYQO z$3JJZi>3_@yEoO(&DO#kH-8b2er|>qr)9-1+8|d3_b2W_l)`urp)GWD)SnF5^602{ z42}|XC3juOk-APg7kMT}w(bfsg0(PewiesUh%ZN5J(v?xUJY`z;!9tPj5#6sQf?Uy z{{S&Bs|u0n;EAyi_GM1U0rbJcAsre%8VBsQYz2A`T@8egTQ#x~3`>syK|9rG)0z$6 zNF0nyVtL>?X%C{DX#w`|@MO4>ojxuyzOIu*df|qv&cRiUwEp1i^z79p>4lJ~MvQBe zkmKn(3AEEJMK-RJ)30m6 zYc|cB2Ci*X2KhA0cD-ZRgQ$d_CCaN8J`k+5P5Y)Hq8DWQe-nI>w&~t6L^gNS!@_V#7@jcpt^EN6WM7?54Uz(B}dbK3%6_WtGQV26A zBEWe-iYHegJ4|nKj+Yh0=b5PYv%~F5hFdEGwY)&s+%?IrVz}SSz%bwzQBYB!-se`z zc&~$NoOIt-blyk`NkqV(&^nJOhs4XR8sS8RyW^-BVyUQMD}@- zC|O;X0bxXkd?PSzLzpL>Wn!O41pY^~g!`QU-07R`l`uGF{-*+agIAYge~J*WtaG3d z2Wlo{rHS9ZQI@B1EETDLA#DEW5O$F!^ps8kT)_bSa?l-nAWgE`utAq*;v%3&Kqe2_ zKTU+d3>0>(pk1zaf3e>S+7&}?L7SylkclFmfg`JWX|&54FkFrX<@hQ>PZ4bPsM&OI z6xH(;dtv*#k)cW)Z5@TYoQ*e`?2Kz~Vj{>R1C6A7Q9Hpzm!+AA8Uj@zwPTZLiSSD}3!0{`QIhd&Ovb#Ta|VID5r3dqsr3BGO**ti9qn zdqtGJ;(2?;EPKUldquRpBF0`3XRnC2S0vag673a9_6mc&!f3BB*(>JSE9TiNUa(ip zw^uB%SG;Ji5bPDOt+t7uiI>MF{%O1voA}AZ^L>6id2QoI;w9O}Yw(h7;}v+xxAD}s z6gV-`_3eC;^94f2cg6`TNw@N!iZApl0I6IB`|yW7C|-9!&8rU3F<2oM=>d<7FuEYBT5l zanPj*_K|Oz8jv_Om2Ix1q2k~Gdnd9rHL|s@hp7%n0r%Kyp(O*30SBp2fzU|dD0@Kq zSh2#Rw_0YED>Wg;1Cj+64s6j2+{{g-|N{kq;R7e7|x;|;w%Sp0YsA7;H`!|{!6hqga!ryx>&=#yvda`ImI zygeB2z>l#VRh6ab%X0K(YxIfSEnn+khU2jSJa|M*$QDDNbI>!jFg^{k03&zj=z+dy z)ZJV)_TpR%tJ^bLGDT( zJKe0t$NhRbM^A*3lcxtAfzn`i^Ofc+V64bdEp=6fWeSL=B~eTCyaXi7C3+i_QDR+I z#UU3(N*3rPdO?$>&*CeEkWB_AeuPQp7}TY4cCU?)mH4wBJsw$=8F=bJ8hZh1CYhMI zr`fB6DeS2|Z|RwC#^R;hc(Ke zf~zvTY2t2+{;lIQ8G?jXVKH3)cmY#Zs4?vcMbt-`TkP=|F`O=3yp9QWg zdr2>7H=>Hj%eow@9O=ONUxe_gF;-=XCt0bWjAsCv6wS-wn&!MV=q4lUmT*%9GbBeV zmo-g{3!*HtxQSH%%D^GIuyg0&(7*2ooo5D}cz!>KIigc+Y}@%Dq*nW1dU5pOT8){4 zafjB37LWp?v z#3`m)a)Dr!8JIzT%Nvmm8;>!AiDVI;*3%YR(0*#*(?f857==e36;e;HqqZc3Y|!Pw z`l7FKClg-=l@M&IWd?7vuT9rzU>ce73m;p&UB`LPkINa0)Kyinp43)f>B;@g74ja9 zY~DjQIHo0|x88R}Ru$D9ke$AAx)U_NWZ(eKMY$hP z7BU>Gk!2?{4kgdisRvK|7qKf-gSl^y1Xb&59eQvO6zA$jvYG8I>8*=IBkgpAp zYONBqM-6~sry+ban&2V3>hvMew68p5kFQ*(u*kVzD+YFJjjU#z(L5%a-ea)(MTdN5 zuzE#@eL;{t&F2P-WMC)v5=wfqAeH*#Y%gw$QqcYzxVQ>*gPWkc9W#g!{=}o*V~nI^ z?zfL38;UeZ`YvoKQ;p(W!N%~_Bc9$JVB|i3MD*5ZEQ`W{r>x#7M>`DI`RyyBd-g6n zy2qdiWP7kq>A+o;20?q>P)C2Fr^pg>EX8$Y`ZS!lcID~W{#3RlvVn{ot6}sq%}GOv zW|MyTFJ2{@E&2@hcx3BvoD$9ZdYdLsU#!`t&xCr@69HR?0zT5S^?UNb{bls4Kter- zhP4|U$s5|DWZ-gaY{Qb;P~~=45qDcu=#c-dqMA9k=40DCR3j^w-kMYHbL-Ga88po1 zL{#0HPkhK5H@*#dC=o?KfI^Hqzue>2oL%1-f_-mchotZ~ZA_x%I7Qig5~K{JP=Niu zJRwy1e%!S958~fS_$r|_p)a8}o`TKaF^tq5QBFx@!x44y{}B;)7LRF`!m4Yzj}#G+ zC3G3%LY0#rNBlfq%u(t7qei>`4!6aYD|+c4^b%_j6aRx=X&Ez#9&H4091&4aJa`?#R?{rXgGVHF zSSz^qjnqiq9QHLPPPw5N5Uc;wWP0h*U3ykmZUDcmE3%3l78HABj?TeVii}Sj2c|i* zg@`KLKu8K2Z5f3drwOPFwaV-gzl!i-2)?V#0B0p7f^#tfBwZ0N!s=)D8xhel9M7&$ z@LqV#qRR}(!pp17&xn6}e2rv5iBOz-^?{@8LxZh`Wa#1EAEI^p4Y{LLcUAYSzR~Ew zT(4NjWRxo{((=*$4v?3%l>kVe03qb%1Guk%+cRjPV*_)0imJH}iPI;SE2`r*3|dr( zauJ{HMfC)nDj<_Dj+d9MuD4lL9jw4!+{;#%RT_%!GC%q>cQT#6s&h=Y;()xhTRdfd z&!~R1VMHqo#UWe8Q5|pfD@NhA^AQ56vs$ckzk`xUWpWQ%;XA_5KVYPGl>0kCF>7{o zOl({{RL5(9BTU=%iQWwxj+X0})<%76fTnxTXJ0K3ESHkpe8t=dFmT5Y1nUpyux86B zL0fAu`xf<=Q@_L`ogsEszt~xK#m@SPiN~CSqMvfomF8}hNbOnrkR7mT_8auzUYbJo ze^e;Qt@14)`?rvzG09#P*^xp@SZ1Eud0C9fwl7@xXu(ii8RDiPf&-hJ*`t%|=OqIV z#X}Mk4}99hYVLO8)EQZoygf^r2R!E;lW=5~8#Q;Ni)Y;llcC=WKm@MjLJ4!+LiAr^>@4J7CLTG(}gA;0AgXbC%g|EYE;?nQ~wm_BCuyUSvbU zwuH?I3rRDtUxchTM**GKfaEukW2bsv8?$v~)#I^U9XabDA zG?GEbR5OZZt8 zzK~};dB4~3&>Fp~UOy$AiVe3yzb^W^^=T4MF2-Z7`Y=wYVEjvNk^W0k9QK3W<%kdt z(5JgfbZ7MZD5MMyM?#HDgCLE&mU2iypVn(EV+a=EWweY?;*$W&pj<#_yeLRRRNm&2 z?-QM#AQD+(@h61_kzgqM^;%UeVQ2J*j*{(fm4YBQ;Pitwu^SS>H4*Q=GB2+T(ingx1w+zsI~MzTFdpT_lG= zN7x4Fv^v6A@`UPdGB@}rnS0}22F?kib4O$Lq}sHf8(b%BQD1=i=Q;^3>rs3t@Ij5) zkn%#2)20PR7?o|9?>b44K^#YEF6iF!Z%}_A=G@wQ+_-z7qA!n?=1Th=<J8*T2g8ThFg6a}Hd?|?Ys|dai?!P0-jfy`E2G~;BtjyaQVKyoevcbb^t~0tMqL0 zol_}o@?B)@0k?Kzm973ms4Z7ZkA;-{H5mty&keoZ(rV@hs^v65Nw5jP3aZPQhVl?Dj4=_(E7K|sPM^@u3q-+J5&BlT;AT|&>I+w_(P zIQ0XS0zQqC3JrrLgbYCD3`J1v4%F|^C4FT=(?r4v+jU8gFe20gA^?K+T+(OD^<|gz zBn~l=fIwFBH^U38_V0%I*dx$&j@^}p$c~~5jugn2YDj$ zCg-OKf=lM|alPP5ahY9fTx(r#x&G{0=X%?f=gN06t^(IPu0q#eU|#E+1k4`TCE)ga zo8T~y0u}->;v715yje9}v#m7D_UX%}(tc>e?00&u}Os6^X8#}@w?7U3R4iLQ4} zQCrbK^m(g1+GSalMvijJ%qA?fDP;E~d#eT32BK@o-oYhM=Fs)+(ZEx!X9%usJ;iQ2U+aRQK^RM>_XeKdX7)z-_)wzy=v;rHI~PfWf}Pn(f*wLJiC9h?|=s>u>EB zv<(KL#HomtBnU}i8dcS^C&->A9?)tZ68+|k7vUo2|SHoS^;aOwOIWCfrd zaNw$@R6^+{61rR_zZ;0uGd%l-d4?IwJ> zv%p?Rv?MlGmqH}KgI9C+yP#;BgsR^MSoP^jaEC)ch#&?b)~9y;(Xefv>=^RU72V+i zujP(PN#6&4pr#XJC^l35z&Z9>*0O!vS6vY8Pv-IjaJYhHkY-SaBM;~iDDi@5kK!XH z{&kZS5+`^CSYbE1Wm4bTX|qc-+6yWUt4<0kqJq~3(^sWGFlVWwgL4!PqQ4hnmrD4FcBzyfZx5jO zQT6}{?`IE?^4>W5@=Ci*!prP3!q!PId)@SI79A_IN+G8NTC~)<0QRq5;sPOpD{4wu zpOLjC)+vIxS_(5a)|iQBlrdA#zM|jtd(*ag`E%R~6^8QZg7#S>zmiD^vPwf1NC(*e$T0RMhpCyT-0K;F=mroLtd@E=p(6JOhG100Mv|&cFs19g)e}4M= z&D)YaG!!X!nOVlwP+2Qs6l&M&rY~hyMdp_{Yh`AprZjF5wBbNI1}v|h#1O4_I6>dbX68)szH|7At)eI z+(=~oj)oa=jD?)aDhE`2My6;9_PG>tZT6s`p1oPi1Z}j@0bX~!QApmcH!v23Q?f!cMH3c2rb%=yWO{(+s2bqNS|Atm-~i? zMny8g=@dm*ApDI-B9*?sbpKYH#-N9`f{gn+_#pXmC=Mz1L4{FTJ`VDz3tSCWr&MRq zBfJEP4&YN|WpGO=SCjQ?en)be8$v)MTv{HR!o78c;0tNo<^k5H7}=(Pd~KU6-IhF$ zToNR^lK~M~QU$pSf!tI`b30Nn%&Oj>J;K9_t}JsD*2yuyXXkmBhr4Q+hciK5M$7_N zIF+MDUX|hHGhMVnkB0Yi<$a}GWgo#$q+3&D&P5(qlj+~5sA?9e`;xtD7is8}k)u+^ z&rO*Sk)r%4+4J*7en%Dsn8Nc5lL?N4@qlWnZcAcLI} z?lQ&AfprcDyz)I}4=AqiKVcKFdd4!AyAWOHFJZ!2+hbvDq};_{0W`mW141u7BMA&_ z{uuWPr4SJ)ST-QA2il2TMK8`p7?xAqWT|uVwXY@I+3VaQ5cq;AY*F%$p)>cf1LBO0 zUCJZZ=5Rkj<{8q8z4UZsspP$q?aJA_Z?e5-76cybw`TG3WPATCAi+5Dbjp|qGN{}V z1gROA9CkjiQ~|#JDNGNF^@0>;kSxPdIOq%4PN8`HwK++SWU*cl?3sBT+LT4$xu+AG za?=+UxK=E*j0>A3hty)7jK5RhdTC)c&SBG&s4!tMUD-3Bk4UFByfaY7UP_Ph`96bchXY1>k=4{ zLzZHTfonfSnJ4n2Lbbt3veW9;JZ~1G#RX7iFA|AcKygi^E_0<&UKeFYHHdhkU_hX9 zARjy}jm39pBj`xMNsr$B7Mf8m*@K?X9%hyYy-W0@PV{^wLDv0{xI)X9rV!7b&z4Cv zlafH#NaL1CNHH-;4VnHBihEfCl$T2qeZdn{ED#Wrr-veVP%!I-84X2?5?x5rA>5tq zE*8)@*t<{kqw1$%@+h3S^7!HdL`)= zxSKfw6W)B!-Jm2cipqw2QW9v!{}TB7sLxOlBNo8AMRu>)2N3%-_ae0zZLJtBnUoP5 zZVrf@o#P*?H+#h{&rt&%m&d-9BO@f4p+enln3m*j;?qf@oM0-e4S{(2w4~4zT^=wc z*Ez_dsbZBzh;^l`Q|G0y2 z@>F6KHg}*ykQ>=Pp;ZHN>lRUkds)wCTp-c1B{c~tUnJ1G^aj|w+}=K)}Jr& zf%s*YXKBe?*ZT9^!B)c6C(>!$kygIdT_)rKa+GWy&5oB%cr%0(TGl^EgPHe+q3UovGyBZXsQo+p^aPM}62Uow)XuFKtZrC$ z2Pz`-*SD*iY(+?{5GIbuek zS)q5(!CX-XNmw8N&0WoUM5|Y5BLpP^%8hD2_XY?l95CM0liRt^^|`r|iFa z3wWV^Ir6~7MmDHat0fSXdbyd{fxzInN_C2T(GD{mT`y{%cHYet6--gfN=aNLK`BK& z3#9ewdJ1!>JP5=l3*GY%i&npgJqK;C-WuS{ux3gySBpdsNhWDC@)(*5GQ3JD98nC%JVC#0pPY@eKtYs{C5SDo`-TP^p%j7Z<^ zNEKyahyhY}=jcdg>2z(5hS)hhAKGs9?^+0hBt`PCOr3pfBgv3Y>>9iNM3?XS6WQ0; z6MY>uc^cG^Z+E{_>7}V+Vaq>^?9ipB(aEXX=b>zrMy~Y*&^mjH@Y_h4T;nMsZ$oAy z#)NAbqtl8PF}+*6X>IVRYp=H{PYnNhl2yk-$PV~;_b zr|d0LiEf=qWDHnWqcp&+->g5K!e+^dP*;u#;uNM-uAse)F)h!8Tn)PlEYU@Bgm*nB zvI$rP+ZH;vKXh&{{z0maAeQsyElJ+~6qpRVAwGvbTE1*$274tnWwUcl8>tgFQ-EES zmDN%J?GjC%sR(`FC2?dpubqzxfk{})L=_90f1TfR&|(nI2i z)7~*p%u=j`l?vPme-)#ciBjowOds}G%awO?XxSa1%jt6-yb)++T4lT5FeF3M8je50 z@e;KKGW?}DYi!=aoxW{8yKK3t*AfVknD1@X(R8*U$D4^yg5<})qiGQOzKsU)&U^p| z3uV{dXw5r@+|N00&h|6ceCbB0e#Fl~^hNmw*3OyY!Dxy^+m06`Pyx^)XH*r&MLthjKM&Ruf zY-#?iRk{!ITWawP7Q}hp?z}_jAGenk*2_Cj0xz{yi`wTnNLl4YSIUEuZ8coitqkYo zTclTDO4+1T{b-#ye;%n~_83A&&Gw&@XuHFWyj5D52PIUAxB)qrv=N0A+ajobv-w`o z@P?MQW>`yGWA>KaF?$kij_YhadzNS=IGmH(oT+Un#tnF3xfxc82pTw&8H9in=hI@j z%o=>lOh#fqDXoOqcxYJD02{!6Zs>|igH{1769riUOSG`?t1~6C?RL2;h5PoqWtlO@ zEhD#JpXqPlz1aucNB_2rGW&hi*_&DFJ_HXT8O~ehoXOp!1}K`F;=%1~1`K#yn2#*ExZLg zZ&(Q2*ngW_FjM8Qrq^?%*no4v0U>r0&TbE;!>-V~)S*d}xZdx~(Tr=7Wg-NsO*jI> z;MR0Zp*Jb+!guB%h%nv85Xx1pRv$8)(RCKD=xWS}WQzOlyX-pH=X;i`_|E(ciXg`N zrXuoa7MeM*$Q#=SdBdtT0bJ>KW*=mv7OhTHYPgMuvm&a#It&E<&Ett>#Rl$aA_9u+ zCw+y3`hJm+h`D6(Oi$bXd>ZT#+`)+li`gO- zdjlx`r*b|RP5;4T)lw+j8l@Pu+IsBYStOF|j<~Ou=$4r>x!B_v4G~L)%?ore#LTla zY22otGP#Mzxlix(5tHD+4;??D?|5ZoEBAL7H{~|8+&FtZdnpDhEV5w>=Y1TTZyI(f zFCj+-%uC$-+@p;lQ$hF^d2iqLVyMzV&CMde0Cj+Rmgt(BmA3S-ChMplm9{iY7_lmI z(IV^pRhb~4tTsvO=s%hs)X{I6k{uDP#oE=0l@jO9gEsA-4B-Ei9u)23)XAle0NCO< zGGo__by<+NR_Rue-wI#qUL^UQoK}`~FLFEn--}#h+@1AV7WuvxSt_;9qmouB)nsv@cp; zeqq(&$S<>qUd8rROJ82`axA_NM;4k z^qA5ktqB=$x+ybke2xM0{&Of%(G~+v2kSc%Af5+JgqK#~47ozWJ^BpW<99goq!Kb2 zEP&B}f&{TPIW3}_%O_#GN_2lPF&g-0W`I+74n{mOY+ae`NRg~I!nT-LAqv6*_=mt{ z5z?3*rV1qxluV(pc(511l8cq@HPRBy9Gk%WhIU~|jAve=C1MV0V!8#!T;u|5bpem~ zEzuA-MvNNzLU_`PFhSw(jqEcV@Q^_b0O@7lP{cMNOu<7K?WDu@d7M!+Lq_F~7KkNY*xLvHBXC%Ez0?BEw=t@L)%N37#MizDr zpcM);FGszIbyWp|xC^cfuFXXh6=64`&~dMYM?b)gUOZKnDKl!NGgqnkWz@;nXlN@h)7F(##T1gw$7nCMTZQ2G`Q(im4h z0n#X*VTWQ2tn=*8$ElFS5`&x_tq0{kyzHQih&UJi6f}(Wr-PI6ZXO5?j!Cs{q2jMx zH7PJJasx*49xO{!pU<>*J)CR1z@Fo(9KicQAO#XS+^}EQ;FOV8M*uR;?wQ;vhkc1j zY|K)6i5qhJ!!B$xo$AKa%a)lkoMR+}o>xcz&M4s)OJYN;0mZuC899^WurC!m&!VVM z#xAl*7P2Q@wN@OlLQlSMbcqQzQPP%dPxQ#stRyL^k6?2^#xyX!$AZN7`tzB#!BS3w z{47Bj-0=vo;yh&aFa3zQnqRiWRN53nFEKUE)-5r`PKMq~!e>au9SLjF1sHfjb za!w~-UWSh%>ggau>a2Qtn&CFw^9+w78jq;lGCfBox!*(qge3+|w!bu!$O#eE>XNXR zO~l}>+#{Syc58yv(|(4}pn0t`bmRH7p%%|nLmi%3hW6)3c~gy3ULx-d{n!lhPBc`E zt*4{KXR={NR6YH&SeI7~@~nC~$#`sJJxruu982Ox7$(6_ZrJ;BJxv+r!7VkU;b}?w z4W2sVeIK;MINi6A2p#<|e`GZ+r3>>^ly%n8PmG{T;NUwC^oC`Wc`Cn4`5Ypv|;cBxphAuJX+}i?! z28$twe#+fYK@+9zAP1c*PWY3VJnPX#+`~S<>Avn#w*gZns2)Dz~qb=hFL}K!SG<-8*NHlu=e_qR@2c|6ZcQ*$Oc3(!LV>6DOKtW6VjIwmzl1cDwJMKS$ACO#2IeQ(_^y{T3^$3$%52R6p^ zWors=%UKV#QEIs>OyF;c^14Ioq4eAB+Q1vC=R%?J+DI*1&Rz&@Gpl2C8;xcUwhIiy z-J6VwR%k*ve-Khrgs@FUv=J$SLGb|p)Omzx0+CaWecgzSZ6GqG(?^ul{+McuZ{k*q z*M|^ECDwakN`T+`5n3qSaGsEA$W{hjD6t_UKu{zNLFd~e{E6#{1&iE8EiEr~8>olS zBsbB0T_m-V!uvS+e9cQHzPn^C?f|4&nw}OZAfdYIZNI*As8nBD-}woEQ6S-gFlq7{ z4p2P~@G41HL2U;{$H>W$u%MxakL-Vj3G4VxaKm4O4p@ur#Jm%W)uB^+ zq3L>+P@KI28cI9ahjsr zn`Xx^Ha5lCLY5dmA-=SSnx;KGJn(;d!Qp>-K(a*}2pSv{?+?0~7ki-~Upm_d3jZ}U z>QO41@M(H3z65zB?{%?e}LtHu)TYsSb1j3fje zUopZ`pN%Tkq#IepsiTXG#Ab&I-+lC}Mo7xgeaGgECMZw%?$t(C_lD61ThYjw6yzw; zy<+5`aYT}%n5O6H)yAgT6IgunM>CLy55Xhj6YHqHj=sx<9Ta<7eGZlt8hm@}p~1s@ z;6?Hdg5ILB1erUyJq~@cbD6*~h0OS5x5z^eiYj*sLn2n7vNIZwk9I@6%R{%^!h zi`-qwr^#Hiq_A9;-&xfrux@XFI zbbc>gY}8vPH9g;n9>?r)`>i+n0(@(VjFJ@Q8l(J!Vwpo6nb~cyv9WjWUfVVAJNbK8 z!SIa(>+m-0>YZcjxwjAVv<-dfyZyT7#n7}fgDdu4sUq7q=`e&?6}9U z>w$8}mAKPu$d&By8FB^R^K-kHt=qJ2SI~Dy#t@hMXv4UT&n8m4Z+?GrT=nUNiJ$!I z&CoCR*M9uF#79%Ejor9t3}2Xd?t>(a$GcDc^OM9~hMNU`?-jgrF!9V6r-T;**HoWQ zyt2W`G|W9z`lbH&OQz0#x9`m>>*j2{H1P2tpZ8TtQclbn;h!8Ik+_-tL6Vt1s0YdH;hS8xqoDtcIq5BisL(aOnNn#{Iej zr`}Jf>-_Fe%*nTYytMsxM<-_j|#o5q>ee8mRk&E_joh%?FBnqqf6(-hs1$u~P*N;AGR>v<2= zZ(qv|3k#dOG@9z9S}B=ioyP|r-+Das_{igDs-;VJuye%5_Auc?Un;P1;i6g3$9b!C zprWqYSf>e$6dsD0U@ER!ITA??VS*9B1TEM3I3R`KK>cG;h;1Y&7*hzolFweoeFL!g za*7UQPXjw4lDe9}L$j!Z;N8I;SVzN@06%_HVAF_TX*0J6Xk$ElEHH*TIoreT60imq zQP3m?Lc@J4ho&qp_?1$-mINL!+XmN0G_us{3UQ61GZF|lOA2BVY^x_b@wsE6I|TwKhz>^oS-jYDsJlC#Dac1xI}+cCB%6B5}x;%dK9}0Z=lhAqwUvqe|w|nFN1uwn@nyRIpODXA?=p&rcJgJ z(6~5MlYF{!?a!p>p9(t_%|yZU^yw2sOFfwP+0@e5(unN)954jm<6yp**O{c~f!9Q< zQCZI4x`JJtNytENFoFU4(9?_?hm)Bn1PM z1YCuD3fl)ip7}meoHq?S+FEwBH|)6Bw&P;FmfE4BwbZiUN6tBqc68+V?O+#vq zTPF6J#xPdxj>~WOiT;IoV=0SQfipf)vJk`Yh93;Cmn`%vSr|~VP+PKabjiZ8JV)m3 zlqv~xPZC!}!Ke%j?Ky8e?5#<(E<*9TrRgbYr~|6UwlmihOj8@vRmJpF;nqR4ra@kt zqbhQ4NDp{7Pg|1bS7*}hC|NkF&NQY`$nwivmbEJIml`{CX%#FOZq`{9Ht^r9Gb@U} zWUQeTCE&|hgDVQ4Frlj`#KmxGSNzj>vlJ8TqX<$ zk5Y1oEQJ*Xvf7~#7(l_`>Ye~9td54|5?(IoC@L`HR2fZ8i51+jlx1Tv>S1H4G8GgQ zXksK!gi~sFEq7_D-~=_6=oBH4z^o~hmpfh1j`nyJwS(2DDCSy^`q*$bJK7&2JLE+| zfS&cJt`&!$Ao zSTUTLn9o!4w8)Od88J21X>6io%3ye0d$?6$OO(dmF>8sB;EL<$gyo+^N!;Zt7FnHq zQ)X7+%8XMVseh&Zv-+a?L-nuKZ{z)*dYL*K@6GC^>ObL~rv9C}5bqTAZ`B2Om#CMh z|A_Y@^>5Vqcz@yjo_EZIs0lXjzj|-={?z+(uYB)+dL6{G1^+v|u6eEWwtBzj{j=9> zZ=-jL_dWkdqkkAVZ}dL{(#9x9m5**4zhzv$_rJzm9lvEfH{NG#gl>#ZrwhmzidLfrosE7cN2c@cZYX}cao+9U*tPVQ{>Z)cfWTxeiyx^2yut^!%h4>M!3U! zydQc0;N62zcldC8Ih{C1BJ+TFi2F}c1+C-ZzeGwlt{@|G&I?X&|yk z{x9M`^v94)o~!<^iF?lbG!VDTHm+&Gn(aRj_jh+jt#~TtD?5q%QQxV-zibcMPV(Qk z_EbyXDDwf5r;PgH&&%85SN!fh4~n6lf9c%x{^lRfdLhN>3!`4Ub@oo#PC0LHqT$}OV1MwB*OERX{xZt9a8|l% z(JOaIXJ4<>{b5r|@+}e)6a0tFpiRm9{xgM?+BVwb-b31Qm&8);zZ$SOZpTj=xc|AT z%rU?1&Lh$eDfP$PKhI))|8`!5ua(@Ncf4~zwhPw+Qh9}**8}=ze5-|9M#*zOs_tC+ zryZo{b}pLP`&`!MOTg?X+kzW!-E27hHHbD;!!*wc&ve(=0M3x_;hZ<)Go|}Bk{*5e zcI4li1LZqOtgVL&S6{ies*3d6&?=|NDyQq^B~@6pr_^e#+E+bRJ(x^mqkzgDh$kRj zJjCg~zBVsl?p*dI7u(+KXm%8WtmOcI@tkU-NyDo)Kv$NRZ#cctvB4zegC3_R2Ix1m zZLE5n12SNo&o&SA_pZ~Cxf+R_KwYC+gyJ7 z|1E^|m^+vEW1quQ16$qcBprK)t(M&>$qNuSmU`@}R8aFx_m7kZE)8r#03kOkocpLW z?gEubYDa)DOqkyGDv5ue;^!iLWYtlil$STwmuV^6#b)098_Ga|4Bk=jtGRiUIkqI~ zeX94E+yW!Aa5%s$VS1#!$D|Oy7Cw9h_KFFjMvyEn>HZLW=Oe@*K9mc;UkES{O%Hbs zY-gCx@wU6oKT6L=^oBl&iPup5`I7q%a@jR7N%6wd-Pjhep($x>3(YWIMls)4Gu;WQ z8uB%Y`nzyBPysyjl=D~pYL;24NGK zvvP#<_aop|T7nCHmPz}L$!P0{f&qDf1>9z`P#xm_@cZ2`3u*Fm^c|yoEnaxy_8;eI zU*{p;A@@f<&UbxCXQCTXtFINmxT~LVx4O$6?;JaV`B^U3fm})DTs)%p818=p$#?z; z(rby1Llnd74lxuzCbISL(@K71Wb69A!}B3%k8iv@@KPylMm0IYsSIi`%Vlj5R#n7e z75kDBms^DiQ`tUY$shpRxeeN-dJ7QKw++}{uheR@t3hv+|c}o6<81aZr zDaK|vk(^201bpMs+EJ_YuS*XQEjkY_KgM&Hy%oLzH_FT$L?43j85e}l>NJ3Pzw z@asd5ty8Y=E4nK?4%%v4ToRS{%#u{rs{FTvia5Jg+INv12}}L#N;&&Bt-iSil6LaK z8?lnuA`Kdw}0*d?qq9{0JNo+N?&JS#7Z9m(ZY`pEVt)Hm#6y8$ij<8H? zxz^I!(gNa8v&q`Sk6C}RuNI=JvcjgI7OthEQsb`m$6geS6hoNvoQ1IE259k)V04l%|?_V%6YJKfjP*V=dS zzW-VOlm3_dU5NG>7I_A9k4biJmoBlnBJQTjjwL2fdH~CEJlZ4&G2e?F38`1Quao82 z6H7!dUv`NUex7}uvg7{eK`;Z`3^9Ggn7{aDsE-lKCdmXTz(o^NwE5pCY#B=NL`K8j zB=#>ExqUO##4e(kk*e=Ew2bpV0n(Pc&?j;p_8uy5xQfHx^yBIc`J5@ZCM0C2Ym*~y zrn)l|b02Qff7E-}oBq$n!*({VUqH3R)WhvSwEt`|P3m6j-?D5aOoNM41}4PB5zTV` zN-{9+Sj62W(3dEzqYLY4Oy);De}ny7asG*u53_I!sE%7HvI-)FkIicF0tzzpmyJtG z;>SYJlv-L(j|CLr5~-!Ia=Vn9Mg3dC;HCsjv6fczq$69Yn8mc!E5-okdCUr_RT|@! z?WL|(;{t4AbpnHYZdoR7Jc-3kLOZEQA?9rMD$Xw;^mfW$yOYYk=~xsBzj5#z2S1qxVLF6Wv)ZlHeZHX_R}okPvASHxF&zilcAQ?% zMBe0`04fADWUO>H4EEJif^{6$)rYn#wtCy3?V`4&Nd)7B7$L1*|m*WbAyo>UD+pAD4#6EeorHu_xFP5r+fgn%yVwvT6v14o+Ob}45nw{q9 z_#aX5fMU$!b3KS@{E;@?b|e`IV|UGKJ=x}#PR1ozw55Al$6g2G_NuzqT;%WI`4fMJ zWH#-I%tj-^OqhuH48%4@^)PJr9wkY~*TLU|j7Ap%zZ3(n+Z=ntc7LJV%m1AW$qD`% z$t=9D&Ep**ZZ!4w^P9!0+p1M{EG|P>L5thj2?=Ob=d+0++s^w%*U&8}NL+50YJzqm%#Td5MHSIo{4CR*k3CZS#R&?FPaMb`6J`i9_Y85kr^6s)y$!`8o(%nu z48GL(f|ZA=ZzTL#aVj7MJDprv^CD>@X2nqH>W;NNCDVyi$BVePhmPpwzaZ1ZQ)DXb zg^U%MC~@p!3A;kZ8a!+-Dw>_-=F^t*|M}iRMu4r}Yg?yct~2&K`Ks%xV|$&D30$FK zx)|q8%6W=%swDiU?mlzRs-MZMTCFSqczFAA!6V7TybCtS;z))@p|@ILNfoEkFRL&b z>n^;vT5g%$TdlS{`?z8d&nm1|V#masVp^!RA@DGgjMt6l)0(elpXEW|Fw2{-n!kTC z&40FI_xyq=n?sXL2rrq;WY~K^JGXS%2!jZ3(L-^f(Ro7m= z1%7f>2f)PFO8!Ua-d^TKG(NnbR*(TlYbrb@w?V1=N5-~Tfi=XgMt4h^AwJh~5qHh^ z?qzF-`j;;vFa>;vnE=EW+0%Ah`2GuFSLPg=f)NeHFRIy7U={)gyj;9m>CMZP!WEH?0%hwe>3O5en}L*e5V&A{}dJ)R;XF1$|2|8CS_+iou29LyNfWm-?$6 zxI;6+p73P-H(dXp-7W)3SFEou}|&A6d+;<)R>hq6dPoEK;KYwAbpLG=TxlFuB=OG*a+MqRJ272B&odvQsTL!2Q`%$Pb%4BiPX z#v#_P8P_@hPrpbYgzOlAR0(d$kd~~Lm#jnoRuwuE`r2Pu_bc2@Gm!XpCJ5r7QOtcm?ZM8wFZ z-{#Nx!?2GeqI;MV&eyvm-sm-`7;8Jn^xjal;rzzxjco)29d?K8 zFT9O|)-Y@aT#o3C1HD~@$Wvwr2~R@g0^Iw>SZ|^`)vKlI>DDd+nJ=-cY4xzr5Oh94 zniC#*6EJwS*iEZx{u<#%1(LGuLcZ$9z3yczk^`Q-_IQ{H*WXStd;doq-^X!!>!sET zHza>DliN6!iEIkT@D{K15E9(b2L|QVsUbI9LyF%EG;?Tv9sVT^fCBTXDqoy83_uo^ z2ngvQuoGH3>)PwUnV!5zwM7ES0Uw)D8SL})an?wm=4>yiR1JVhZ8C%MO-&roFLfNS zFCTQDW34ClGfXKN|1&l(ac*(Ysph2+VG`*w7AZym7|Cy76VA3m-Q3mOrCNy>NCTaU zh%0MMs;aeeoQ9#1qKq*r*Nx2�kTF)F9^!vu7@>y?IlKd?I;C_}Sz|@h}7CP3iei zGEaOhcY~QVS1=6X$2IKyYufo{_t&|IEBLk?<}cu!7x2W$Z0~y9sifToRZ$IaKE>hJ zm0{=hc!M+#U(`I9A;1q+7l~L>;fY>+2L ze&Z%tE8P6sSe#FGNJ-~#hb$qrC6unnoAvZAoZ3*wTVAYD8ER0LeL_8^PZ;U9X%H^> zIh$e(w0TOdU(5=*S(mGhc~NQ}l`D@4FpuC4Q-$%L00kPyt*5MQJgyfYU*3?0#=XDL zRJ7Lc6pQPd-d5p!l+4x^UVEqx+eO(zyeX!85X>bHruz|3xWZoo%fZQFBGY}8d?$kC zM81QVZWsBM6eCIGP3Q0K?(zmdn51*ZBcG5WB+)J)8h?)9n_ljEcz|!l-*x~Pio^~< z^9j{*4Pr*5*%Hd}m{#$BI{Oazrt0nSZ$|gtyWGxnBxy$XNSkJKrEQv|yR@XqZ4*e7 zl2Iu7u!>QjbF|L1$}O_$@n-@pANx%Yd& z<9yHho^!tE-mCOgQ4BG~M|<~w>LT{I`hyfA*`P68b(qaQ+6B|C*mKIpK*2 zWxDO2$#Y-4e7KWy%EftkdV1~q(%t8N;-%vr^`wfUouRS+_2|4xFnVpUB`rH{jNKA-M)#hSQB5f%766a1b(w(A1p*y zzA{}6)%~yBGhJP=&lAB&?-L%sCp_FIJS-e7@LTuF%}4g`x*Sa%#QBlC;0CO>&QOr$ zoaq<-GaOm{iklZG*xxFA6{RGuUti6sXyHhg_A7p+H)^%4w(9qE=@n(1%`CM&QtzV zJ(s33Pt%YCC%%{(IJNsy=XK|(ZofU{rR#U6rd61te-8ELqh6?SdRP~lYCgU8Qh(e8<(xWFO`JqG*muhd{y!C<`udU!onFW48KxXae=ALnj? z{4jXfXv2XoTt9an_yl@_)eZX-uVBLnhS+JQG{{uL45%IO_QyZPt^W*^ofwl(o$A=h z4w%x>P{g0=p9-NT`{L5Tspsg@?=g8PC_g{B7ZjEE59gn-sYh&X$yn$6T*i*b%f#X?HM~RW^B*EY07)y-MesE_*(3i4E%t-MetM1nG1-oQM;y zQyJQIEyN0|+v4!JiMm#OdoAL^69nCaV~9}74RYiY-e9wl!$uo1yxZX49GC8fI&<)*{(`X+iQDC^zGitM%jBb)JlS5X! z759gN!j;xBs|$BMI8Ll0oemTdZWtdWi4m)7#OO9zP^fnZm)mKz4->9YqlrY};d=UX z$l)CE*o=hR;UG+okx?7D5=Di#I0&6e-`=c3(J)hdFf&sc0rBBVa?ENX3Ac5G1V~YW zw_wQVvAI!_chphiDCtDJaD`m2B2*gqB3F)*CO2tDIpG~fr`0%UBMB$D++%e@nIv4@ zpwcJ_hZjTA@CLh^blL%n^vH$bwMH{BWQA6C;|NJOoP^yoG6;hdg{!GjhnpC3c|dVJ1-tUBPH`l1B45;TR?DsFWGdV*rL#Z>Zt<32x+z&nF%+9%gtj(yNLvZlSa44NfL91Z4B3u6b+0f zr^DqU%rIvcY6{mH-IfB17DkhagbOs~G}HwGk4V#JI=tOJ3QXyycz~{iCUm6PK@2$^ zBLvXI=|-%H+Hp7Of+CU(LB10@quuNn5zq)DTqFROBali;ic5is34BnJi~J_)tw2gZ z+cI(-mpe%S-;R%5<1U~y@}D5Ab^--VV0xgy37geU5-4Z_IK>0J1qmmF5qdD2op=T) zWFoH?@U$!s_Lv#bp(F`}#_9Box{=R%6;=(nQ&pFWrm*jNy`fc${MIvS0VZTx#z-K# z1B8qkl$i;J2HmZrCBa1~TlIuQC>CJ`w*hZD5$kSx1ha<{WT(e2z|sdJf-*4Ltg9&E zBlg|QXpBzb+>N`UPXtCMP>i7m_inY(NmxkZ=*%S0y}DwNNE8w%z{m$!y>b{5h}hIM z3BCrp3&sLvGU@>8Q51y|Giiou9IBcWSA%QhFz}%nbd;II8VY(w{#1ohTmiEJX?J*r zEi_VqFPwzYIqboL=z_WdRNb;atV`O*tWJj=SBKicxVD&r(8_3DI|);ypaeKPE)r^m zP_<|Pz54k#l|rdXg?M0-!|rxEY=T;yO5OzEnn1SzIjG5oQPqlYHILn^*r<6^OaY@! z-y0G*Ln(^I2?Y5Z-c@b>@56A~$U?fC;;6b*`(0wo23LXmX(1vMhXe) zuZgr13X5?RR0EOIWd%lBDQIuXB_twI5%h8}Qi={m8Z0DXbh*e8P!diGxlCVLr(@V@ z92ubmPmfg}(QGtXKm@=>4vlgl9~2!0j35%BD3lsS5KIF=p>ddm%vm8yK*dnL%rxp6 zkrI_yplH%5VibT3aFrldSO8O~1`SeY#GHzR3l>hBnHVIAQo?8(wHUETlTOfK zHo{~vIzbPUP8Y@gAc4Xf^f=Q8Jp{c3kSpCld%XTxq3S3;g64Ro1%(KTf|jsBP`@J{ zmz#%9F@3~J9O0tIXyMR^n~QYOLJPT)i+ZAkX41q(gK(7`@k2|aO*YbK z_lyFD#-d?KaEXOxqZ`hyrp_eH*=^LBlsVf#ofR@?o2j!R>MR(&6b%dt!fJO5-7DRJ z=$&X-6G)p2pJk(uqY0wXXjhYi%|@qu)Y)ttZ}iw3JvO;#SWk|&n%pgpF(qkYA#RKS zEkc1YTDe|NhzS9qgGCa{xRqMHfCXut#zMlF3gD8$m~!|ok0Ic@CWeoKkvfKEO^v)( zr4a<9j+llPy+PNmFf_Eb!1)lq-l|pUYxGWR#@J_b~5Lrnd!MVV(i>n<;Ay z7!OqyM8LzE~e_<#XEpa2GL z=@BAdlo&@_xnh(V=S9ejInqj_7uIE{&sf9rkP6Hnr)iZdIcP8rD87`9md3T}IA}Dk zt5tUe7p;slsPqO7nuwDtm3R4|>+!Fm`ymdxEe?$7%|7T}{Hy4G!4Ewe2W+90>r}7% zpvky~7HzxXLtnHbuC?6&hd$`lIJL^4s6Xq2-iw1JSEoYh=P3r z1e?z`9F!NY*NMy=B!OSCgM-T9w`&y#)xxj*8a8T-*TMX>@p_HuUU*A3{E8prpe69z zHOWC1_?5#=mZR}HO)46T|8tC{K`NTJ#w`2`z(;;)XFQGj1|&iIW?K9il^W*q52UA} z1XYW?R-^JmfeFe6Jw62G9-H7Dy}>>P#>EU9mlH^a3KRO+6qk0)y)>5>8eknK2_D@w z4>;LO@R;6tLNOYf>HhaYrQ6Me6r^-hLPLuI;A+9%q6&2+-a&&_Pp$;J z$YdP><=_=lF!U&=j^M%i%EPLFCsnI?Gs;1T{L$TsidImb@)ko8dUR&^Sw>jM$lls> z;6}yh=uAs5;0rh$!~Z)?<57H)cghN8bs>NYJV4PfRG7rWNXEt+#Ti0Wit3Y2(G!+q zeMl`wa~60BSedhUFk6SMZWquNE3Y_oby6YSltzk3gfXI43y~CUn1RB({7}}I5%dgH zV*H|+@tAcF<)Fh!8U~sf(JqhBc0CWo{wgPOsSv)WV zCA_2()}g_a`4;GmP|Y%3C50pzzmj4v8MP{n7?+X6C~cy;0DTa}NJ5)aNTbUNW-R5* zK?ne=6f-$S+8m=oRE6G4F;LblP;~}Qs!t#})C5+uI_Cg8Q^!kmHVRKA2tJBUr7R#R zWdXSywlOU4jOcMT{}x^+T`?W`o!lg;p@zXYDY2OgbSlG}rM4W@0WI(A#yWJA(b>)C=qtSZ5;423C7fHcTw2$5+)-CEg@zl zmB3tJGGYr0<)c;0>2Zz3Ab8g+j7~Es@@3Xh7@HymGvJ63SUMMNFztQA!GH45;Td?m@`o|wp06qyUiU}7X@Eq^OUOZyY2KO4>bhADpB0&MA|1)n z*3o2;gPx;%Sg78DkvR?f0SrC_xyYRcx`V0wUsMKg{=;bo;6uO{mW^3BXzu#0W+47d zD+HsnX{wb*9M!}=tFRiO^c^sPXf%l(22dY1<9LxMm_~C2j?U6$gv&GNgs>)dh+~j4 zJ&A54L@Lyu?h7YDXp(7MPGBRKa7p=6NfcZOM3WUt+%I8sI7UIvMj>(rJY59q8boVc zC1#czzjQNV~MV8lUCj2;k^ z-s^aai*CQ z2~mG0qpUz1(8m8bD7)F|&MX?#!Xm6f>ofD{F_k10_ntojt(qCpW=uX8WAVAvV4BL% z!OU{HgIPrUX3fStWP`;5Y#xvdi2maUJ3R$Ciq2v>aKZX)Awg+T*aZ23xEtOm0M?r~ zZ5k*%6F>!y8UU$#vKT5U7ML!W8s1)L{fa^Ot?tL2Z18p>_i_ib{8DZx*gv!G6sSar zfH4E-Scc%VeeLaJKGwW;GMLGL_2BGO8fjPy&6}y0iC~e&BxdHifSp*VB|9gdg$&ub zNQ`>27qZqobYacBkk`P~TAzJ64T1qH;{S!+geB5}Q*`clY~@A_YcCMU^=V zCyaf7*=cG}e-2|;{C`e!Zhvq`^=2_h!kFY=kq)0P|$)l&@aGWzpF`cl-G``(PS>8Mt+wIiT|o2krMqUm(jqC zy3BEja%mSi$ED8Y&0R45SWhZZWgau*yNRmInfHAYC{#H>l;9(eMyTi~xXvCe*EG3xWKx;68Da!@i z4l0a~bqdC(*T58N(3AOI1TlsKJt;eZJ3DhNDvIx3H+Vq_j+4t5B-cwMfJFf~cQ~0?!TK zEPxd7j8*BJRSBjYjBexO(6yN8kZami5UYNeI+rl#S!fHNGNy{anCf(b*#h`=k`P@1 z;$!2_i!v01KIMN3WCHsU?)N;y=MyaSJ-_!07CO&g3^DXe(672x*DN;}R7w~pR6u}z zSw|NM*eF#{PGq1=0X16joYB1Q6?jLEVLDRJLmC0&1<7aPAl|DKp%_n(;6Ye&rGO_) zMymyNBp9U>-C@6uX%3t5)Yfq-dO+|y8&8DBZKijg;12xV0zwX(ri21^+#wt*5Q{}( z5$q=&#z(N91bG$${L4b81Y-C;E9e1C`~+oe^oyXShmF`mk(h=2g$gJSg&j~fiWasg z*eFpb7W*IqO5h&_qCBC3wM7K>wl6A$Lj1=?wL<*MLXE(kETk3UfjWd;@ZBeb7igkE zp$>qtKrP&f4|3uo3c$;$BV2YZ005uM&5RUGpj&Rwd_=sw;nL5H{+u;cQ;b;#Y zpE`O614C1fBmHZ1&XM7l+7IU6r{&)vo0fHV+__I z&~i5H5@LnT%*JGd(3aIb4sn+AVr;fTQ}E@-9Uh2bVi$f+U}{JbPhVy*;D%XK!2BktMUCoJza?m+w_i|hv_k{i&=7NS z!h$jV1btKKN}u4@WvA04k+^os4p6D76aOhb|bY`)0QOVXPG zDD2QPOX#e-ICojcfnXf1G}>@x7GRa;4q+j>^v6R|8|U7kW@Hoe4NoXR#f8p!15;5P zim+6(-y2MfY=w-oG`BYl8(44DzCG=iQj7;VED!-i<>+B=BdeWWd~s#~9D&`cz|1zQ z4i+j*nBK9%Kg8(oPY;wIo?d*B{l85Q_-P(4C8(un;q;)lKbRh)gbLBs-bRe1fjY$s zTS!32gW1ldu!Cppf_y~_2~K_-dZ|c61Bev_$P(oHVYU^5AA7jy|2k(LEUBTzj4wAs zo3TF!y#&?56L=}+n*%zn>;D{jl#Nywdto$ylAzNOD1yxTi7OzYz^g(}7WdPGP@0M| zvS(a#Fqkn*PF06%7+TlFc?QtU&J4=s86EKO#nko-1V%D7=V)v8nH_a+W<@q6p&YlR+ce%oq>*tWqF;bIlPDI0=RYw!A>j# zR57WrV8fsYw5P0nHYZJmlM~Zm-8fu^L(i}-IcG_}!kdX@#1MmZ0ahBMj^H>fzZM0S z;}+omGZV$GC$-}OAzC^^2yac9y;g+RSum5Z`(VQxwdDecxNFPz16g6uWsU<8g)HS^ zbSa&}hCK<00#0~K$r0$H9LpL`bgNlO<+*_wI|A8Y<=D`NKWwVwA8df*L(1yLCOUme zU%-X90N26?_-he5Rqho+Z&-PucTeZ%ovpqBZ9!m~*RW7_g%-?EenlaCODc-syRK9LC-~ zom1q^G$<#^(1waDXu;^9k}l>~q$3!-9v+|IwNT#*ChMX_Aw+5D=*&RaJHsihpmng# zAY}rv;7F$;ZlxET1+~CMx0=g|Qj}e}ng#+#xR{=1Gx?fTmXl{ zUp6}l<0z~mkem&k8ofONQz$}tvOXF@Y6xoWwBfY6g}G$-wg^~77&@U0WR@{I$#VlM zSdmcsFBFl5AQbCldQ^aJlV$(!qX11&CVE}Qm=}yqKr3pjd*?*hiqOAh#Q)y2(JLD$ z59L(<4*WCxPY0IhF@@=%D!{3qo@R022ozqWvOy;!ewD@-{wn>H`cKd2mseC)$$A%G z4hm)hwk0PNSku5}(sVF*eDKF&u_06fe}4Xf0YSkbzJVTVvW`yfsYhEg)E4h1fXLH-z8)wzM61r;_Zn~CW>EH;D~V83&c&4S-3G&vF|td;AL5WEt686CmTdx3_l0ov6Hh!{_=M3b-a;8AHp z{GVQcA0FpN_3RyoU(8|70~eIjH9(aXb7!u2>&}+U9(k`}5Lm2uEh`=&m3(#_EdnPT z{=SgYb3>44?T65PN)%BA1K>+J2{o)Y5rW(G*%JULT*ZHV<@5jjSgag0!SY8OKlCAz zvgTj??`JUBsGlF#&o7a+1%bPjfb!INYHBF>!EcIMNXY5)n)8}o4OG$Me=%S`6-}M@vIv;FAoWdiZGJ(+{7` z@OckDhv0J*K7p*I@I*Wf8~;<20`V&g8)O}i*bT4rxvnPPADjb!2+Lt0-$d}D4)kgS z@*j>Jj@8w!om#isCme+?dW4HO@DMV1hFd7y|9YWy?YUoncvQQ+5MK4NZtuFo^*6(v zuDikz+>kao{ln%uxP5PLJyr4yckS!v_P;;1?&JLZF7CR+`3K)W#yJabiF|`9-9we` zrb^G>yl2ym`&;1gAL<+TWL~PA^!RLomxKCNZt;x#@aTseS9t4 z0p|~oA%Ghn&a&WXsPIe#4El=*UA+0w+7myoeN8xB@LUZ9SYL=m>-OFJ@s4B`+_W|g zPfXR~?K$RFCRmJdL>q1-;rUmE z4SOB?F7^iYM)tGpm)UQy53oOGf64wg`#hV)3F5?X7IAVo5>6#Y&1vOyb4;8Ocz4+Z z=SI$7IU6`nakg+?=h(eB*u7eG7cceAT|4zDD0=z8>Eze6RPt&G$jyO}=}4 z-|;=-`?c>mUzT5xUyR=(zZ^e_U$tMopU!W<&*Hb-Z{vrOc{)_x`{Hy$R{=@#4`(NvSi~l|T5BqQPf5m^F{|Ek``G4>K zi@#4mSU`M$AfP0mCZI7uA7BY^23!_!O~3;IPX;^_up{75z_EaD0xkvw2c`z{1B(J> zfpvk41D6GQ0m?tLTF@IQdnk~AWRXa4fhTk3jZ1~>r55vC*KNEf_JT-zJQ4~=Z(H7Aku`J?> zi0dP6jkq`Bk%&zZFGc(-Vk+Xhh>H=NNFp*XvM$mcd3)r8kxxcG6S*UDf8_DVvym4f z!=h56vZG3)dZR|7E{nQ4>ZYi>qMnM{7PUL-t*C?W2#H^!e4<06`62w+7dm9s}rwFT%UMP;=_r5Pkb)%<;2$$|Cx9=@$9mL@kOo0CV9uT9>Nyft}O@|($@C4Zm%b8>h}VoFAe0G`#`l+vEkpJGW_ zo^ox<`jmU%>A0IxUP*a7<%5)?DJN2XN%2h$gQxJOr})PhBhMYk{7uxN78KNo$n=&MEFFPdHyLc|h_h$5nvFc3zVjKdk9XPnCTA>)?}pUlwAxJ)85H?ulZli8PP%k*U4lzDsR-!lJ^xhwNP z<`~=!gqy-gvW#@g_nf>1rY^F1(^k91&RVqK}W$rfxBRJ!F2_<7TjC#bioS+dkWqu z_^{wq!G8*VE$|bCi?T!oqEb<XfIq-cvInB zg&PW=D%@H4X5oRtPYS;*yimv~3NDH*T2xe6BrB>bYAfm~aukghT~)NM=$@h{ik>Zc zx#*3e14YM*P8FRiVikuL6UCC^%3@7%Z?Um>S+S>hb@6q@>x&;M-dwz`cz5wz#RrQ| z6#r7}QxaMdS3;EJmPkq}N|YtdB^@OLC6eKOM^>eO7lt!ORGxjO0}hAsiSmd=~bm`OE;8mEPb~0<{3~Hd3t$axw5>i z+*CeNzN-Aj@;k~OD&JiGV)?t}AC;ddzfjJph^ok{D5$8eXshV07_L}evAW{=in}W| zR&1+ytK$8NFDkyNxLDy=nNXQgDTUXpwpI>QF0Z_-a&6_`Dxav_R{2Whfyyr`f2{PY zN~p@Os;X+OGFG{&uBp1M>i(+7s-CXeUiDhlKdU~i`nu{|6-yQ+OOXj=B{HR~Mb;}@ zF1t*2lkBguCuGmaUXi^o`%LzYY+4pjolu=$T~w{A?yR;}yQYBD1Q;nzQx|%y`9S}vyEw#?tD{613y|4DM+Re4wYhS5- zul8{5SGC{P{#@&$h*Tsi_zIaqs~A+c6;~>5S3IJ4Uh$gZBgF~D1x0`|N|~iBP*y7& zlpV??N~_YPyj*#M@^--{|3Up{^az!=7mRoTj3twx-^up(a<;c+;Au^-UX^jx>GIbhhbYlfNcXvq&Srg=m&sd+)ONAtGk1I_1}pENznUt z-q8Gy=C_*PZ$8?5y7{~2pPT(#;#=BUdRr_l&X$Rm8(aR`@_5T+%Zn|qwY=T(Vaw5$ z6D|K~32aSj&1n_4mbWTeHLb?hrLC^kzqDT4x~}!k)(2XjXnnqQXY1b91FipVWo!Ml zAzDJ4s}*X?wF<3WyFxpmy+M1M_CD=n+RfT++TGf>v>Uemp&`$%_8cT)FnoxeUtpP}dLi}W&monEUq>6hzQ>DTDj>F?A( zpnpccOaG?+fc|6s7y2{$ANBr*Si>SijzMfFHz*7m!+^nRxXQ5BaEIYdv;#p3cF}Rh?IN-rD&{=cdl*I(K!x-}!0hSDmLi&vy#CmUXS` zy0h!vt|z;m>w3BCVAqMRZ@PZ&Vs-mFXS;vx4(Lhj$?OsJl=rB6x_XQ~%X(Jy+|YAd&;315_H60d)$?Z0fu2J> zU-q2qVf6;}61{o7Wxch%O}*OQ{@%-bZ|S|K_dxIA-s8Qedr@CtUqWAcUw)scPuADi zXXxwi8}3`)x2ErwzPtM#>f74)Uf<_^KlMc~PFkF~Sg=^KxMs0&@uQ2kEZ(#DoyDIm zKC$@h;$Ier^~?I}`c^_|Tc5(BZh@MZ-D6;^FGyuHpXSvEj>y*AL${{Pyq%!=Da+HT>^k zwk60CWl6ILElrj-%NonAmgg-mT3)dnwtQweX^FBXSyQieU;EHaS3EfI&@T_|eeCdK z+{d4tDm+oY X"{INIT_00}", + INIT_01 => X"{INIT_01}", + INIT_02 => X"{INIT_02}", + INIT_03 => X"{INIT_03}", + INIT_04 => X"{INIT_04}", + INIT_05 => X"{INIT_05}", + INIT_06 => X"{INIT_06}", + INIT_07 => X"{INIT_07}", + INIT_08 => X"{INIT_08}", + INIT_09 => X"{INIT_09}", + INIT_0A => X"{INIT_0A}", + INIT_0B => X"{INIT_0B}", + INIT_0C => X"{INIT_0C}", + INIT_0D => X"{INIT_0D}", + INIT_0E => X"{INIT_0E}", + INIT_0F => X"{INIT_0F}", + INIT_10 => X"{INIT_10}", + INIT_11 => X"{INIT_11}", + INIT_12 => X"{INIT_12}", + INIT_13 => X"{INIT_13}", + INIT_14 => X"{INIT_14}", + INIT_15 => X"{INIT_15}", + INIT_16 => X"{INIT_16}", + INIT_17 => X"{INIT_17}", + INIT_18 => X"{INIT_18}", + INIT_19 => X"{INIT_19}", + INIT_1A => X"{INIT_1A}", + INIT_1B => X"{INIT_1B}", + INIT_1C => X"{INIT_1C}", + INIT_1D => X"{INIT_1D}", + INIT_1E => X"{INIT_1E}", + INIT_1F => X"{INIT_1F}", + INIT_20 => X"{INIT_20}", + INIT_21 => X"{INIT_21}", + INIT_22 => X"{INIT_22}", + INIT_23 => X"{INIT_23}", + INIT_24 => X"{INIT_24}", + INIT_25 => X"{INIT_25}", + INIT_26 => X"{INIT_26}", + INIT_27 => X"{INIT_27}", + INIT_28 => X"{INIT_28}", + INIT_29 => X"{INIT_29}", + INIT_2A => X"{INIT_2A}", + INIT_2B => X"{INIT_2B}", + INIT_2C => X"{INIT_2C}", + INIT_2D => X"{INIT_2D}", + INIT_2E => X"{INIT_2E}", + INIT_2F => X"{INIT_2F}", + INIT_30 => X"{INIT_30}", + INIT_31 => X"{INIT_31}", + INIT_32 => X"{INIT_32}", + INIT_33 => X"{INIT_33}", + INIT_34 => X"{INIT_34}", + INIT_35 => X"{INIT_35}", + INIT_36 => X"{INIT_36}", + INIT_37 => X"{INIT_37}", + INIT_38 => X"{INIT_38}", + INIT_39 => X"{INIT_39}", + INIT_3A => X"{INIT_3A}", + INIT_3B => X"{INIT_3B}", + INIT_3C => X"{INIT_3C}", + INIT_3D => X"{INIT_3D}", + INIT_3E => X"{INIT_3E}", + INIT_3F => X"{INIT_3F}", + INITP_00 => X"{INITP_00}", + INITP_01 => X"{INITP_01}", + INITP_02 => X"{INITP_02}", + INITP_03 => X"{INITP_03}", + INITP_04 => X"{INITP_04}", + INITP_05 => X"{INITP_05}", + INITP_06 => X"{INITP_06}", + INITP_07 => X"{INITP_07}") + --synthesis translate_on + port map( DI => "0000000000000000", + DIP => "00", + EN => '1', + WE => '0', + SSR => '0', + CLK => clk, + ADDR => address, + DO => instruction(15 downto 0), + DOP => instruction(17 downto 16)); +-- +end low_level_definition; +-- +------------------------------------------------------------------------------------ +-- +-- END OF FILE {name}.vhd +-- +------------------------------------------------------------------------------------ + diff --git a/T80.vhd b/T80.vhd new file mode 100755 index 0000000..398fa0d --- /dev/null +++ b/T80.vhd @@ -0,0 +1,1073 @@ +-- +-- Z80 compatible microprocessor core +-- +-- Version : 0247 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0208 : First complete release +-- +-- 0210 : Fixed wait and halt +-- +-- 0211 : Fixed Refresh addition and IM 1 +-- +-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test +-- +-- 0232 : Removed refresh address output for Mode > 1 and added DJNZ M1_n fix by Mike Johnson +-- +-- 0235 : Added clock enable and IM 2 fix by Mike Johnson +-- +-- 0237 : Changed 8080 I/O address output, added IntE output +-- +-- 0238 : Fixed (IX/IY+d) timing and 16 bit ADC and SBC zero flag +-- +-- 0240 : Added interrupt ack fix by Mike Johnson, changed (IX/IY+d) timing and changed flags in GB mode +-- +-- 0242 : Added I/O wait, fixed refresh address, moved some registers to RAM +-- +-- 0247 : Fixed bus req/ack cycle +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use work.T80_Pack.all; + +entity T80 is + generic( + Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB + IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + RESET_n : in std_logic; + CLK_n : in std_logic; + CEN : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + IORQ : out std_logic; + NoRead : out std_logic; + Write : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DInst : in std_logic_vector(7 downto 0); + DI : in std_logic_vector(7 downto 0); + DO : out std_logic_vector(7 downto 0); + MC : out std_logic_vector(2 downto 0); + TS : out std_logic_vector(2 downto 0); + IntCycle_n : out std_logic; + IntE : out std_logic; + Stop : out std_logic + ); +end T80; + +architecture rtl of T80 is + + constant aNone : std_logic_vector(2 downto 0) := "111"; + constant aBC : std_logic_vector(2 downto 0) := "000"; + constant aDE : std_logic_vector(2 downto 0) := "001"; + constant aXY : std_logic_vector(2 downto 0) := "010"; + constant aIOA : std_logic_vector(2 downto 0) := "100"; + constant aSP : std_logic_vector(2 downto 0) := "101"; + constant aZI : std_logic_vector(2 downto 0) := "110"; + + -- Registers + signal ACC, F : std_logic_vector(7 downto 0); + signal Ap, Fp : std_logic_vector(7 downto 0); + signal I : std_logic_vector(7 downto 0); + signal R : unsigned(7 downto 0); + signal SP, PC : unsigned(15 downto 0); + signal RegDIH : std_logic_vector(7 downto 0); + signal RegDIL : std_logic_vector(7 downto 0); + signal RegBusA : std_logic_vector(15 downto 0); + signal RegBusB : std_logic_vector(15 downto 0); + signal RegBusC : std_logic_vector(15 downto 0); + signal RegAddrA_r : std_logic_vector(2 downto 0); + signal RegAddrA : std_logic_vector(2 downto 0); + signal RegAddrB_r : std_logic_vector(2 downto 0); + signal RegAddrB : std_logic_vector(2 downto 0); + signal RegAddrC : std_logic_vector(2 downto 0); + signal RegWEH : std_logic; + signal RegWEL : std_logic; + signal Alternate : std_logic; + + -- Help Registers + signal TmpAddr : std_logic_vector(15 downto 0); -- Temporary address register + signal IR : std_logic_vector(7 downto 0); -- Instruction register + signal ISet : std_logic_vector(1 downto 0); -- Instruction set selector + signal RegBusA_r : std_logic_vector(15 downto 0); + + signal ID16 : signed(15 downto 0); + signal Save_Mux : std_logic_vector(7 downto 0); + + signal TState : unsigned(2 downto 0); + signal MCycle : std_logic_vector(2 downto 0); + signal IntE_FF1 : std_logic; + signal IntE_FF2 : std_logic; + signal Halt_FF : std_logic; + signal BusReq_s : std_logic; + signal BusAck : std_logic; + signal ClkEn : std_logic; + signal NMI_s : std_logic; + signal INT_s : std_logic; + signal IStatus : std_logic_vector(1 downto 0); + + signal DI_Reg : std_logic_vector(7 downto 0); + signal T_Res : std_logic; + signal XY_State : std_logic_vector(1 downto 0); + signal Pre_XY_F_M : std_logic_vector(2 downto 0); + signal NextIs_XY_Fetch : std_logic; + signal XY_Ind : std_logic; + signal No_BTR : std_logic; + signal BTR_r : std_logic; + signal Auto_Wait : std_logic; + signal Auto_Wait_t1 : std_logic; + signal Auto_Wait_t2 : std_logic; + signal IncDecZ : std_logic; + + -- ALU signals + signal BusB : std_logic_vector(7 downto 0); + signal BusA : std_logic_vector(7 downto 0); + signal ALU_Q : std_logic_vector(7 downto 0); + signal F_Out : std_logic_vector(7 downto 0); + + -- Registered micro code outputs + signal Read_To_Reg_r : std_logic_vector(4 downto 0); + signal Arith16_r : std_logic; + signal Z16_r : std_logic; + signal ALU_Op_r : std_logic_vector(3 downto 0); + signal Save_ALU_r : std_logic; + signal PreserveC_r : std_logic; + signal MCycles : std_logic_vector(2 downto 0); + + -- Micro code outputs + signal MCycles_d : std_logic_vector(2 downto 0); + signal TStates : std_logic_vector(2 downto 0); + signal IntCycle : std_logic; + signal NMICycle : std_logic; + signal Inc_PC : std_logic; + signal Inc_WZ : std_logic; + signal IncDec_16 : std_logic_vector(3 downto 0); + signal Prefix : std_logic_vector(1 downto 0); + signal Read_To_Acc : std_logic; + signal Read_To_Reg : std_logic; + signal Set_BusB_To : std_logic_vector(3 downto 0); + signal Set_BusA_To : std_logic_vector(3 downto 0); + signal ALU_Op : std_logic_vector(3 downto 0); + signal Save_ALU : std_logic; + signal PreserveC : std_logic; + signal Arith16 : std_logic; + signal Set_Addr_To : std_logic_vector(2 downto 0); + signal Jump : std_logic; + signal JumpE : std_logic; + signal JumpXY : std_logic; + signal Call : std_logic; + signal RstP : std_logic; + signal LDZ : std_logic; + signal LDW : std_logic; + signal LDSPHL : std_logic; + signal IORQ_i : std_logic; + signal Special_LD : std_logic_vector(2 downto 0); + signal ExchangeDH : std_logic; + signal ExchangeRp : std_logic; + signal ExchangeAF : std_logic; + signal ExchangeRS : std_logic; + signal I_DJNZ : std_logic; + signal I_CPL : std_logic; + signal I_CCF : std_logic; + signal I_SCF : std_logic; + signal I_RETN : std_logic; + signal I_BT : std_logic; + signal I_BC : std_logic; + signal I_BTR : std_logic; + signal I_RLD : std_logic; + signal I_RRD : std_logic; + signal I_INRC : std_logic; + signal SetDI : std_logic; + signal SetEI : std_logic; + signal IMode : std_logic_vector(1 downto 0); + signal Halt : std_logic; + +begin + + mcode : T80_MCode + generic map( + Mode => Mode, + Flag_C => Flag_C, + Flag_N => Flag_N, + Flag_P => Flag_P, + Flag_X => Flag_X, + Flag_H => Flag_H, + Flag_Y => Flag_Y, + Flag_Z => Flag_Z, + Flag_S => Flag_S) + port map( + IR => IR, + ISet => ISet, + MCycle => MCycle, + F => F, + NMICycle => NMICycle, + IntCycle => IntCycle, + MCycles => MCycles_d, + TStates => TStates, + Prefix => Prefix, + Inc_PC => Inc_PC, + Inc_WZ => Inc_WZ, + IncDec_16 => IncDec_16, + Read_To_Acc => Read_To_Acc, + Read_To_Reg => Read_To_Reg, + Set_BusB_To => Set_BusB_To, + Set_BusA_To => Set_BusA_To, + ALU_Op => ALU_Op, + Save_ALU => Save_ALU, + PreserveC => PreserveC, + Arith16 => Arith16, + Set_Addr_To => Set_Addr_To, + IORQ => IORQ_i, + Jump => Jump, + JumpE => JumpE, + JumpXY => JumpXY, + Call => Call, + RstP => RstP, + LDZ => LDZ, + LDW => LDW, + LDSPHL => LDSPHL, + Special_LD => Special_LD, + ExchangeDH => ExchangeDH, + ExchangeRp => ExchangeRp, + ExchangeAF => ExchangeAF, + ExchangeRS => ExchangeRS, + I_DJNZ => I_DJNZ, + I_CPL => I_CPL, + I_CCF => I_CCF, + I_SCF => I_SCF, + I_RETN => I_RETN, + I_BT => I_BT, + I_BC => I_BC, + I_BTR => I_BTR, + I_RLD => I_RLD, + I_RRD => I_RRD, + I_INRC => I_INRC, + SetDI => SetDI, + SetEI => SetEI, + IMode => IMode, + Halt => Halt, + NoRead => NoRead, + Write => Write); + + alu : T80_ALU + generic map( + Mode => Mode, + Flag_C => Flag_C, + Flag_N => Flag_N, + Flag_P => Flag_P, + Flag_X => Flag_X, + Flag_H => Flag_H, + Flag_Y => Flag_Y, + Flag_Z => Flag_Z, + Flag_S => Flag_S) + port map( + Arith16 => Arith16_r, + Z16 => Z16_r, + ALU_Op => ALU_Op_r, + IR => IR(5 downto 0), + ISet => ISet, + BusA => BusA, + BusB => BusB, + F_In => F, + Q => ALU_Q, + F_Out => F_Out); + + ClkEn <= CEN and not BusAck; + + T_Res <= '1' when TState = unsigned(TStates) else '0'; + + NextIs_XY_Fetch <= '1' when XY_State /= "00" and XY_Ind = '0' and + ((Set_Addr_To = aXY) or + (MCycle = "001" and IR = "11001011") or + (MCycle = "001" and IR = "00110110")) else '0'; + + Save_Mux <= BusB when ExchangeRp = '1' else + DI_Reg when Save_ALU_r = '0' else + ALU_Q; + + process (RESET_n, CLK_n) + begin + if RESET_n = '0' then + PC <= (others => '0'); -- Program Counter + A <= (others => '0'); + TmpAddr <= (others => '0'); + IR <= "00000000"; + ISet <= "00"; + XY_State <= "00"; + IStatus <= "00"; + MCycles <= "000"; + DO <= "00000000"; + + ACC <= (others => '1'); + F <= (others => '1'); + Ap <= (others => '1'); + Fp <= (others => '1'); + I <= (others => '0'); + R <= (others => '0'); + SP <= (others => '1'); + Alternate <= '0'; + + Read_To_Reg_r <= "00000"; + F <= (others => '1'); + Arith16_r <= '0'; + BTR_r <= '0'; + Z16_r <= '0'; + ALU_Op_r <= "0000"; + Save_ALU_r <= '0'; + PreserveC_r <= '0'; + XY_Ind <= '0'; + + elsif CLK_n'event and CLK_n = '1' then + + if ClkEn = '1' then + + ALU_Op_r <= "0000"; + Save_ALU_r <= '0'; + Read_To_Reg_r <= "00000"; + + MCycles <= MCycles_d; + + if IMode /= "11" then + IStatus <= IMode; + end if; + + Arith16_r <= Arith16; + PreserveC_r <= PreserveC; + if ISet = "10" and ALU_OP(2) = '0' and ALU_OP(0) = '1' and MCycle = "011" then + Z16_r <= '1'; + else + Z16_r <= '0'; + end if; + + if MCycle = "001" and TState(2) = '0' then + -- MCycle = 1 and TState = 1, 2, or 3 + + if TState = 2 and Wait_n = '1' then + if Mode < 2 then + A(7 downto 0) <= std_logic_vector(R); + A(15 downto 8) <= I; + R(6 downto 0) <= R(6 downto 0) + 1; + end if; + + if Jump = '0' and Call = '0' and NMICycle = '0' and IntCycle = '0' and not (Halt_FF = '1' or Halt = '1') then + PC <= PC + 1; + end if; + + if IntCycle = '1' and IStatus = "01" then + IR <= "11111111"; + elsif Halt_FF = '1' or (IntCycle = '1' and IStatus = "10") or NMICycle = '1' then + IR <= "00000000"; + else + IR <= DInst; + end if; + + ISet <= "00"; + if Prefix /= "00" then + if Prefix = "11" then + if IR(5) = '1' then + XY_State <= "10"; + else + XY_State <= "01"; + end if; + else + if Prefix = "10" then + XY_State <= "00"; + XY_Ind <= '0'; + end if; + ISet <= Prefix; + end if; + else + XY_State <= "00"; + XY_Ind <= '0'; + end if; + end if; + + else + -- either (MCycle > 1) OR (MCycle = 1 AND TState > 3) + + if MCycle = "110" then + XY_Ind <= '1'; + if Prefix = "01" then + ISet <= "01"; + end if; + end if; + + if T_Res = '1' then + BTR_r <= (I_BT or I_BC or I_BTR) and not No_BTR; + if Jump = '1' then + A(15 downto 8) <= DI_Reg; + A(7 downto 0) <= TmpAddr(7 downto 0); + PC(15 downto 8) <= unsigned(DI_Reg); + PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0)); + elsif JumpXY = '1' then + A <= RegBusC; + PC <= unsigned(RegBusC); + elsif Call = '1' or RstP = '1' then + A <= TmpAddr; + PC <= unsigned(TmpAddr); + elsif MCycle = MCycles and NMICycle = '1' then + A <= "0000000001100110"; + PC <= "0000000001100110"; + elsif MCycle = "011" and IntCycle = '1' and IStatus = "10" then + A(15 downto 8) <= I; + A(7 downto 0) <= TmpAddr(7 downto 0); + PC(15 downto 8) <= unsigned(I); + PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0)); + else + case Set_Addr_To is + when aXY => + if XY_State = "00" then + A <= RegBusC; + else + if NextIs_XY_Fetch = '1' then + A <= std_logic_vector(PC); + else + A <= TmpAddr; + end if; + end if; + when aIOA => + if Mode = 3 then + -- Memory map I/O on GBZ80 + A(15 downto 8) <= (others => '1'); + elsif Mode = 2 then + -- Duplicate I/O address on 8080 + A(15 downto 8) <= DI_Reg; + else + A(15 downto 8) <= ACC; + end if; + A(7 downto 0) <= DI_Reg; + when aSP => + A <= std_logic_vector(SP); + when aBC => + if Mode = 3 and IORQ_i = '1' then + -- Memory map I/O on GBZ80 + A(15 downto 8) <= (others => '1'); + A(7 downto 0) <= RegBusC(7 downto 0); + else + A <= RegBusC; + end if; + when aDE => + A <= RegBusC; + when aZI => + if Inc_WZ = '1' then + A <= std_logic_vector(unsigned(TmpAddr) + 1); + else + A(15 downto 8) <= DI_Reg; + A(7 downto 0) <= TmpAddr(7 downto 0); + end if; + when others => + A <= std_logic_vector(PC); + end case; + end if; + + Save_ALU_r <= Save_ALU; + ALU_Op_r <= ALU_Op; + + if I_CPL = '1' then + -- CPL + ACC <= not ACC; + F(Flag_Y) <= not ACC(5); + F(Flag_H) <= '1'; + F(Flag_X) <= not ACC(3); + F(Flag_N) <= '1'; + end if; + if I_CCF = '1' then + -- CCF + F(Flag_C) <= not F(Flag_C); + F(Flag_Y) <= ACC(5); + F(Flag_H) <= F(Flag_C); + F(Flag_X) <= ACC(3); + F(Flag_N) <= '0'; + end if; + if I_SCF = '1' then + -- SCF + F(Flag_C) <= '1'; + F(Flag_Y) <= ACC(5); + F(Flag_H) <= '0'; + F(Flag_X) <= ACC(3); + F(Flag_N) <= '0'; + end if; + end if; + + if TState = 2 and Wait_n = '1' then + if ISet = "01" and MCycle = "111" then + IR <= DInst; + end if; + if JumpE = '1' then + PC <= unsigned(signed(PC) + signed(DI_Reg)); + elsif Inc_PC = '1' then + PC <= PC + 1; + end if; + if BTR_r = '1' then + PC <= PC - 2; + end if; + if RstP = '1' then + TmpAddr <= (others =>'0'); + TmpAddr(5 downto 3) <= IR(5 downto 3); + end if; + end if; + if TState = 3 and MCycle = "110" then + TmpAddr <= std_logic_vector(signed(RegBusC) + signed(DI_Reg)); + end if; + + if (TState = 2 and Wait_n = '1') or (TState = 4 and MCycle = "001") then + if IncDec_16(2 downto 0) = "111" then + if IncDec_16(3) = '1' then + SP <= SP - 1; + else + SP <= SP + 1; + end if; + end if; + end if; + + if LDSPHL = '1' then + SP <= unsigned(RegBusC); + end if; + if ExchangeAF = '1' then + Ap <= ACC; + ACC <= Ap; + Fp <= F; + F <= Fp; + end if; + if ExchangeRS = '1' then + Alternate <= not Alternate; + end if; + end if; + + if TState = 3 then + if LDZ = '1' then + TmpAddr(7 downto 0) <= DI_Reg; + end if; + if LDW = '1' then + TmpAddr(15 downto 8) <= DI_Reg; + end if; + + if Special_LD(2) = '1' then + case Special_LD(1 downto 0) is + when "00" => + ACC <= I; + F(Flag_P) <= IntE_FF2; + when "01" => + ACC <= std_logic_vector(R); + F(Flag_P) <= IntE_FF2; + when "10" => + I <= ACC; + when others => + R <= unsigned(ACC); + end case; + end if; + end if; + + if (I_DJNZ = '0' and Save_ALU_r = '1') or ALU_Op_r = "1001" then + if Mode = 3 then + F(6) <= F_Out(6); + F(5) <= F_Out(5); + F(7) <= F_Out(7); + if PreserveC_r = '0' then + F(4) <= F_Out(4); + end if; + else + F(7 downto 1) <= F_Out(7 downto 1); + if PreserveC_r = '0' then + F(Flag_C) <= F_Out(0); + end if; + end if; + end if; + if T_Res = '1' and I_INRC = '1' then + F(Flag_H) <= '0'; + F(Flag_N) <= '0'; + if DI_Reg(7 downto 0) = "00000000" then + F(Flag_Z) <= '1'; + else + F(Flag_Z) <= '0'; + end if; + F(Flag_S) <= DI_Reg(7); + F(Flag_P) <= not (DI_Reg(0) xor DI_Reg(1) xor DI_Reg(2) xor DI_Reg(3) xor + DI_Reg(4) xor DI_Reg(5) xor DI_Reg(6) xor DI_Reg(7)); + end if; + + if TState = 1 and Auto_Wait_t1 = '0' then + DO <= BusB; + if I_RLD = '1' then + DO(3 downto 0) <= BusA(3 downto 0); + DO(7 downto 4) <= BusB(3 downto 0); + end if; + if I_RRD = '1' then + DO(3 downto 0) <= BusB(7 downto 4); + DO(7 downto 4) <= BusA(3 downto 0); + end if; + end if; + + if T_Res = '1' then + Read_To_Reg_r(3 downto 0) <= Set_BusA_To; + Read_To_Reg_r(4) <= Read_To_Reg; + if Read_To_Acc = '1' then + Read_To_Reg_r(3 downto 0) <= "0111"; + Read_To_Reg_r(4) <= '1'; + end if; + end if; + + if TState = 1 and I_BT = '1' then + F(Flag_X) <= ALU_Q(3); + F(Flag_Y) <= ALU_Q(1); + F(Flag_H) <= '0'; + F(Flag_N) <= '0'; + end if; + if I_BC = '1' or I_BT = '1' then + F(Flag_P) <= IncDecZ; + end if; + + if (TState = 1 and Save_ALU_r = '0' and Auto_Wait_t1 = '0') or + (Save_ALU_r = '1' and ALU_OP_r /= "0111") then + case Read_To_Reg_r is + when "10111" => + ACC <= Save_Mux; + when "10110" => + DO <= Save_Mux; + when "11000" => + SP(7 downto 0) <= unsigned(Save_Mux); + when "11001" => + SP(15 downto 8) <= unsigned(Save_Mux); + when "11011" => + F <= Save_Mux; + when others => + end case; + end if; + + end if; + + end if; + + end process; + +--------------------------------------------------------------------------- +-- +-- BC('), DE('), HL('), IX and IY +-- +--------------------------------------------------------------------------- + process (CLK_n) + begin + if CLK_n'event and CLK_n = '1' then + if ClkEn = '1' then + -- Bus A / Write + RegAddrA_r <= Alternate & Set_BusA_To(2 downto 1); + if XY_Ind = '0' and XY_State /= "00" and Set_BusA_To(2 downto 1) = "10" then + RegAddrA_r <= XY_State(1) & "11"; + end if; + + -- Bus B + RegAddrB_r <= Alternate & Set_BusB_To(2 downto 1); + if XY_Ind = '0' and XY_State /= "00" and Set_BusB_To(2 downto 1) = "10" then + RegAddrB_r <= XY_State(1) & "11"; + end if; + + -- Address from register + RegAddrC <= Alternate & Set_Addr_To(1 downto 0); + -- Jump (HL), LD SP,HL + if (JumpXY = '1' or LDSPHL = '1') then + RegAddrC <= Alternate & "10"; + end if; + if ((JumpXY = '1' or LDSPHL = '1') and XY_State /= "00") or (MCycle = "110") then + RegAddrC <= XY_State(1) & "11"; + end if; + + if I_DJNZ = '1' and Save_ALU_r = '1' and Mode < 2 then + IncDecZ <= F_Out(Flag_Z); + end if; + if (TState = 2 or (TState = 3 and MCycle = "001")) and IncDec_16(2 downto 0) = "100" then + if ID16 = 0 then + IncDecZ <= '0'; + else + IncDecZ <= '1'; + end if; + end if; + + RegBusA_r <= RegBusA; + end if; + end if; + end process; + + RegAddrA <= + -- 16 bit increment/decrement + Alternate & IncDec_16(1 downto 0) when (TState = 2 or + (TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and XY_State = "00" else + XY_State(1) & "11" when (TState = 2 or + (TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and IncDec_16(1 downto 0) = "10" else + -- EX HL,DL + Alternate & "10" when ExchangeDH = '1' and TState = 3 else + Alternate & "01" when ExchangeDH = '1' and TState = 4 else + -- Bus A / Write + RegAddrA_r; + + RegAddrB <= + -- EX HL,DL + Alternate & "01" when ExchangeDH = '1' and TState = 3 else + -- Bus B + RegAddrB_r; + + ID16 <= signed(RegBusA) - 1 when IncDec_16(3) = '1' else + signed(RegBusA) + 1; + + process (Save_ALU_r, Auto_Wait_t1, ALU_OP_r, Read_To_Reg_r, + ExchangeDH, IncDec_16, MCycle, TState, Wait_n) + begin + RegWEH <= '0'; + RegWEL <= '0'; + if (TState = 1 and Save_ALU_r = '0' and Auto_Wait_t1 = '0') or + (Save_ALU_r = '1' and ALU_OP_r /= "0111") then + case Read_To_Reg_r is + when "10000" | "10001" | "10010" | "10011" | "10100" | "10101" => + RegWEH <= not Read_To_Reg_r(0); + RegWEL <= Read_To_Reg_r(0); + when others => + end case; + end if; + + if ExchangeDH = '1' and (TState = 3 or TState = 4) then + RegWEH <= '1'; + RegWEL <= '1'; + end if; + + if IncDec_16(2) = '1' and ((TState = 2 and Wait_n = '1' and MCycle /= "001") or (TState = 3 and MCycle = "001")) then + case IncDec_16(1 downto 0) is + when "00" | "01" | "10" => + RegWEH <= '1'; + RegWEL <= '1'; + when others => + end case; + end if; + end process; + + process (Save_Mux, RegBusB, RegBusA_r, ID16, + ExchangeDH, IncDec_16, MCycle, TState, Wait_n) + begin + RegDIH <= Save_Mux; + RegDIL <= Save_Mux; + + if ExchangeDH = '1' and TState = 3 then + RegDIH <= RegBusB(15 downto 8); + RegDIL <= RegBusB(7 downto 0); + end if; + if ExchangeDH = '1' and TState = 4 then + RegDIH <= RegBusA_r(15 downto 8); + RegDIL <= RegBusA_r(7 downto 0); + end if; + + if IncDec_16(2) = '1' and ((TState = 2 and MCycle /= "001") or (TState = 3 and MCycle = "001")) then + RegDIH <= std_logic_vector(ID16(15 downto 8)); + RegDIL <= std_logic_vector(ID16(7 downto 0)); + end if; + end process; + + Regs : T80_Reg + port map( + Clk => CLK_n, + CEN => ClkEn, + WEH => RegWEH, + WEL => RegWEL, + AddrA => RegAddrA, + AddrB => RegAddrB, + AddrC => RegAddrC, + DIH => RegDIH, + DIL => RegDIL, + DOAH => RegBusA(15 downto 8), + DOAL => RegBusA(7 downto 0), + DOBH => RegBusB(15 downto 8), + DOBL => RegBusB(7 downto 0), + DOCH => RegBusC(15 downto 8), + DOCL => RegBusC(7 downto 0)); + +--------------------------------------------------------------------------- +-- +-- Buses +-- +--------------------------------------------------------------------------- + process (CLK_n) + begin + if CLK_n'event and CLK_n = '1' then + if ClkEn = '1' then + case Set_BusB_To is + when "0111" => + BusB <= ACC; + when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" => + if Set_BusB_To(0) = '1' then + BusB <= RegBusB(7 downto 0); + else + BusB <= RegBusB(15 downto 8); + end if; + when "0110" => + BusB <= DI_Reg; + when "1000" => + BusB <= std_logic_vector(SP(7 downto 0)); + when "1001" => + BusB <= std_logic_vector(SP(15 downto 8)); + when "1010" => + BusB <= "00000001"; + when "1011" => + BusB <= F; + when "1100" => + BusB <= std_logic_vector(PC(7 downto 0)); + when "1101" => + BusB <= std_logic_vector(PC(15 downto 8)); + when "1110" => + BusB <= "00000000"; + when others => + BusB <= "--------"; + end case; + + case Set_BusA_To is + when "0111" => + BusA <= ACC; + when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" => + if Set_BusA_To(0) = '1' then + BusA <= RegBusA(7 downto 0); + else + BusA <= RegBusA(15 downto 8); + end if; + when "0110" => + BusA <= DI_Reg; + when "1000" => + BusA <= std_logic_vector(SP(7 downto 0)); + when "1001" => + BusA <= std_logic_vector(SP(15 downto 8)); + when "1010" => + BusA <= "00000000"; + when others => + BusB <= "--------"; + end case; + end if; + end if; + end process; + +--------------------------------------------------------------------------- +-- +-- Generate external control signals +-- +--------------------------------------------------------------------------- + process (RESET_n,CLK_n) + begin + if RESET_n = '0' then + RFSH_n <= '1'; + elsif CLK_n'event and CLK_n = '1' then + if CEN = '1' then + if MCycle = "001" and ((TState = 2 and Wait_n = '1') or TState = 3) then + RFSH_n <= '0'; + else + RFSH_n <= '1'; + end if; + end if; + end if; + end process; + + MC <= std_logic_vector(MCycle); + TS <= std_logic_vector(TState); + DI_Reg <= DI; + HALT_n <= not Halt_FF; + BUSAK_n <= not BusAck; + IntCycle_n <= not IntCycle; + IntE <= IntE_FF1; + IORQ <= IORQ_i; + Stop <= I_DJNZ; + +------------------------------------------------------------------------- +-- +-- Syncronise inputs +-- +------------------------------------------------------------------------- + process (RESET_n, CLK_n) + variable OldNMI_n : std_logic; + begin + if RESET_n = '0' then + BusReq_s <= '0'; + INT_s <= '0'; + NMI_s <= '0'; + OldNMI_n := '0'; + elsif CLK_n'event and CLK_n = '1' then + if CEN = '1' then + BusReq_s <= not BUSRQ_n; + INT_s <= not INT_n; + if NMICycle = '1' then + NMI_s <= '0'; + elsif NMI_n = '0' and OldNMI_n = '1' then + NMI_s <= '1'; + end if; + OldNMI_n := NMI_n; + end if; + end if; + end process; + +------------------------------------------------------------------------- +-- +-- Main state machine +-- +------------------------------------------------------------------------- + process (RESET_n, CLK_n) + begin + if RESET_n = '0' then + MCycle <= "001"; + TState <= "000"; + Pre_XY_F_M <= "000"; + Halt_FF <= '0'; + BusAck <= '0'; + NMICycle <= '0'; + IntCycle <= '0'; + IntE_FF1 <= '0'; + IntE_FF2 <= '0'; + No_BTR <= '0'; + Auto_Wait_t1 <= '0'; + Auto_Wait_t2 <= '0'; + M1_n <= '1'; + elsif CLK_n'event and CLK_n = '1' then + if CEN = '1' then + if T_Res = '1' then + Auto_Wait_t1 <= '0'; + else + Auto_Wait_t1 <= Auto_Wait or IORQ_i; + end if; + Auto_Wait_t2 <= Auto_Wait_t1; + No_BTR <= (I_BT and (not IR(4) or not F(Flag_P))) or + (I_BC and (not IR(4) or F(Flag_Z) or not F(Flag_P))) or + (I_BTR and (not IR(4) or F(Flag_Z))); + if TState = 2 then + if SetEI = '1' then + IntE_FF1 <= '1'; + IntE_FF2 <= '1'; + end if; + if I_RETN = '1' then + IntE_FF1 <= IntE_FF2; + end if; + end if; + if TState = 3 then + if SetDI = '1' then + IntE_FF1 <= '0'; + IntE_FF2 <= '0'; + end if; + end if; + if IntCycle = '1' or NMICycle = '1' then + Halt_FF <= '0'; + end if; + if MCycle = "001" and TState = 2 and Wait_n = '1' then + M1_n <= '1'; + end if; + if BusReq_s = '1' and BusAck = '1' then + else + BusAck <= '0'; + if TState = 2 and Wait_n = '0' then + elsif T_Res = '1' then + if Halt = '1' then + Halt_FF <= '1'; + end if; + if BusReq_s = '1' then + BusAck <= '1'; + else + TState <= "001"; + if NextIs_XY_Fetch = '1' then + MCycle <= "110"; + Pre_XY_F_M <= MCycle; + if IR = "00110110" and Mode = 0 then + Pre_XY_F_M <= "010"; + end if; + elsif (MCycle = "111") or + (MCycle = "110" and Mode = 1 and ISet /= "01") then + MCycle <= std_logic_vector(unsigned(Pre_XY_F_M) + 1); + elsif (MCycle = MCycles) or + No_BTR = '1' or + (MCycle = "010" and I_DJNZ = '1' and IncDecZ = '1') then + M1_n <= '0'; + MCycle <= "001"; + IntCycle <= '0'; + NMICycle <= '0'; + if NMI_s = '1' and Prefix = "00" then + NMICycle <= '1'; + IntE_FF1 <= '0'; + elsif (IntE_FF1 = '1' and INT_s = '1') and Prefix = "00" and SetEI = '0' then + IntCycle <= '1'; + IntE_FF1 <= '0'; + IntE_FF2 <= '0'; + end if; + else + MCycle <= std_logic_vector(unsigned(MCycle) + 1); + end if; + end if; + else + if (Auto_Wait = '1' and Auto_Wait_t2 = '0') nor + (IOWait = 1 and IORQ_i = '1' and Auto_Wait_t1 = '0') then + TState <= TState + 1; + end if; + end if; + end if; + if TState = 0 then + M1_n <= '0'; + end if; + end if; + end if; + end process; + + process (IntCycle, NMICycle, MCycle) + begin + Auto_Wait <= '0'; + if IntCycle = '1' or NMICycle = '1' then + if MCycle = "001" then + Auto_Wait <= '1'; + end if; + end if; + end process; + +end; diff --git a/T80_ALU.vhd b/T80_ALU.vhd new file mode 100755 index 0000000..86fddce --- /dev/null +++ b/T80_ALU.vhd @@ -0,0 +1,351 @@ +-- +-- Z80 compatible microprocessor core +-- +-- Version : 0247 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test +-- +-- 0238 : Fixed zero flag for 16 bit SBC and ADC +-- +-- 0240 : Added GB operations +-- +-- 0242 : Cleanup +-- +-- 0247 : Cleanup +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity T80_ALU is + generic( + Mode : integer := 0; + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + Arith16 : in std_logic; + Z16 : in std_logic; + ALU_Op : in std_logic_vector(3 downto 0); + IR : in std_logic_vector(5 downto 0); + ISet : in std_logic_vector(1 downto 0); + BusA : in std_logic_vector(7 downto 0); + BusB : in std_logic_vector(7 downto 0); + F_In : in std_logic_vector(7 downto 0); + Q : out std_logic_vector(7 downto 0); + F_Out : out std_logic_vector(7 downto 0) + ); +end T80_ALU; + +architecture rtl of T80_ALU is + + procedure AddSub(A : std_logic_vector; + B : std_logic_vector; + Sub : std_logic; + Carry_In : std_logic; + signal Res : out std_logic_vector; + signal Carry : out std_logic) is + variable B_i : unsigned(A'length - 1 downto 0); + variable Res_i : unsigned(A'length + 1 downto 0); + begin + if Sub = '1' then + B_i := not unsigned(B); + else + B_i := unsigned(B); + end if; + Res_i := unsigned("0" & A & Carry_In) + unsigned("0" & B_i & "1"); + Carry <= Res_i(A'length + 1); + Res <= std_logic_vector(Res_i(A'length downto 1)); + end; + + -- AddSub variables (temporary signals) + signal UseCarry : std_logic; + signal Carry7_v : std_logic; + signal Overflow_v : std_logic; + signal HalfCarry_v : std_logic; + signal Carry_v : std_logic; + signal Q_v : std_logic_vector(7 downto 0); + + signal BitMask : std_logic_vector(7 downto 0); + +begin + + with IR(5 downto 3) select BitMask <= "00000001" when "000", + "00000010" when "001", + "00000100" when "010", + "00001000" when "011", + "00010000" when "100", + "00100000" when "101", + "01000000" when "110", + "10000000" when others; + + UseCarry <= not ALU_Op(2) and ALU_Op(0); + AddSub(BusA(3 downto 0), BusB(3 downto 0), ALU_Op(1), ALU_Op(1) xor (UseCarry and F_In(Flag_C)), Q_v(3 downto 0), HalfCarry_v); + AddSub(BusA(6 downto 4), BusB(6 downto 4), ALU_Op(1), HalfCarry_v, Q_v(6 downto 4), Carry7_v); + AddSub(BusA(7 downto 7), BusB(7 downto 7), ALU_Op(1), Carry7_v, Q_v(7 downto 7), Carry_v); + OverFlow_v <= Carry_v xor Carry7_v; + + process (Arith16, ALU_OP, F_In, BusA, BusB, IR, Q_v, Carry_v, HalfCarry_v, OverFlow_v, BitMask, ISet, Z16) + variable Q_t : std_logic_vector(7 downto 0); + variable DAA_Q : unsigned(8 downto 0); + begin + Q_t := "--------"; + F_Out <= F_In; + DAA_Q := "---------"; + case ALU_Op is + when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" => + F_Out(Flag_N) <= '0'; + F_Out(Flag_C) <= '0'; + case ALU_OP(2 downto 0) is + when "000" | "001" => -- ADD, ADC + Q_t := Q_v; + F_Out(Flag_C) <= Carry_v; + F_Out(Flag_H) <= HalfCarry_v; + F_Out(Flag_P) <= OverFlow_v; + when "010" | "011" | "111" => -- SUB, SBC, CP + Q_t := Q_v; + F_Out(Flag_N) <= '1'; + F_Out(Flag_C) <= not Carry_v; + F_Out(Flag_H) <= not HalfCarry_v; + F_Out(Flag_P) <= OverFlow_v; + when "100" => -- AND + Q_t(7 downto 0) := BusA and BusB; + F_Out(Flag_H) <= '1'; + when "101" => -- XOR + Q_t(7 downto 0) := BusA xor BusB; + F_Out(Flag_H) <= '0'; + when others => -- OR "110" + Q_t(7 downto 0) := BusA or BusB; + F_Out(Flag_H) <= '0'; + end case; + if ALU_Op(2 downto 0) = "111" then -- CP + F_Out(Flag_X) <= BusB(3); + F_Out(Flag_Y) <= BusB(5); + else + F_Out(Flag_X) <= Q_t(3); + F_Out(Flag_Y) <= Q_t(5); + end if; + if Q_t(7 downto 0) = "00000000" then + F_Out(Flag_Z) <= '1'; + if Z16 = '1' then + F_Out(Flag_Z) <= F_In(Flag_Z); -- 16 bit ADC,SBC + end if; + else + F_Out(Flag_Z) <= '0'; + end if; + F_Out(Flag_S) <= Q_t(7); + case ALU_Op(2 downto 0) is + when "000" | "001" | "010" | "011" | "111" => -- ADD, ADC, SUB, SBC, CP + when others => + F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor + Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); + end case; + if Arith16 = '1' then + F_Out(Flag_S) <= F_In(Flag_S); + F_Out(Flag_Z) <= F_In(Flag_Z); + F_Out(Flag_P) <= F_In(Flag_P); + end if; + when "1100" => + -- DAA + F_Out(Flag_H) <= F_In(Flag_H); + F_Out(Flag_C) <= F_In(Flag_C); + DAA_Q(7 downto 0) := unsigned(BusA); + DAA_Q(8) := '0'; + if F_In(Flag_N) = '0' then + -- After addition + -- Alow > 9 or H = 1 + if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then + if (DAA_Q(3 downto 0) > 9) then + F_Out(Flag_H) <= '1'; + else + F_Out(Flag_H) <= '0'; + end if; + DAA_Q := DAA_Q + 6; + end if; + -- new Ahigh > 9 or C = 1 + if DAA_Q(8 downto 4) > 9 or F_In(Flag_C) = '1' then + DAA_Q := DAA_Q + 96; -- 0x60 + end if; + else + -- After subtraction + if DAA_Q(3 downto 0) > 9 or F_In(Flag_H) = '1' then + if DAA_Q(3 downto 0) > 5 then + F_Out(Flag_H) <= '0'; + end if; + DAA_Q(7 downto 0) := DAA_Q(7 downto 0) - 6; + end if; + if unsigned(BusA) > 153 or F_In(Flag_C) = '1' then + DAA_Q := DAA_Q - 352; -- 0x160 + end if; + end if; + F_Out(Flag_X) <= DAA_Q(3); + F_Out(Flag_Y) <= DAA_Q(5); + F_Out(Flag_C) <= F_In(Flag_C) or DAA_Q(8); + Q_t := std_logic_vector(DAA_Q(7 downto 0)); + if DAA_Q(7 downto 0) = "00000000" then + F_Out(Flag_Z) <= '1'; + else + F_Out(Flag_Z) <= '0'; + end if; + F_Out(Flag_S) <= DAA_Q(7); + F_Out(Flag_P) <= not (DAA_Q(0) xor DAA_Q(1) xor DAA_Q(2) xor DAA_Q(3) xor + DAA_Q(4) xor DAA_Q(5) xor DAA_Q(6) xor DAA_Q(7)); + when "1101" | "1110" => + -- RLD, RRD + Q_t(7 downto 4) := BusA(7 downto 4); + if ALU_Op(0) = '1' then + Q_t(3 downto 0) := BusB(7 downto 4); + else + Q_t(3 downto 0) := BusB(3 downto 0); + end if; + F_Out(Flag_H) <= '0'; + F_Out(Flag_N) <= '0'; + F_Out(Flag_X) <= Q_t(3); + F_Out(Flag_Y) <= Q_t(5); + if Q_t(7 downto 0) = "00000000" then + F_Out(Flag_Z) <= '1'; + else + F_Out(Flag_Z) <= '0'; + end if; + F_Out(Flag_S) <= Q_t(7); + F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor + Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); + when "1001" => + -- BIT + Q_t(7 downto 0) := BusB and BitMask; + F_Out(Flag_S) <= Q_t(7); + if Q_t(7 downto 0) = "00000000" then + F_Out(Flag_Z) <= '1'; + F_Out(Flag_P) <= '1'; + else + F_Out(Flag_Z) <= '0'; + F_Out(Flag_P) <= '0'; + end if; + F_Out(Flag_H) <= '1'; + F_Out(Flag_N) <= '0'; + F_Out(Flag_X) <= '0'; + F_Out(Flag_Y) <= '0'; + if IR(2 downto 0) /= "110" then + F_Out(Flag_X) <= BusB(3); + F_Out(Flag_Y) <= BusB(5); + end if; + when "1010" => + -- SET + Q_t(7 downto 0) := BusB or BitMask; + when "1011" => + -- RES + Q_t(7 downto 0) := BusB and not BitMask; + when "1000" => + -- ROT + case IR(5 downto 3) is + when "000" => -- RLC + Q_t(7 downto 1) := BusA(6 downto 0); + Q_t(0) := BusA(7); + F_Out(Flag_C) <= BusA(7); + when "010" => -- RL + Q_t(7 downto 1) := BusA(6 downto 0); + Q_t(0) := F_In(Flag_C); + F_Out(Flag_C) <= BusA(7); + when "001" => -- RRC + Q_t(6 downto 0) := BusA(7 downto 1); + Q_t(7) := BusA(0); + F_Out(Flag_C) <= BusA(0); + when "011" => -- RR + Q_t(6 downto 0) := BusA(7 downto 1); + Q_t(7) := F_In(Flag_C); + F_Out(Flag_C) <= BusA(0); + when "100" => -- SLA + Q_t(7 downto 1) := BusA(6 downto 0); + Q_t(0) := '0'; + F_Out(Flag_C) <= BusA(7); + when "110" => -- SLL (Undocumented) / SWAP + if Mode = 3 then + Q_t(7 downto 4) := BusA(3 downto 0); + Q_t(3 downto 0) := BusA(7 downto 4); + F_Out(Flag_C) <= '0'; + else + Q_t(7 downto 1) := BusA(6 downto 0); + Q_t(0) := '1'; + F_Out(Flag_C) <= BusA(7); + end if; + when "101" => -- SRA + Q_t(6 downto 0) := BusA(7 downto 1); + Q_t(7) := BusA(7); + F_Out(Flag_C) <= BusA(0); + when others => -- SRL + Q_t(6 downto 0) := BusA(7 downto 1); + Q_t(7) := '0'; + F_Out(Flag_C) <= BusA(0); + end case; + F_Out(Flag_H) <= '0'; + F_Out(Flag_N) <= '0'; + F_Out(Flag_X) <= Q_t(3); + F_Out(Flag_Y) <= Q_t(5); + F_Out(Flag_S) <= Q_t(7); + if Q_t(7 downto 0) = "00000000" then + F_Out(Flag_Z) <= '1'; + else + F_Out(Flag_Z) <= '0'; + end if; + F_Out(Flag_P) <= not (Q_t(0) xor Q_t(1) xor Q_t(2) xor Q_t(3) xor + Q_t(4) xor Q_t(5) xor Q_t(6) xor Q_t(7)); + if ISet = "00" then + F_Out(Flag_P) <= F_In(Flag_P); + F_Out(Flag_S) <= F_In(Flag_S); + F_Out(Flag_Z) <= F_In(Flag_Z); + end if; + when others => + null; + end case; + Q <= Q_t; + end process; + +end; diff --git a/T80_MCode.vhd b/T80_MCode.vhd new file mode 100755 index 0000000..4cc30f3 --- /dev/null +++ b/T80_MCode.vhd @@ -0,0 +1,1934 @@ +-- +-- Z80 compatible microprocessor core +-- +-- Version : 0242 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0208 : First complete release +-- +-- 0211 : Fixed IM 1 +-- +-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test +-- +-- 0235 : Added IM 2 fix by Mike Johnson +-- +-- 0238 : Added NoRead signal +-- +-- 0238b: Fixed instruction timing for POP and DJNZ +-- +-- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes +-- +-- 0242 : Fixed I/O instruction timing, cleanup +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity T80_MCode is + generic( + Mode : integer := 0; + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + IR : in std_logic_vector(7 downto 0); + ISet : in std_logic_vector(1 downto 0); + MCycle : in std_logic_vector(2 downto 0); + F : in std_logic_vector(7 downto 0); + NMICycle : in std_logic; + IntCycle : in std_logic; + MCycles : out std_logic_vector(2 downto 0); + TStates : out std_logic_vector(2 downto 0); + Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD + Inc_PC : out std_logic; + Inc_WZ : out std_logic; + IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc + Read_To_Reg : out std_logic; + Read_To_Acc : out std_logic; + Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F + Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 + ALU_Op : out std_logic_vector(3 downto 0); + -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None + Save_ALU : out std_logic; + PreserveC : out std_logic; + Arith16 : out std_logic; + Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI + IORQ : out std_logic; + Jump : out std_logic; + JumpE : out std_logic; + JumpXY : out std_logic; + Call : out std_logic; + RstP : out std_logic; + LDZ : out std_logic; + LDW : out std_logic; + LDSPHL : out std_logic; + Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None + ExchangeDH : out std_logic; + ExchangeRp : out std_logic; + ExchangeAF : out std_logic; + ExchangeRS : out std_logic; + I_DJNZ : out std_logic; + I_CPL : out std_logic; + I_CCF : out std_logic; + I_SCF : out std_logic; + I_RETN : out std_logic; + I_BT : out std_logic; + I_BC : out std_logic; + I_BTR : out std_logic; + I_RLD : out std_logic; + I_RRD : out std_logic; + I_INRC : out std_logic; + SetDI : out std_logic; + SetEI : out std_logic; + IMode : out std_logic_vector(1 downto 0); + Halt : out std_logic; + NoRead : out std_logic; + Write : out std_logic + ); +end T80_MCode; + +architecture rtl of T80_MCode is + + constant aNone : std_logic_vector(2 downto 0) := "111"; + constant aBC : std_logic_vector(2 downto 0) := "000"; + constant aDE : std_logic_vector(2 downto 0) := "001"; + constant aXY : std_logic_vector(2 downto 0) := "010"; + constant aIOA : std_logic_vector(2 downto 0) := "100"; + constant aSP : std_logic_vector(2 downto 0) := "101"; + constant aZI : std_logic_vector(2 downto 0) := "110"; +-- constant aNone : std_logic_vector(2 downto 0) := "000"; +-- constant aXY : std_logic_vector(2 downto 0) := "001"; +-- constant aIOA : std_logic_vector(2 downto 0) := "010"; +-- constant aSP : std_logic_vector(2 downto 0) := "011"; +-- constant aBC : std_logic_vector(2 downto 0) := "100"; +-- constant aDE : std_logic_vector(2 downto 0) := "101"; +-- constant aZI : std_logic_vector(2 downto 0) := "110"; + + function is_cc_true( + F : std_logic_vector(7 downto 0); + cc : bit_vector(2 downto 0) + ) return boolean is + begin + if Mode = 3 then + case cc is + when "000" => return F(7) = '0'; -- NZ + when "001" => return F(7) = '1'; -- Z + when "010" => return F(4) = '0'; -- NC + when "011" => return F(4) = '1'; -- C + when "100" => return false; + when "101" => return false; + when "110" => return false; + when "111" => return false; + end case; + else + case cc is + when "000" => return F(6) = '0'; -- NZ + when "001" => return F(6) = '1'; -- Z + when "010" => return F(0) = '0'; -- NC + when "011" => return F(0) = '1'; -- C + when "100" => return F(2) = '0'; -- PO + when "101" => return F(2) = '1'; -- PE + when "110" => return F(7) = '0'; -- P + when "111" => return F(7) = '1'; -- M + end case; + end if; + end; + +begin + + process (IR, ISet, MCycle, F, NMICycle, IntCycle) + variable DDD : std_logic_vector(2 downto 0); + variable SSS : std_logic_vector(2 downto 0); + variable DPair : std_logic_vector(1 downto 0); + variable IRB : bit_vector(7 downto 0); + begin + DDD := IR(5 downto 3); + SSS := IR(2 downto 0); + DPair := IR(5 downto 4); + IRB := to_bitvector(IR); + + MCycles <= "001"; + if MCycle = "001" then + TStates <= "100"; + else + TStates <= "011"; + end if; + Prefix <= "00"; + Inc_PC <= '0'; + Inc_WZ <= '0'; + IncDec_16 <= "0000"; + Read_To_Acc <= '0'; + Read_To_Reg <= '0'; + Set_BusB_To <= "0000"; + Set_BusA_To <= "0000"; + ALU_Op <= "0" & IR(5 downto 3); + Save_ALU <= '0'; + PreserveC <= '0'; + Arith16 <= '0'; + IORQ <= '0'; + Set_Addr_To <= aNone; + Jump <= '0'; + JumpE <= '0'; + JumpXY <= '0'; + Call <= '0'; + RstP <= '0'; + LDZ <= '0'; + LDW <= '0'; + LDSPHL <= '0'; + Special_LD <= "000"; + ExchangeDH <= '0'; + ExchangeRp <= '0'; + ExchangeAF <= '0'; + ExchangeRS <= '0'; + I_DJNZ <= '0'; + I_CPL <= '0'; + I_CCF <= '0'; + I_SCF <= '0'; + I_RETN <= '0'; + I_BT <= '0'; + I_BC <= '0'; + I_BTR <= '0'; + I_RLD <= '0'; + I_RRD <= '0'; + I_INRC <= '0'; + SetDI <= '0'; + SetEI <= '0'; + IMode <= "11"; + Halt <= '0'; + NoRead <= '0'; + Write <= '0'; + + case ISet is + when "00" => + +------------------------------------------------------------------------------ +-- +-- Unprefixed instructions +-- +------------------------------------------------------------------------------ + + case IRB is +-- 8 BIT LOAD GROUP + when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" + |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" + |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" + |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" + |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" + |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" + |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => + -- LD r,r' + Set_BusB_To(2 downto 0) <= SSS; + ExchangeRp <= '1'; + Set_BusA_To(2 downto 0) <= DDD; + Read_To_Reg <= '1'; + when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" => + -- LD r,n + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_BusA_To(2 downto 0) <= DDD; + Read_To_Reg <= '1'; + when others => null; + end case; + when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" => + -- LD r,(HL) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + Set_BusA_To(2 downto 0) <= DDD; + Read_To_Reg <= '1'; + when others => null; + end case; + when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" => + -- LD (HL),r + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusB_To(3) <= '0'; + when 2 => + Write <= '1'; + when others => null; + end case; + when "00110110" => + -- LD (HL),n + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_Addr_To <= aXY; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusB_To(3) <= '0'; + when 3 => + Write <= '1'; + when others => null; + end case; + when "00001010" => + -- LD A,(BC) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + when 2 => + Read_To_Acc <= '1'; + when others => null; + end case; + when "00011010" => + -- LD A,(DE) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aDE; + when 2 => + Read_To_Acc <= '1'; + when others => null; + end case; + when "00111010" => + if Mode = 3 then + -- LDD A,(HL) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + Read_To_Acc <= '1'; + IncDec_16 <= "1110"; + when others => null; + end case; + else + -- LD A,(nn) + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + when 4 => + Read_To_Acc <= '1'; + when others => null; + end case; + end if; + when "00000010" => + -- LD (BC),A + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + Set_BusB_To <= "0111"; + when 2 => + Write <= '1'; + when others => null; + end case; + when "00010010" => + -- LD (DE),A + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aDE; + Set_BusB_To <= "0111"; + when 2 => + Write <= '1'; + when others => null; + end case; + when "00110010" => + if Mode = 3 then + -- LDD (HL),A + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + Set_BusB_To <= "0111"; + when 2 => + Write <= '1'; + IncDec_16 <= "1110"; + when others => null; + end case; + else + -- LD (nn),A + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + Set_BusB_To <= "0111"; + when 4 => + Write <= '1'; + when others => null; + end case; + end if; + +-- 16 BIT LOAD GROUP + when "00000001"|"00010001"|"00100001"|"00110001" => + -- LD dd,nn + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Read_To_Reg <= '1'; + if DPAIR = "11" then + Set_BusA_To(3 downto 0) <= "1000"; + else + Set_BusA_To(2 downto 1) <= DPAIR; + Set_BusA_To(0) <= '1'; + end if; + when 3 => + Inc_PC <= '1'; + Read_To_Reg <= '1'; + if DPAIR = "11" then + Set_BusA_To(3 downto 0) <= "1001"; + else + Set_BusA_To(2 downto 1) <= DPAIR; + Set_BusA_To(0) <= '0'; + end if; + when others => null; + end case; + when "00101010" => + if Mode = 3 then + -- LDI A,(HL) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + Read_To_Acc <= '1'; + IncDec_16 <= "0110"; + when others => null; + end case; + else + -- LD HL,(nn) + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + when 4 => + Set_BusA_To(2 downto 0) <= "101"; -- L + Read_To_Reg <= '1'; + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + when 5 => + Set_BusA_To(2 downto 0) <= "100"; -- H + Read_To_Reg <= '1'; + when others => null; + end case; + end if; + when "00100010" => + if Mode = 3 then + -- LDI (HL),A + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + Set_BusB_To <= "0111"; + when 2 => + Write <= '1'; + IncDec_16 <= "0110"; + when others => null; + end case; + else + -- LD (nn),HL + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + Set_BusB_To <= "0101"; -- L + when 4 => + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + Write <= '1'; + Set_BusB_To <= "0100"; -- H + when 5 => + Write <= '1'; + when others => null; + end case; + end if; + when "11111001" => + -- LD SP,HL + TStates <= "110"; + LDSPHL <= '1'; + when "11000101"|"11010101"|"11100101"|"11110101" => + -- PUSH qq + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + IncDec_16 <= "1111"; + Set_Addr_TO <= aSP; + if DPAIR = "11" then + Set_BusB_To <= "0111"; + else + Set_BusB_To(2 downto 1) <= DPAIR; + Set_BusB_To(0) <= '0'; + Set_BusB_To(3) <= '0'; + end if; + when 2 => + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + if DPAIR = "11" then + Set_BusB_To <= "1011"; + else + Set_BusB_To(2 downto 1) <= DPAIR; + Set_BusB_To(0) <= '1'; + Set_BusB_To(3) <= '0'; + end if; + Write <= '1'; + when 3 => + Write <= '1'; + when others => null; + end case; + when "11000001"|"11010001"|"11100001"|"11110001" => + -- POP qq + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aSP; + when 2 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + Read_To_Reg <= '1'; + if DPAIR = "11" then + Set_BusA_To(3 downto 0) <= "1011"; + else + Set_BusA_To(2 downto 1) <= DPAIR; + Set_BusA_To(0) <= '1'; + end if; + when 3 => + IncDec_16 <= "0111"; + Read_To_Reg <= '1'; + if DPAIR = "11" then + Set_BusA_To(3 downto 0) <= "0111"; + else + Set_BusA_To(2 downto 1) <= DPAIR; + Set_BusA_To(0) <= '0'; + end if; + when others => null; + end case; + +-- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP + when "11101011" => + if Mode /= 3 then + -- EX DE,HL + ExchangeDH <= '1'; + end if; + when "00001000" => + if Mode = 3 then + -- LD (nn),SP + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + Set_BusB_To <= "1000"; + when 4 => + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + Write <= '1'; + Set_BusB_To <= "1001"; + when 5 => + Write <= '1'; + when others => null; + end case; + elsif Mode < 2 then + -- EX AF,AF' + ExchangeAF <= '1'; + end if; + when "11011001" => + if Mode = 3 then + -- RETI + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_TO <= aSP; + when 2 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + LDZ <= '1'; + when 3 => + Jump <= '1'; + IncDec_16 <= "0111"; + I_RETN <= '1'; + SetEI <= '1'; + when others => null; + end case; + elsif Mode < 2 then + -- EXX + ExchangeRS <= '1'; + end if; + when "11100011" => + if Mode /= 3 then + -- EX (SP),HL + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aSP; + when 2 => + Read_To_Reg <= '1'; + Set_BusA_To <= "0101"; + Set_BusB_To <= "0101"; + Set_Addr_To <= aSP; + when 3 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + TStates <= "100"; + Write <= '1'; + when 4 => + Read_To_Reg <= '1'; + Set_BusA_To <= "0100"; + Set_BusB_To <= "0100"; + Set_Addr_To <= aSP; + when 5 => + IncDec_16 <= "1111"; + TStates <= "101"; + Write <= '1'; + when others => null; + end case; + end if; + +-- 8 BIT ARITHMETIC AND LOGICAL GROUP + when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" + |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" + |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" + |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" + |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" + |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" + |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" + |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => + -- ADD A,r + -- ADC A,r + -- SUB A,r + -- SBC A,r + -- AND A,r + -- OR A,r + -- XOR A,r + -- CP A,r + Set_BusB_To(2 downto 0) <= SSS; + Set_BusA_To(2 downto 0) <= "111"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => + -- ADD A,(HL) + -- ADC A,(HL) + -- SUB A,(HL) + -- SBC A,(HL) + -- AND A,(HL) + -- OR A,(HL) + -- XOR A,(HL) + -- CP A,(HL) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusA_To(2 downto 0) <= "111"; + when others => null; + end case; + when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => + -- ADD A,n + -- ADC A,n + -- SUB A,n + -- SBC A,n + -- AND A,n + -- OR A,n + -- XOR A,n + -- CP A,n + MCycles <= "010"; + if MCycle = "010" then + Inc_PC <= '1'; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusA_To(2 downto 0) <= "111"; + end if; + when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" => + -- INC r + Set_BusB_To <= "1010"; + Set_BusA_To(2 downto 0) <= DDD; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + PreserveC <= '1'; + ALU_Op <= "0000"; + when "00110100" => + -- INC (HL) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + TStates <= "100"; + Set_Addr_To <= aXY; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + PreserveC <= '1'; + ALU_Op <= "0000"; + Set_BusB_To <= "1010"; + Set_BusA_To(2 downto 0) <= DDD; + when 3 => + Write <= '1'; + when others => null; + end case; + when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" => + -- DEC r + Set_BusB_To <= "1010"; + Set_BusA_To(2 downto 0) <= DDD; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + PreserveC <= '1'; + ALU_Op <= "0010"; + when "00110101" => + -- DEC (HL) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + when 2 => + TStates <= "100"; + Set_Addr_To <= aXY; + ALU_Op <= "0010"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + PreserveC <= '1'; + Set_BusB_To <= "1010"; + Set_BusA_To(2 downto 0) <= DDD; + when 3 => + Write <= '1'; + when others => null; + end case; + +-- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS + when "00100111" => + -- DAA + Set_BusA_To(2 downto 0) <= "111"; + Read_To_Reg <= '1'; + ALU_Op <= "1100"; + Save_ALU <= '1'; + when "00101111" => + -- CPL + I_CPL <= '1'; + when "00111111" => + -- CCF + I_CCF <= '1'; + when "00110111" => + -- SCF + I_SCF <= '1'; + when "00000000" => + if NMICycle = '1' then + -- NMI + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1101"; + when 2 => + TStates <= "100"; + Write <= '1'; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1100"; + when 3 => + TStates <= "100"; + Write <= '1'; + when others => null; + end case; + elsif IntCycle = '1' then + -- INT (IM 2) + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 1 => + LDZ <= '1'; + TStates <= "101"; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1101"; + when 2 => + TStates <= "100"; + Write <= '1'; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1100"; + when 3 => + TStates <= "100"; + Write <= '1'; + when 4 => + Inc_PC <= '1'; + LDZ <= '1'; + when 5 => + Jump <= '1'; + when others => null; + end case; + else + -- NOP + end if; + when "01110110" => + -- HALT + Halt <= '1'; + when "11110011" => + -- DI + SetDI <= '1'; + when "11111011" => + -- EI + SetEI <= '1'; + +-- 16 BIT ARITHMETIC GROUP + when "00001001"|"00011001"|"00101001"|"00111001" => + -- ADD HL,ss + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + NoRead <= '1'; + ALU_Op <= "0000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusA_To(2 downto 0) <= "101"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '1'; + when others => + Set_BusB_To <= "1000"; + end case; + TStates <= "100"; + Arith16 <= '1'; + when 3 => + NoRead <= '1'; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0001"; + Set_BusA_To(2 downto 0) <= "100"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + when others => + Set_BusB_To <= "1001"; + end case; + Arith16 <= '1'; + when others => + end case; + when "00000011"|"00010011"|"00100011"|"00110011" => + -- INC ss + TStates <= "110"; + IncDec_16(3 downto 2) <= "01"; + IncDec_16(1 downto 0) <= DPair; + when "00001011"|"00011011"|"00101011"|"00111011" => + -- DEC ss + TStates <= "110"; + IncDec_16(3 downto 2) <= "11"; + IncDec_16(1 downto 0) <= DPair; + +-- ROTATE AND SHIFT GROUP + when "00000111" + -- RLCA + |"00010111" + -- RLA + |"00001111" + -- RRCA + |"00011111" => + -- RRA + Set_BusA_To(2 downto 0) <= "111"; + ALU_Op <= "1000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + +-- JUMP GROUP + when "11000011" => + -- JP nn + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Inc_PC <= '1'; + Jump <= '1'; + when others => null; + end case; + when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" => + if IR(5) = '1' and Mode = 3 then + case IRB(4 downto 3) is + when "00" => + -- LD ($FF00+C),A + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + Set_BusB_To <= "0111"; + when 2 => + Write <= '1'; + IORQ <= '1'; + when others => + end case; + when "01" => + -- LD (nn),A + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + Set_BusB_To <= "0111"; + when 4 => + Write <= '1'; + when others => null; + end case; + when "10" => + -- LD A,($FF00+C) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + when 2 => + Read_To_Acc <= '1'; + IORQ <= '1'; + when others => + end case; + when "11" => + -- LD A,(nn) + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + when 4 => + Read_To_Acc <= '1'; + when others => null; + end case; + end case; + else + -- JP cc,nn + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Inc_PC <= '1'; + if is_cc_true(F, to_bitvector(IR(5 downto 3))) then + Jump <= '1'; + end if; + when others => null; + end case; + end if; + when "00011000" => + if Mode /= 2 then + -- JR e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + when "00111000" => + if Mode /= 2 then + -- JR C,e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + if F(Flag_C) = '0' then + MCycles <= "010"; + end if; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + when "00110000" => + if Mode /= 2 then + -- JR NC,e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + if F(Flag_C) = '1' then + MCycles <= "010"; + end if; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + when "00101000" => + if Mode /= 2 then + -- JR Z,e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + if F(Flag_Z) = '0' then + MCycles <= "010"; + end if; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + when "00100000" => + if Mode /= 2 then + -- JR NZ,e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + if F(Flag_Z) = '1' then + MCycles <= "010"; + end if; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + when "11101001" => + -- JP (HL) + JumpXY <= '1'; + when "00010000" => + if Mode = 3 then + I_DJNZ <= '1'; + elsif Mode < 2 then + -- DJNZ,e + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + I_DJNZ <= '1'; + Set_BusB_To <= "1010"; + Set_BusA_To(2 downto 0) <= "000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0010"; + when 2 => + I_DJNZ <= '1'; + Inc_PC <= '1'; + when 3 => + NoRead <= '1'; + JumpE <= '1'; + TStates <= "101"; + when others => null; + end case; + end if; + +-- CALL AND RETURN GROUP + when "11001101" => + -- CALL nn + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + IncDec_16 <= "1111"; + Inc_PC <= '1'; + TStates <= "100"; + Set_Addr_To <= aSP; + LDW <= '1'; + Set_BusB_To <= "1101"; + when 4 => + Write <= '1'; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1100"; + when 5 => + Write <= '1'; + Call <= '1'; + when others => null; + end case; + when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" => + if IR(5) = '0' or Mode /= 3 then + -- CALL cc,nn + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Inc_PC <= '1'; + LDW <= '1'; + if is_cc_true(F, to_bitvector(IR(5 downto 3))) then + IncDec_16 <= "1111"; + Set_Addr_TO <= aSP; + TStates <= "100"; + Set_BusB_To <= "1101"; + else + MCycles <= "011"; + end if; + when 4 => + Write <= '1'; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1100"; + when 5 => + Write <= '1'; + Call <= '1'; + when others => null; + end case; + end if; + when "11001001" => + -- RET + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + Set_Addr_TO <= aSP; + when 2 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + LDZ <= '1'; + when 3 => + Jump <= '1'; + IncDec_16 <= "0111"; + when others => null; + end case; + when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" => + if IR(5) = '1' and Mode = 3 then + case IRB(4 downto 3) is + when "00" => + -- LD ($FF00+nn),A + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_Addr_To <= aIOA; + Set_BusB_To <= "0111"; + when 3 => + Write <= '1'; + when others => null; + end case; + when "01" => + -- ADD SP,n + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + ALU_Op <= "0000"; + Inc_PC <= '1'; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusA_To <= "1000"; + Set_BusB_To <= "0110"; + when 3 => + NoRead <= '1'; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0001"; + Set_BusA_To <= "1001"; + Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!! + when others => + end case; + when "10" => + -- LD A,($FF00+nn) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_Addr_To <= aIOA; + when 3 => + Read_To_Acc <= '1'; + when others => null; + end case; + when "11" => + -- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!! + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + when 4 => + Set_BusA_To(2 downto 0) <= "101"; -- L + Read_To_Reg <= '1'; + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + when 5 => + Set_BusA_To(2 downto 0) <= "100"; -- H + Read_To_Reg <= '1'; + when others => null; + end case; + end case; + else + -- RET cc + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + if is_cc_true(F, to_bitvector(IR(5 downto 3))) then + Set_Addr_TO <= aSP; + else + MCycles <= "001"; + end if; + TStates <= "101"; + when 2 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + LDZ <= '1'; + when 3 => + Jump <= '1'; + IncDec_16 <= "0111"; + when others => null; + end case; + end if; + when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" => + -- RST p + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1101"; + when 2 => + Write <= '1'; + IncDec_16 <= "1111"; + Set_Addr_To <= aSP; + Set_BusB_To <= "1100"; + when 3 => + Write <= '1'; + RstP <= '1'; + when others => null; + end case; + +-- INPUT AND OUTPUT GROUP + when "11011011" => + if Mode /= 3 then + -- IN A,(n) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_Addr_To <= aIOA; + when 3 => + Read_To_Acc <= '1'; + IORQ <= '1'; + when others => null; + end case; + end if; + when "11010011" => + if Mode /= 3 then + -- OUT (n),A + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + Set_Addr_To <= aIOA; + Set_BusB_To <= "0111"; + when 3 => + Write <= '1'; + IORQ <= '1'; + when others => null; + end case; + end if; + +------------------------------------------------------------------------------ +------------------------------------------------------------------------------ +-- MULTIBYTE INSTRUCTIONS +------------------------------------------------------------------------------ +------------------------------------------------------------------------------ + + when "11001011" => + if Mode /= 2 then + Prefix <= "01"; + end if; + + when "11101101" => + if Mode < 2 then + Prefix <= "10"; + end if; + + when "11011101"|"11111101" => + if Mode < 2 then + Prefix <= "11"; + end if; + + end case; + + when "01" => + +------------------------------------------------------------------------------ +-- +-- CB prefixed instructions +-- +------------------------------------------------------------------------------ + + Set_BusA_To(2 downto 0) <= IR(2 downto 0); + Set_BusB_To(2 downto 0) <= IR(2 downto 0); + + case IRB is + when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111" + |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111" + |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111" + |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111" + |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111" + |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111" + |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111" + |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" => + -- RLC r + -- RL r + -- RRC r + -- RR r + -- SLA r + -- SRA r + -- SRL r + -- SLL r (Undocumented) / SWAP r + if MCycle = "001" then + ALU_Op <= "1000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + end if; + when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" => + -- RLC (HL) + -- RL (HL) + -- RRC (HL) + -- RR (HL) + -- SRA (HL) + -- SRL (HL) + -- SLA (HL) + -- SLL (HL) (Undocumented) / SWAP (HL) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 | 7 => + Set_Addr_To <= aXY; + when 2 => + ALU_Op <= "1000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_Addr_To <= aXY; + TStates <= "100"; + when 3 => + Write <= '1'; + when others => + end case; + when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111" + |"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111" + |"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111" + |"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111" + |"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111" + |"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111" + |"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" + |"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" => + -- BIT b,r + if MCycle = "001" then + Set_BusB_To(2 downto 0) <= IR(2 downto 0); + ALU_Op <= "1001"; + end if; + when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" => + -- BIT b,(HL) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 | 7 => + Set_Addr_To <= aXY; + when 2 => + ALU_Op <= "1001"; + TStates <= "100"; + when others => + end case; + when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111" + |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111" + |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111" + |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111" + |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111" + |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111" + |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111" + |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" => + -- SET b,r + if MCycle = "001" then + ALU_Op <= "1010"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + end if; + when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" => + -- SET b,(HL) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 | 7 => + Set_Addr_To <= aXY; + when 2 => + ALU_Op <= "1010"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_Addr_To <= aXY; + TStates <= "100"; + when 3 => + Write <= '1'; + when others => + end case; + when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111" + |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111" + |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111" + |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111" + |"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111" + |"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111" + |"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111" + |"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" => + -- RES b,r + if MCycle = "001" then + ALU_Op <= "1011"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + end if; + when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" => + -- RES b,(HL) + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 | 7 => + Set_Addr_To <= aXY; + when 2 => + ALU_Op <= "1011"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_Addr_To <= aXY; + TStates <= "100"; + when 3 => + Write <= '1'; + when others => + end case; + end case; + + when others => + +------------------------------------------------------------------------------ +-- +-- ED prefixed instructions +-- +------------------------------------------------------------------------------ + + case IRB is + when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111" + |"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111" + |"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111" + |"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111" + |"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111" + |"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111" + |"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111" + |"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111" + + + |"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111" + |"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111" + |"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111" + |"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111" + | "10100100"|"10100101"|"10100110"|"10100111" + | "10101100"|"10101101"|"10101110"|"10101111" + | "10110100"|"10110101"|"10110110"|"10110111" + | "10111100"|"10111101"|"10111110"|"10111111" + |"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111" + |"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111" + |"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111" + |"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111" + |"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111" + |"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111" + |"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111" + |"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" => + null; -- NOP, undocumented + when "01111110"|"01111111" => + -- NOP, undocumented + null; +-- 8 BIT LOAD GROUP + when "01010111" => + -- LD A,I + Special_LD <= "100"; + TStates <= "101"; + when "01011111" => + -- LD A,R + Special_LD <= "101"; + TStates <= "101"; + when "01000111" => + -- LD I,A + Special_LD <= "110"; + TStates <= "101"; + when "01001111" => + -- LD R,A + Special_LD <= "111"; + TStates <= "101"; +-- 16 BIT LOAD GROUP + when "01001011"|"01011011"|"01101011"|"01111011" => + -- LD dd,(nn) + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + when 4 => + Read_To_Reg <= '1'; + if IR(5 downto 4) = "11" then + Set_BusA_To <= "1000"; + else + Set_BusA_To(2 downto 1) <= IR(5 downto 4); + Set_BusA_To(0) <= '1'; + end if; + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + when 5 => + Read_To_Reg <= '1'; + if IR(5 downto 4) = "11" then + Set_BusA_To <= "1001"; + else + Set_BusA_To(2 downto 1) <= IR(5 downto 4); + Set_BusA_To(0) <= '0'; + end if; + when others => null; + end case; + when "01000011"|"01010011"|"01100011"|"01110011" => + -- LD (nn),dd + MCycles <= "101"; + case to_integer(unsigned(MCycle)) is + when 2 => + Inc_PC <= '1'; + LDZ <= '1'; + when 3 => + Set_Addr_To <= aZI; + Inc_PC <= '1'; + LDW <= '1'; + if IR(5 downto 4) = "11" then + Set_BusB_To <= "1000"; + else + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '1'; + Set_BusB_To(3) <= '0'; + end if; + when 4 => + Inc_WZ <= '1'; + Set_Addr_To <= aZI; + Write <= '1'; + if IR(5 downto 4) = "11" then + Set_BusB_To <= "1001"; + else + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '0'; + Set_BusB_To(3) <= '0'; + end if; + when 5 => + Write <= '1'; + when others => null; + end case; + when "10100000" | "10101000" | "10110000" | "10111000" => + -- LDI, LDD, LDIR, LDDR + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + IncDec_16 <= "1100"; -- BC + when 2 => + Set_BusB_To <= "0110"; + Set_BusA_To(2 downto 0) <= "111"; + ALU_Op <= "0000"; + Set_Addr_To <= aDE; + if IR(3) = '0' then + IncDec_16 <= "0110"; -- IX + else + IncDec_16 <= "1110"; + end if; + when 3 => + I_BT <= '1'; + TStates <= "101"; + Write <= '1'; + if IR(3) = '0' then + IncDec_16 <= "0101"; -- DE + else + IncDec_16 <= "1101"; + end if; + when 4 => + NoRead <= '1'; + TStates <= "101"; + when others => null; + end case; + when "10100001" | "10101001" | "10110001" | "10111001" => + -- CPI, CPD, CPIR, CPDR + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aXY; + IncDec_16 <= "1100"; -- BC + when 2 => + Set_BusB_To <= "0110"; + Set_BusA_To(2 downto 0) <= "111"; + ALU_Op <= "0111"; + Save_ALU <= '1'; + PreserveC <= '1'; + if IR(3) = '0' then + IncDec_16 <= "0110"; + else + IncDec_16 <= "1110"; + end if; + when 3 => + NoRead <= '1'; + I_BC <= '1'; + TStates <= "101"; + when 4 => + NoRead <= '1'; + TStates <= "101"; + when others => null; + end case; + when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" => + -- NEG + Alu_OP <= "0010"; + Set_BusB_To <= "0111"; + Set_BusA_To <= "1010"; + Read_To_Acc <= '1'; + Save_ALU <= '1'; + when "01000110"|"01001110"|"01100110"|"01101110" => + -- IM 0 + IMode <= "00"; + when "01010110"|"01110110" => + -- IM 1 + IMode <= "01"; + when "01011110"|"01110111" => + -- IM 2 + IMode <= "10"; +-- 16 bit arithmetic + when "01001010"|"01011010"|"01101010"|"01111010" => + -- ADC HL,ss + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + NoRead <= '1'; + ALU_Op <= "0001"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusA_To(2 downto 0) <= "101"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '1'; + when others => + Set_BusB_To <= "1000"; + end case; + TStates <= "100"; + when 3 => + NoRead <= '1'; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0001"; + Set_BusA_To(2 downto 0) <= "100"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '0'; + when others => + Set_BusB_To <= "1001"; + end case; + when others => + end case; + when "01000010"|"01010010"|"01100010"|"01110010" => + -- SBC HL,ss + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 2 => + NoRead <= '1'; + ALU_Op <= "0011"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusA_To(2 downto 0) <= "101"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + Set_BusB_To(0) <= '1'; + when others => + Set_BusB_To <= "1000"; + end case; + TStates <= "100"; + when 3 => + NoRead <= '1'; + ALU_Op <= "0011"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + Set_BusA_To(2 downto 0) <= "100"; + case to_integer(unsigned(IR(5 downto 4))) is + when 0|1|2 => + Set_BusB_To(2 downto 1) <= IR(5 downto 4); + when others => + Set_BusB_To <= "1001"; + end case; + when others => + end case; + when "01101111" => + -- RLD + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + NoRead <= '1'; + Set_Addr_To <= aXY; + when 3 => + Read_To_Reg <= '1'; + Set_BusB_To(2 downto 0) <= "110"; + Set_BusA_To(2 downto 0) <= "111"; + ALU_Op <= "1101"; + TStates <= "100"; + Set_Addr_To <= aXY; + Save_ALU <= '1'; + when 4 => + I_RLD <= '1'; + Write <= '1'; + when others => + end case; + when "01100111" => + -- RRD + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 2 => + Set_Addr_To <= aXY; + when 3 => + Read_To_Reg <= '1'; + Set_BusB_To(2 downto 0) <= "110"; + Set_BusA_To(2 downto 0) <= "111"; + ALU_Op <= "1110"; + TStates <= "100"; + Set_Addr_To <= aXY; + Save_ALU <= '1'; + when 4 => + I_RRD <= '1'; + Write <= '1'; + when others => + end case; + when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" => + -- RETI, RETN + MCycles <= "011"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_TO <= aSP; + when 2 => + IncDec_16 <= "0111"; + Set_Addr_To <= aSP; + LDZ <= '1'; + when 3 => + Jump <= '1'; + IncDec_16 <= "0111"; + I_RETN <= '1'; + when others => null; + end case; + when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" => + -- IN r,(C) + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + when 2 => + IORQ <= '1'; + if IR(5 downto 3) /= "110" then + Read_To_Reg <= '1'; + Set_BusA_To(2 downto 0) <= IR(5 downto 3); + end if; + I_INRC <= '1'; + when others => + end case; + when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" => + -- OUT (C),r + -- OUT (C),0 + MCycles <= "010"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + Set_BusB_To(2 downto 0) <= IR(5 downto 3); + if IR(5 downto 3) = "110" then + Set_BusB_To(3) <= '1'; + end if; + when 2 => + Write <= '1'; + IORQ <= '1'; + when others => + end case; + when "10100010" | "10101010" | "10110010" | "10111010" => + -- INI, IND, INIR, INDR + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 1 => + Set_Addr_To <= aBC; + Set_BusB_To <= "1010"; + Set_BusA_To <= "0000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0010"; + when 2 => + IORQ <= '1'; + Set_BusB_To <= "0110"; + Set_Addr_To <= aXY; + when 3 => + if IR(3) = '0' then + IncDec_16 <= "0010"; + else + IncDec_16 <= "1010"; + end if; + TStates <= "100"; + Write <= '1'; + I_BTR <= '1'; + when 4 => + NoRead <= '1'; + TStates <= "101"; + when others => null; + end case; + when "10100011" | "10101011" | "10110011" | "10111011" => + -- OUTI, OUTD, OTIR, OTDR + MCycles <= "100"; + case to_integer(unsigned(MCycle)) is + when 1 => + TStates <= "101"; + Set_Addr_To <= aXY; + Set_BusB_To <= "1010"; + Set_BusA_To <= "0000"; + Read_To_Reg <= '1'; + Save_ALU <= '1'; + ALU_Op <= "0010"; + when 2 => + Set_BusB_To <= "0110"; + Set_Addr_To <= aBC; + when 3 => + if IR(3) = '0' then + IncDec_16 <= "0010"; + else + IncDec_16 <= "1010"; + end if; + IORQ <= '1'; + Write <= '1'; + I_BTR <= '1'; + when 4 => + NoRead <= '1'; + TStates <= "101"; + when others => null; + end case; + end case; + + end case; + + if Mode = 1 then + if MCycle = "001" then +-- TStates <= "100"; + else + TStates <= "011"; + end if; + end if; + + if Mode = 3 then + if MCycle = "001" then +-- TStates <= "100"; + else + TStates <= "100"; + end if; + end if; + + if Mode < 2 then + if MCycle = "110" then + Inc_PC <= '1'; + if Mode = 1 then + Set_Addr_To <= aXY; + TStates <= "100"; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusB_To(3) <= '0'; + end if; + if IRB = "00110110" or IRB = "11001011" then + Set_Addr_To <= aNone; + end if; + end if; + if MCycle = "111" then + if Mode = 0 then + TStates <= "101"; + end if; + if ISet /= "01" then + Set_Addr_To <= aXY; + end if; + Set_BusB_To(2 downto 0) <= SSS; + Set_BusB_To(3) <= '0'; + if IRB = "00110110" or ISet = "01" then + -- LD (HL),n + Inc_PC <= '1'; + else + NoRead <= '1'; + end if; + end if; + end if; + + end process; + +end; diff --git a/T80_Pack.vhd b/T80_Pack.vhd new file mode 100755 index 0000000..ac7d34d --- /dev/null +++ b/T80_Pack.vhd @@ -0,0 +1,208 @@ +-- +-- Z80 compatible microprocessor core +-- +-- Version : 0242 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- + +library IEEE; +use IEEE.std_logic_1164.all; + +package T80_Pack is + + component T80 + generic( + Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB + IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + RESET_n : in std_logic; + CLK_n : in std_logic; + CEN : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + IORQ : out std_logic; + NoRead : out std_logic; + Write : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DInst : in std_logic_vector(7 downto 0); + DI : in std_logic_vector(7 downto 0); + DO : out std_logic_vector(7 downto 0); + MC : out std_logic_vector(2 downto 0); + TS : out std_logic_vector(2 downto 0); + IntCycle_n : out std_logic; + IntE : out std_logic; + Stop : out std_logic + ); + end component; + + component T80_Reg + port( + Clk : in std_logic; + CEN : in std_logic; + WEH : in std_logic; + WEL : in std_logic; + AddrA : in std_logic_vector(2 downto 0); + AddrB : in std_logic_vector(2 downto 0); + AddrC : in std_logic_vector(2 downto 0); + DIH : in std_logic_vector(7 downto 0); + DIL : in std_logic_vector(7 downto 0); + DOAH : out std_logic_vector(7 downto 0); + DOAL : out std_logic_vector(7 downto 0); + DOBH : out std_logic_vector(7 downto 0); + DOBL : out std_logic_vector(7 downto 0); + DOCH : out std_logic_vector(7 downto 0); + DOCL : out std_logic_vector(7 downto 0) + ); + end component; + + component T80_MCode + generic( + Mode : integer := 0; + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + IR : in std_logic_vector(7 downto 0); + ISet : in std_logic_vector(1 downto 0); + MCycle : in std_logic_vector(2 downto 0); + F : in std_logic_vector(7 downto 0); + NMICycle : in std_logic; + IntCycle : in std_logic; + MCycles : out std_logic_vector(2 downto 0); + TStates : out std_logic_vector(2 downto 0); + Prefix : out std_logic_vector(1 downto 0); -- None,BC,ED,DD/FD + Inc_PC : out std_logic; + Inc_WZ : out std_logic; + IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc + Read_To_Reg : out std_logic; + Read_To_Acc : out std_logic; + Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F + Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0 + ALU_Op : out std_logic_vector(3 downto 0); + -- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None + Save_ALU : out std_logic; + PreserveC : out std_logic; + Arith16 : out std_logic; + Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI + IORQ : out std_logic; + Jump : out std_logic; + JumpE : out std_logic; + JumpXY : out std_logic; + Call : out std_logic; + RstP : out std_logic; + LDZ : out std_logic; + LDW : out std_logic; + LDSPHL : out std_logic; + Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None + ExchangeDH : out std_logic; + ExchangeRp : out std_logic; + ExchangeAF : out std_logic; + ExchangeRS : out std_logic; + I_DJNZ : out std_logic; + I_CPL : out std_logic; + I_CCF : out std_logic; + I_SCF : out std_logic; + I_RETN : out std_logic; + I_BT : out std_logic; + I_BC : out std_logic; + I_BTR : out std_logic; + I_RLD : out std_logic; + I_RRD : out std_logic; + I_INRC : out std_logic; + SetDI : out std_logic; + SetEI : out std_logic; + IMode : out std_logic_vector(1 downto 0); + Halt : out std_logic; + NoRead : out std_logic; + Write : out std_logic + ); + end component; + + component T80_ALU + generic( + Mode : integer := 0; + Flag_C : integer := 0; + Flag_N : integer := 1; + Flag_P : integer := 2; + Flag_X : integer := 3; + Flag_H : integer := 4; + Flag_Y : integer := 5; + Flag_Z : integer := 6; + Flag_S : integer := 7 + ); + port( + Arith16 : in std_logic; + Z16 : in std_logic; + ALU_Op : in std_logic_vector(3 downto 0); + IR : in std_logic_vector(5 downto 0); + ISet : in std_logic_vector(1 downto 0); + BusA : in std_logic_vector(7 downto 0); + BusB : in std_logic_vector(7 downto 0); + F_In : in std_logic_vector(7 downto 0); + Q : out std_logic_vector(7 downto 0); + F_Out : out std_logic_vector(7 downto 0) + ); + end component; + +end; diff --git a/T80_Reg.vhd b/T80_Reg.vhd new file mode 100755 index 0000000..828485f --- /dev/null +++ b/T80_Reg.vhd @@ -0,0 +1,105 @@ +-- +-- T80 Registers, technology independent +-- +-- Version : 0244 +-- +-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t51/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0242 : Initial release +-- +-- 0244 : Changed to single register file +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +entity T80_Reg is + port( + Clk : in std_logic; + CEN : in std_logic; + WEH : in std_logic; + WEL : in std_logic; + AddrA : in std_logic_vector(2 downto 0); + AddrB : in std_logic_vector(2 downto 0); + AddrC : in std_logic_vector(2 downto 0); + DIH : in std_logic_vector(7 downto 0); + DIL : in std_logic_vector(7 downto 0); + DOAH : out std_logic_vector(7 downto 0); + DOAL : out std_logic_vector(7 downto 0); + DOBH : out std_logic_vector(7 downto 0); + DOBL : out std_logic_vector(7 downto 0); + DOCH : out std_logic_vector(7 downto 0); + DOCL : out std_logic_vector(7 downto 0) + ); +end T80_Reg; + +architecture rtl of T80_Reg is + + type Register_Image is array (natural range <>) of std_logic_vector(7 downto 0); + signal RegsH : Register_Image(0 to 7); + signal RegsL : Register_Image(0 to 7); + +begin + + process (Clk) + begin + if Clk'event and Clk = '1' then + if CEN = '1' then + if WEH = '1' then + RegsH(to_integer(unsigned(AddrA))) <= DIH; + end if; + if WEL = '1' then + RegsL(to_integer(unsigned(AddrA))) <= DIL; + end if; + end if; + end if; + end process; + + DOAH <= RegsH(to_integer(unsigned(AddrA))); + DOAL <= RegsL(to_integer(unsigned(AddrA))); + DOBH <= RegsH(to_integer(unsigned(AddrB))); + DOBL <= RegsL(to_integer(unsigned(AddrB))); + DOCH <= RegsH(to_integer(unsigned(AddrC))); + DOCL <= RegsL(to_integer(unsigned(AddrC))); + +end; diff --git a/T80_RegX.vhd b/T80_RegX.vhd new file mode 100755 index 0000000..4d89bae --- /dev/null +++ b/T80_RegX.vhd @@ -0,0 +1,170 @@ +-- +-- T80 Registers for Xilinx Select RAM +-- +-- Version : 0244 +-- +-- Copyright (c) 2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t51/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0242 : Initial release +-- +-- 0244 : Removed UNISIM library and added componet declaration +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; + +library UNISIM; +use UNISIM.VComponents.all; + +entity T80_Reg is + port( + Clk : in std_logic; + CEN : in std_logic; + WEH : in std_logic; + WEL : in std_logic; + AddrA : in std_logic_vector(2 downto 0); + AddrB : in std_logic_vector(2 downto 0); + AddrC : in std_logic_vector(2 downto 0); + DIH : in std_logic_vector(7 downto 0); + DIL : in std_logic_vector(7 downto 0); + DOAH : out std_logic_vector(7 downto 0); + DOAL : out std_logic_vector(7 downto 0); + DOBH : out std_logic_vector(7 downto 0); + DOBL : out std_logic_vector(7 downto 0); + DOCH : out std_logic_vector(7 downto 0); + DOCL : out std_logic_vector(7 downto 0) + ); +end T80_Reg; + +architecture rtl of T80_Reg is + +-- component RAM16X1D +-- port( +-- DPO : out std_ulogic; +-- SPO : out std_ulogic; +-- A0 : in std_ulogic; +-- A1 : in std_ulogic; +-- A2 : in std_ulogic; +-- A3 : in std_ulogic; +-- D : in std_ulogic; +-- DPRA0 : in std_ulogic; +-- DPRA1 : in std_ulogic; +-- DPRA2 : in std_ulogic; +-- DPRA3 : in std_ulogic; +-- WCLK : in std_ulogic; +-- WE : in std_ulogic); +-- end component; + + signal ENH : std_logic; + signal ENL : std_logic; + +begin + + ENH <= CEN and WEH; + ENL <= CEN and WEL; + + bG1: for I in 0 to 7 generate + begin + Reg1H : RAM16X1D + port map( + DPO => DOBH(i), + SPO => DOAH(i), + A0 => AddrA(0), + A1 => AddrA(1), + A2 => AddrA(2), + A3 => '0', + D => DIH(i), + DPRA0 => AddrB(0), + DPRA1 => AddrB(1), + DPRA2 => AddrB(2), + DPRA3 => '0', + WCLK => Clk, + WE => ENH); + Reg1L : RAM16X1D + port map( + DPO => DOBL(i), + SPO => DOAL(i), + A0 => AddrA(0), + A1 => AddrA(1), + A2 => AddrA(2), + A3 => '0', + D => DIL(i), + DPRA0 => AddrB(0), + DPRA1 => AddrB(1), + DPRA2 => AddrB(2), + DPRA3 => '0', + WCLK => Clk, + WE => ENL); + Reg2H : RAM16X1D + port map( + DPO => DOCH(i), + SPO => open, + A0 => AddrA(0), + A1 => AddrA(1), + A2 => AddrA(2), + A3 => '0', + D => DIH(i), + DPRA0 => AddrC(0), + DPRA1 => AddrC(1), + DPRA2 => AddrC(2), + DPRA3 => '0', + WCLK => Clk, + WE => ENH); + Reg2L : RAM16X1D + port map( + DPO => DOCL(i), + SPO => open, + A0 => AddrA(0), + A1 => AddrA(1), + A2 => AddrA(2), + A3 => '0', + D => DIL(i), + DPRA0 => AddrC(0), + DPRA1 => AddrC(1), + DPRA2 => AddrC(2), + DPRA3 => '0', + WCLK => Clk, + WE => ENL); + end generate; + +end; diff --git a/T80a.vhd b/T80a.vhd new file mode 100755 index 0000000..dc1e899 --- /dev/null +++ b/T80a.vhd @@ -0,0 +1,255 @@ +-- +-- Z80 compatible microprocessor core, asynchronous top level +-- +-- Version : 0247 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0208 : First complete release +-- +-- 0211 : Fixed interrupt cycle +-- +-- 0235 : Updated for T80 interface change +-- +-- 0238 : Updated for T80 interface change +-- +-- 0240 : Updated for T80 interface change +-- +-- 0242 : Updated for T80 interface change +-- +-- 0247 : Fixed bus req/ack cycle +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use work.T80_Pack.all; + +entity T80a is + generic( + Mode : integer := 0 -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB + ); + port( + RESET_n : in std_logic; + CLK_n : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + MREQ_n : out std_logic; + IORQ_n : out std_logic; + RD_n : out std_logic; + WR_n : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DIN : in std_logic_vector(7 downto 0); + DOUT : out std_logic_vector(7 downto 0) + ); +end T80a; + +architecture rtl of T80a is + + signal CEN : std_logic; + signal Reset_s : std_logic; + signal IntCycle_n : std_logic; + signal IORQ : std_logic; + signal NoRead : std_logic; + signal Write : std_logic; + signal MREQ : std_logic; + signal MReq_Inhibit : std_logic; + signal Req_Inhibit : std_logic; + signal RD : std_logic; + signal MREQ_n_i : std_logic; + signal IORQ_n_i : std_logic; + signal RD_n_i : std_logic; + signal WR_n_i : std_logic; + signal RFSH_n_i : std_logic; + signal BUSAK_n_i : std_logic; + signal A_i : std_logic_vector(15 downto 0); + signal DO : std_logic_vector(7 downto 0); + signal DI_Reg : std_logic_vector (7 downto 0); -- Input synchroniser + signal Wait_s : std_logic; + signal MCycle : std_logic_vector(2 downto 0); + signal TState : std_logic_vector(2 downto 0); + +begin + + CEN <= '1'; + + BUSAK_n <= BUSAK_n_i; + MREQ_n_i <= not MREQ or (Req_Inhibit and MReq_Inhibit); + RD_n_i <= not RD or Req_Inhibit; + + MREQ_n <= MREQ_n_i when BUSAK_n_i = '1' else 'Z'; + IORQ_n <= IORQ_n_i when BUSAK_n_i = '1' else 'Z'; + RD_n <= RD_n_i when BUSAK_n_i = '1' else 'Z'; + WR_n <= WR_n_i when BUSAK_n_i = '1' else 'Z'; + RFSH_n <= RFSH_n_i when BUSAK_n_i = '1' else 'Z'; + A <= A_i when BUSAK_n_i = '1' else (others => 'Z'); +-- D <= DO when Write = '1' and BUSAK_n_i = '1' else (others => 'Z'); + DOUT <= DO; + + process (RESET_n, CLK_n) + begin + if RESET_n = '0' then + Reset_s <= '0'; + elsif CLK_n'event and CLK_n = '1' then + Reset_s <= '1'; + end if; + end process; + + u0 : T80 + generic map( + Mode => Mode, + IOWait => 1) + port map( + CEN => CEN, + M1_n => M1_n, + IORQ => IORQ, + NoRead => NoRead, + Write => Write, + RFSH_n => RFSH_n_i, + HALT_n => HALT_n, + WAIT_n => Wait_s, + INT_n => INT_n, + NMI_n => NMI_n, + RESET_n => Reset_s, + BUSRQ_n => BUSRQ_n, + BUSAK_n => BUSAK_n_i, + CLK_n => CLK_n, + A => A_i, + DInst => DIN, + DI => DI_Reg, + DO => DO, + MC => MCycle, + TS => TState, + IntCycle_n => IntCycle_n); + + process (CLK_n) + begin + if CLK_n'event and CLK_n = '0' then + Wait_s <= WAIT_n; + if TState = "011" and BUSAK_n_i = '1' then + DI_Reg <= DIN; + end if; + end if; + end process; + + process (Reset_s,CLK_n) + begin + if Reset_s = '0' then + WR_n_i <= '1'; + elsif CLK_n'event and CLK_n = '1' then + WR_n_i <= '1'; + if TState = "001" then -- To short for IO writes !!!!!!!!!!!!!!!!!!! + WR_n_i <= not Write; + end if; + end if; + end process; + + process (Reset_s,CLK_n) + begin + if Reset_s = '0' then + Req_Inhibit <= '0'; + elsif CLK_n'event and CLK_n = '1' then + if MCycle = "001" and TState = "010" then + Req_Inhibit <= '1'; + else + Req_Inhibit <= '0'; + end if; + end if; + end process; + + process (Reset_s,CLK_n) + begin + if Reset_s = '0' then + MReq_Inhibit <= '0'; + elsif CLK_n'event and CLK_n = '0' then + if MCycle = "001" and TState = "010" then + MReq_Inhibit <= '1'; + else + MReq_Inhibit <= '0'; + end if; + end if; + end process; + + process(Reset_s,CLK_n) + begin + if Reset_s = '0' then + RD <= '0'; + IORQ_n_i <= '1'; + MREQ <= '0'; + elsif CLK_n'event and CLK_n = '0' then + + if MCycle = "001" then + if TState = "001" then + RD <= IntCycle_n; + MREQ <= IntCycle_n; + IORQ_n_i <= IntCycle_n; + end if; + if TState = "011" then + RD <= '0'; + IORQ_n_i <= '1'; + MREQ <= '1'; + end if; + if TState = "100" then + MREQ <= '0'; + end if; + else + if TState = "001" and NoRead = '0' then + RD <= not Write; + IORQ_n_i <= not IORQ; + MREQ <= not IORQ; + end if; + if TState = "011" then + RD <= '0'; + IORQ_n_i <= '1'; + MREQ <= '0'; + end if; + end if; + end if; + end process; + +end; diff --git a/T80s.vhd b/T80s.vhd new file mode 100755 index 0000000..5b61211 --- /dev/null +++ b/T80s.vhd @@ -0,0 +1,190 @@ +-- +-- Z80 compatible microprocessor core, synchronous top level +-- Different timing than the original z80 +-- Inputs needs to be synchronous and outputs may glitch +-- +-- Version : 0242 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0208 : First complete release +-- +-- 0210 : Fixed read with wait +-- +-- 0211 : Fixed interrupt cycle +-- +-- 0235 : Updated for T80 interface change +-- +-- 0236 : Added T2Write generic +-- +-- 0237 : Fixed T2Write with wait state +-- +-- 0238 : Updated for T80 interface change +-- +-- 0240 : Updated for T80 interface change +-- +-- 0242 : Updated for T80 interface change +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use work.T80_Pack.all; + +entity T80s is + generic( + Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB + T2Write : integer := 0; -- 0 => WR_n active in T3, /=0 => WR_n active in T2 + IOWait : integer := 1 -- 0 => Single cycle I/O, 1 => Std I/O cycle + ); + port( + RESET_n : in std_logic; + CLK_n : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + MREQ_n : out std_logic; + IORQ_n : out std_logic; + RD_n : out std_logic; + WR_n : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DI : in std_logic_vector(7 downto 0); + DO : out std_logic_vector(7 downto 0) + ); +end T80s; + +architecture rtl of T80s is + + signal CEN : std_logic; + signal IntCycle_n : std_logic; + signal NoRead : std_logic; + signal Write : std_logic; + signal IORQ : std_logic; + signal DI_Reg : std_logic_vector(7 downto 0); + signal MCycle : std_logic_vector(2 downto 0); + signal TState : std_logic_vector(2 downto 0); + +begin + + CEN <= '1'; + + u0 : T80 + generic map( + Mode => Mode, + IOWait => IOWait) + port map( + CEN => CEN, + M1_n => M1_n, + IORQ => IORQ, + NoRead => NoRead, + Write => Write, + RFSH_n => RFSH_n, + HALT_n => HALT_n, + WAIT_n => Wait_n, + INT_n => INT_n, + NMI_n => NMI_n, + RESET_n => RESET_n, + BUSRQ_n => BUSRQ_n, + BUSAK_n => BUSAK_n, + CLK_n => CLK_n, + A => A, + DInst => DI, + DI => DI_Reg, + DO => DO, + MC => MCycle, + TS => TState, + IntCycle_n => IntCycle_n); + + process (RESET_n, CLK_n) + begin + if RESET_n = '0' then + RD_n <= '1'; + WR_n <= '1'; + IORQ_n <= '1'; + MREQ_n <= '1'; + DI_Reg <= "00000000"; + elsif CLK_n'event and CLK_n = '1' then + RD_n <= '1'; + WR_n <= '1'; + IORQ_n <= '1'; + MREQ_n <= '1'; + if MCycle = "001" then + if TState = "001" or (TState = "010" and Wait_n = '0') then + RD_n <= not IntCycle_n; + MREQ_n <= not IntCycle_n; + IORQ_n <= IntCycle_n; + end if; + if TState = "011" then + MREQ_n <= '0'; + end if; + else + if (TState = "001" or (TState = "010" and Wait_n = '0')) and NoRead = '0' and Write = '0' then + RD_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + if T2Write = 0 then + if TState = "010" and Write = '1' then + WR_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + else + if (TState = "001" or (TState = "010" and Wait_n = '0')) and Write = '1' then + WR_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + end if; + end if; + if TState = "010" and Wait_n = '1' then + DI_Reg <= DI; + end if; + end if; + end process; + +end; diff --git a/T80se.vhd b/T80se.vhd new file mode 100755 index 0000000..ac8886a --- /dev/null +++ b/T80se.vhd @@ -0,0 +1,184 @@ +-- +-- Z80 compatible microprocessor core, synchronous top level with clock enable +-- Different timing than the original z80 +-- Inputs needs to be synchronous and outputs may glitch +-- +-- Version : 0242 +-- +-- Copyright (c) 2001-2002 Daniel Wallner (jesus@opencores.org) +-- +-- All rights reserved +-- +-- Redistribution and use in source and synthezised forms, with or without +-- modification, are permitted provided that the following conditions are met: +-- +-- Redistributions of source code must retain the above copyright notice, +-- this list of conditions and the following disclaimer. +-- +-- Redistributions in synthesized form must reproduce the above copyright +-- notice, this list of conditions and the following disclaimer in the +-- documentation and/or other materials provided with the distribution. +-- +-- Neither the name of the author nor the names of other contributors may +-- be used to endorse or promote products derived from this software without +-- specific prior written permission. +-- +-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" +-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE +-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR +-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF +-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS +-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN +-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) +-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE +-- POSSIBILITY OF SUCH DAMAGE. +-- +-- Please report bugs to the author, but before you do so, please +-- make sure that this is not a derivative work and that +-- you have the latest version of this file. +-- +-- The latest version of this file can be found at: +-- http://www.opencores.org/cvsweb.shtml/t80/ +-- +-- Limitations : +-- +-- File history : +-- +-- 0235 : First release +-- +-- 0236 : Added T2Write generic +-- +-- 0237 : Fixed T2Write with wait state +-- +-- 0238 : Updated for T80 interface change +-- +-- 0240 : Updated for T80 interface change +-- +-- 0242 : Updated for T80 interface change +-- + +library IEEE; +use IEEE.std_logic_1164.all; +use IEEE.numeric_std.all; +use work.T80_Pack.all; + +entity T80se is + generic( + Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB + T2Write : integer := 0; -- 0 => WR_n active in T3, /=0 => WR_n active in T2 + IOWait : integer := 1 -- 0 => Single cycle I/O, 1 => Std I/O cycle + ); + port( + RESET_n : in std_logic; + CLK_n : in std_logic; + CLKEN : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + MREQ_n : out std_logic; + IORQ_n : out std_logic; + RD_n : out std_logic; + WR_n : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DI : in std_logic_vector(7 downto 0); + DO : out std_logic_vector(7 downto 0) + ); +end T80se; + +architecture rtl of T80se is + + signal IntCycle_n : std_logic; + signal NoRead : std_logic; + signal Write : std_logic; + signal IORQ : std_logic; + signal DI_Reg : std_logic_vector(7 downto 0); + signal MCycle : std_logic_vector(2 downto 0); + signal TState : std_logic_vector(2 downto 0); + +begin + + u0 : T80 + generic map( + Mode => Mode, + IOWait => IOWait) + port map( + CEN => CLKEN, + M1_n => M1_n, + IORQ => IORQ, + NoRead => NoRead, + Write => Write, + RFSH_n => RFSH_n, + HALT_n => HALT_n, + WAIT_n => Wait_n, + INT_n => INT_n, + NMI_n => NMI_n, + RESET_n => RESET_n, + BUSRQ_n => BUSRQ_n, + BUSAK_n => BUSAK_n, + CLK_n => CLK_n, + A => A, + DInst => DI, + DI => DI_Reg, + DO => DO, + MC => MCycle, + TS => TState, + IntCycle_n => IntCycle_n); + + process (RESET_n, CLK_n) + begin + if RESET_n = '0' then + RD_n <= '1'; + WR_n <= '1'; + IORQ_n <= '1'; + MREQ_n <= '1'; + DI_Reg <= "00000000"; + elsif CLK_n'event and CLK_n = '1' then + if CLKEN = '1' then + RD_n <= '1'; + WR_n <= '1'; + IORQ_n <= '1'; + MREQ_n <= '1'; + if MCycle = "001" then + if TState = "001" or (TState = "010" and Wait_n = '0') then + RD_n <= not IntCycle_n; + MREQ_n <= not IntCycle_n; + IORQ_n <= IntCycle_n; + end if; + if TState = "011" then + MREQ_n <= '0'; + end if; + else + if (TState = "001" or (TState = "010" and Wait_n = '0')) and NoRead = '0' and Write = '0' then + RD_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + if T2Write = 0 then + if TState = "010" and Write = '1' then + WR_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + else + if (TState = "001" or (TState = "010" and Wait_n = '0')) and Write = '1' then + WR_n <= '0'; + IORQ_n <= not IORQ; + MREQ_n <= IORQ; + end if; + end if; + end if; + if TState = "010" and Wait_n = '1' then + DI_Reg <= DI; + end if; + end if; + end if; + end process; + +end; diff --git a/coregen/basic_rom.mif b/coregen/basic_rom.mif new file mode 100755 index 0000000..c643a59 --- /dev/null +++ b/coregen/basic_rom.mif @@ -0,0 +1,8192 @@ +11000011 +00000011 +11100000 +11110011 +11011101 +00100001 +00000000 +00000000 +11000011 +00010010 +11100000 +10001011 +11101001 +11110010 +11110000 +11000011 +00111100 +11100111 +00100001 +00000000 +00010000 +11111001 +11000011 +10111011 +11111110 +00010001 +11011111 +11100010 +00000110 +01100011 +00100001 +00000000 +00010000 +00011010 +01110111 +00100011 +00010011 +00000101 +11000010 +00100001 +11100000 +11111001 +11001101 +11011111 +11100100 +11001101 +10000001 +11101011 +00110010 +10101010 +00010000 +00110010 +11111001 +00010000 +00100001 +00000011 +11100001 +11001101 +00010000 +11110010 +11001101 +11111100 +11100100 +11001101 +00110110 +11101000 +10110111 +11000010 +01011011 +11100000 +00100001 +01011101 +00010001 +00100011 +01111100 +10110101 +11001010 +01101101 +11100000 +01111110 +01000111 +00101111 +01110111 +10111110 +01110000 +11001010 +01001001 +11100000 +11000011 +01101101 +11100000 +11001101 +10100101 +11101001 +10110111 +11000010 +10101101 +11100011 +11101011 +00101011 +00111110 +11011001 +01000110 +01110111 +10111110 +01110000 +11000010 +00110110 +11100000 +00101011 +00010001 +01011100 +00010001 +11001101 +10001010 +11100110 +11011010 +00110110 +11100000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010001 +11001110 +11111111 +00100010 +10101111 +00010000 +00011001 +00100010 +01011010 +00010000 +11001101 +10111010 +11100100 +00101010 +01011010 +00010000 +00010001 +11101111 +11111111 +00011001 +00010001 +11111001 +00010000 +01111101 +10010011 +01101111 +01111100 +10011010 +01100111 +11100101 +00100001 +11000101 +11100000 +11001101 +00010000 +11110010 +11100001 +11001101 +10101101 +11111001 +00100001 +10110111 +11100000 +11001101 +00010000 +11110010 +00110001 +01100110 +00010000 +11001101 +11011111 +11100100 +11000011 +11111000 +11100011 +00100000 +01000010 +01111001 +01110100 +01100101 +01110011 +00100000 +01100110 +01110010 +01100101 +01100101 +00001101 +00000000 +00000000 +01001110 +01000001 +01010011 +01000011 +01001111 +01001101 +00100000 +01010010 +01001111 +01001101 +00100000 +01000010 +01000001 +01010011 +01001001 +01000011 +00100000 +01010110 +01100101 +01110010 +00100000 +00110100 +00101110 +00110111 +00100000 +00100000 +00100000 +00001101 +01000011 +01101111 +01110000 +01111001 +01110010 +01101001 +01100111 +01101000 +01110100 +00100000 +00101000 +01000011 +00101001 +00100000 +00110001 +00111001 +00110111 +00111000 +00100000 +01100010 +01111001 +00100000 +01001101 +01101001 +01100011 +01110010 +01101111 +01110011 +01101111 +01100110 +01110100 +00001101 +00000000 +00000000 +01001101 +01100101 +01101101 +01101111 +01110010 +01111001 +00100000 +01110011 +01101001 +01111010 +01100101 +00000000 +00100010 +11111000 +11100110 +11111000 +00111000 +11111000 +00000011 +00010000 +11010000 +11110000 +01000001 +11110100 +11111110 +11110000 +10101100 +11111010 +10001011 +11111011 +11000111 +11110110 +11111010 +11111010 +00000000 +11111100 +00000110 +11111100 +01100111 +11111100 +01111100 +11111100 +10100011 +11110101 +10111100 +11111101 +01010001 +00010000 +10000010 +11110011 +10011010 +11110001 +00011100 +11110100 +10010001 +11110011 +10100010 +11110011 +10110010 +11110011 +11100010 +11110011 +11101100 +11110011 +11000101 +01001110 +01000100 +11000110 +01001111 +01010010 +11001110 +01000101 +01011000 +01010100 +11000100 +01000001 +01010100 +01000001 +11001001 +01001110 +01010000 +01010101 +01010100 +11000100 +01001001 +01001101 +11010010 +01000101 +01000001 +01000100 +11001100 +01000101 +01010100 +11000111 +01001111 +01010100 +01001111 +11010010 +01010101 +01001110 +11001001 +01000110 +11010010 +01000101 +01010011 +01010100 +01001111 +01010010 +01000101 +11000111 +01001111 +01010011 +01010101 +01000010 +11010010 +01000101 +01010100 +01010101 +01010010 +01001110 +11010010 +01000101 +01001101 +11010011 +01010100 +01001111 +01010000 +11001111 +01010101 +01010100 +11001111 +01001110 +11001110 +01010101 +01001100 +01001100 +11010111 +01000001 +01001001 +01010100 +11000100 +01000101 +01000110 +11010000 +01001111 +01001011 +01000101 +11000100 +01001111 +01001011 +01000101 +11010011 +01000011 +01010010 +01000101 +01000101 +01001110 +11001100 +01001001 +01001110 +01000101 +01010011 +11000011 +01001100 +01010011 +11010111 +01001001 +01000100 +01010100 +01001000 +11001101 +01001111 +01001110 +01001001 +01010100 +01001111 +01010010 +11010011 +01000101 +01010100 +11010010 +01000101 +01010011 +01000101 +01010100 +11010000 +01010010 +01001001 +01001110 +01010100 +11000011 +01001111 +01001110 +01010100 +11001100 +01001001 +01010011 +01010100 +11000011 +01001100 +01000101 +01000001 +01010010 +11000011 +01001100 +01001111 +01000001 +01000100 +11000011 +01010011 +01000001 +01010110 +01000101 +11001110 +01000101 +01010111 +11010100 +01000001 +01000010 +00101000 +11010100 +01001111 +11000110 +01001110 +11010011 +01010000 +01000011 +00101000 +11010100 +01001000 +01000101 +01001110 +11001110 +01001111 +01010100 +11010011 +01010100 +01000101 +01010000 +10101011 +10101101 +10101010 +10101111 +11011110 +11000001 +01001110 +01000100 +11001111 +01010010 +10111110 +10111101 +10111100 +11010011 +01000111 +01001110 +11001001 +01001110 +01010100 +11000001 +01000010 +01010011 +11010101 +01010011 +01010010 +11000110 +01010010 +01000101 +11001001 +01001110 +01010000 +11010000 +01001111 +01010011 +11010011 +01010001 +01010010 +11010010 +01001110 +01000100 +11001100 +01001111 +01000111 +11000101 +01011000 +01010000 +11000011 +01001111 +01010011 +11010011 +01001001 +01001110 +11010100 +01000001 +01001110 +11000001 +01010100 +01001110 +11010000 +01000101 +01000101 +01001011 +11000100 +01000101 +01000101 +01001011 +11010000 +01001111 +01001001 +01001110 +01010100 +11001100 +01000101 +01001110 +11010011 +01010100 +01010010 +00100100 +11010110 +01000001 +01001100 +11000001 +01010011 +01000011 +11000011 +01001000 +01010010 +00100100 +11001100 +01000101 +01000110 +01010100 +00100100 +11010010 +01001001 +01000111 +01001000 +01010100 +00100100 +11001101 +01001001 +01000100 +00100100 +10000000 +01110010 +11101000 +01111001 +11100111 +11110110 +11101100 +01110000 +11101010 +11111101 +11101011 +00101000 +11101111 +00101100 +11101100 +10000111 +11101010 +00101101 +11101010 +00010000 +11101010 +11111111 +11101010 +01000110 +11101000 +00011100 +11101010 +01001011 +11101010 +01110010 +11101010 +01110000 +11101000 +01001101 +11110100 +11100001 +11101010 +10110001 +11101000 +01010011 +11110100 +00000110 +11110001 +10101010 +11110101 +11000111 +11111101 +11100110 +11111101 +10101101 +11111101 +10001011 +11111101 +10100101 +11111101 +10100010 +11111110 +01010100 +00010000 +01010111 +00010000 +00100011 +11101011 +10011110 +11101000 +11011101 +11100110 +11001010 +11101001 +11111001 +11110100 +11000011 +11110100 +10111001 +11100100 +01111001 +10010100 +11111001 +01111001 +11001000 +11110101 +01111100 +00000110 +11110111 +01111100 +01100111 +11110111 +01111111 +10110101 +11111010 +01010000 +10000001 +11101110 +01000110 +10000000 +11101110 +01001110 +01000110 +01010011 +01001110 +01010010 +01000111 +01001111 +01000100 +01000110 +01000011 +01001111 +01010110 +01001111 +01001101 +01010101 +01001100 +01000010 +01010011 +01000100 +01000100 +00101111 +00110000 +01001001 +01000100 +01010100 +01001101 +01001111 +01010011 +01001100 +01010011 +01010011 +01010100 +01000011 +01001110 +01010101 +01000110 +01001101 +01001111 +11000011 +10101110 +11100000 +11000011 +10100000 +11101001 +11010011 +00000000 +11001001 +11010110 +00000000 +01101111 +01111100 +11011110 +00000000 +01100111 +01111000 +11011110 +00000000 +01000111 +00111110 +00000000 +11001001 +00000000 +00000000 +00000000 +00110101 +01001010 +11001010 +10011001 +00111001 +00011100 +01110110 +10011000 +00100010 +10010101 +10110011 +10011000 +00001010 +11011101 +01000111 +10011000 +01010011 +11010001 +10011001 +10011001 +00001010 +00011010 +10011111 +10011000 +01100101 +10111100 +11001101 +10011000 +11010110 +01110111 +00111110 +10011000 +01010010 +11000111 +01001111 +10000000 +11011011 +00000000 +11001001 +00000001 +00101111 +00011100 +00000000 +00000000 +00000101 +00000000 +00000101 +00000000 +00000000 +00000000 +00000000 +00000000 +11000011 +00000111 +11100110 +11000011 +01111001 +11111111 +11000011 +01000000 +11111111 +11000011 +01010101 +11111111 +01011101 +00010001 +11111110 +11111111 +11111010 +00010000 +00100000 +01000101 +01110010 +01110010 +01101111 +01110010 +00000000 +00100000 +01101001 +01101110 +00100000 +00000000 +01001111 +01101011 +00001101 +00000000 +00000000 +01000010 +01110010 +01100101 +01100001 +01101011 +00000000 +00100001 +00000100 +00000000 +00111001 +01111110 +00100011 +11111110 +10000001 +11000000 +01001110 +00100011 +01000110 +00100011 +11100101 +01101001 +01100000 +01111010 +10110011 +11101011 +11001010 +01110000 +11100011 +11101011 +11001101 +10001010 +11100110 +00000001 +00001101 +00000000 +11100001 +11001000 +00001001 +11000011 +01011010 +11100011 +11001101 +10010011 +11100011 +11000101 +11100011 +11000001 +11001101 +10001010 +11100110 +01111110 +00000010 +11001000 +00001011 +00101011 +11000011 +01111111 +11100011 +11100101 +00101010 +11011010 +00010000 +00000110 +00000000 +00001001 +00001001 +00111110 +11100101 +00111110 +11010000 +10010101 +01101111 +00111110 +11111111 +10011100 +11011010 +10100010 +11100011 +01100111 +00111001 +11100001 +11011000 +00011110 +00001100 +11000011 +11000001 +11100011 +00101010 +11001001 +00010000 +00100010 +01011100 +00010000 +00011110 +00000010 +00000001 +00011110 +00010100 +00000001 +00011110 +00000000 +00000001 +00011110 +00010010 +00000001 +00011110 +00100010 +00000001 +00011110 +00001010 +00000001 +00011110 +00011000 +11001101 +11011111 +11100100 +00110010 +01000101 +00010000 +11001101 +01110100 +11101011 +00100001 +10111001 +11100010 +01010111 +00111110 +00111111 +11001101 +10011011 +11100110 +00011001 +01111110 +11001101 +10011011 +11100110 +11001101 +00110110 +11101000 +11001101 +10011011 +11100110 +00100001 +00111111 +11100011 +11001101 +00010000 +11110010 +00101010 +01011100 +00010000 +00010001 +11111110 +11111111 +11001101 +10001010 +11100110 +11001010 +00010010 +11100000 +01111100 +10100101 +00111100 +11000100 +10100101 +11111001 +00111110 +11000001 +10101111 +00110010 +01000101 +00010000 +11001101 +01110100 +11101011 +00100001 +01001011 +11100011 +11001101 +00010000 +11110010 +00100001 +11111111 +11111111 +00100010 +01011100 +00010000 +11001101 +11110010 +11100101 +11011010 +00000101 +11100100 +11001101 +00110110 +11101000 +00111100 +00111101 +11001010 +00000101 +11100100 +11110101 +11001101 +10100101 +11101001 +11010101 +11001101 +00001001 +11100101 +01000111 +11010001 +11110001 +11010010 +00010110 +11101000 +11010101 +11000101 +10101111 +00110010 +11001100 +00010000 +11001101 +00110110 +11101000 +10110111 +11110101 +11001101 +10011001 +11100100 +11011010 +00111110 +11100100 +11110001 +11110101 +11001010 +01000110 +11101010 +10110111 +11000101 +11010010 +01010101 +11100100 +11101011 +00101010 +11010110 +00010000 +00011010 +00000010 +00000011 +00010011 +11001101 +10001010 +11100110 +11000010 +01000110 +11100100 +01100000 +01101001 +00100010 +11010110 +00010000 +11010001 +11110001 +11001010 +01111100 +11100100 +00101010 +11010110 +00010000 +11100011 +11000001 +00001001 +11100101 +11001101 +01111001 +11100011 +11100001 +00100010 +11010110 +00010000 +11101011 +01110100 +11010001 +00100011 +00100011 +01110011 +00100011 +01110010 +00100011 +00010001 +01100001 +00010000 +00011010 +01110111 +00100011 +00010011 +10110111 +11000010 +01110100 +11100100 +11001101 +11000101 +11100100 +00100011 +11101011 +01100010 +01101011 +01111110 +00100011 +10110110 +11001010 +00000101 +11100100 +00100011 +00100011 +00100011 +10101111 +10111110 +00100011 +11000010 +10001101 +11100100 +11101011 +01110011 +00100011 +01110010 +11000011 +10000001 +11100100 +00101010 +01011110 +00010000 +01000100 +01001101 +01111110 +00100011 +10110110 +00101011 +11001000 +00100011 +00100011 +01111110 +00100011 +01100110 +01101111 +11001101 +10001010 +11100110 +01100000 +01101001 +01111110 +00100011 +01100110 +01101111 +00111111 +11001000 +00111111 +11010000 +11000011 +10011100 +11100100 +11000000 +00101010 +01011110 +00010000 +10101111 +01110111 +00100011 +01110111 +00100011 +00100010 +11010110 +00010000 +00101010 +01011110 +00010000 +00101011 +00100010 +11001110 +00010000 +00101010 +10101111 +00010000 +00100010 +11000011 +00010000 +10101111 +11001101 +01000110 +11101000 +00101010 +11010110 +00010000 +00100010 +11011000 +00010000 +00100010 +11011010 +00010000 +11000001 +00101010 +01011010 +00010000 +11111001 +00100001 +10110011 +00010000 +00100010 +10110001 +00010000 +10101111 +01101111 +01100111 +00100010 +11010100 +00010000 +00110010 +11001011 +00010000 +00100010 +11011110 +00010000 +11100101 +11000101 +00101010 +11001110 +00010000 +11001001 +00111110 +00111111 +11001101 +10011011 +11100110 +00111110 +00100000 +11001101 +10011011 +11100110 +11000011 +01001110 +00010000 +10101111 +00110010 +10101110 +00010000 +00001110 +00000101 +00010001 +01100001 +00010000 +01111110 +11111110 +00100000 +11001010 +10010001 +11100101 +01000111 +11111110 +00100010 +11001010 +10110001 +11100101 +10110111 +11001010 +10111000 +11100101 +00111010 +10101110 +00010000 +10110111 +01111110 +11000010 +10010001 +11100101 +11111110 +00111111 +00111110 +10011110 +11001010 +10010001 +11100101 +01111110 +11111110 +00110000 +11011010 +00111100 +11100101 +11111110 +00111100 +11011010 +10010001 +11100101 +11010101 +00010001 +01000010 +11100001 +11000101 +00000001 +10001101 +11100101 +11000101 +00000110 +01111111 +01111110 +11111110 +01100001 +11011010 +01010101 +11100101 +11111110 +01111011 +11010010 +01010101 +11100101 +11100110 +01011111 +01110111 +01001110 +11101011 +00100011 +10110110 +11110010 +01010111 +11100101 +00000100 +01111110 +11100110 +01111111 +11001000 +10111001 +11000010 +01010111 +11100101 +11101011 +11100101 +00010011 +00011010 +10110111 +11111010 +10001001 +11100101 +01001111 +01111000 +11111110 +10001000 +11000010 +01111000 +11100101 +11001101 +00110110 +11101000 +00101011 +00100011 +01111110 +11111110 +01100001 +11011010 +10000001 +11100101 +11100110 +01011111 +10111001 +11001010 +01100111 +11100101 +11100001 +11000011 +01010101 +11100101 +01001000 +11110001 +11101011 +11001001 +11101011 +01111001 +11000001 +11010001 +00100011 +00010010 +00010011 +00001100 +11010110 +00111010 +11001010 +10011111 +11100101 +11111110 +01001001 +11000010 +10100010 +11100101 +00110010 +10101110 +00010000 +11010110 +01010100 +11000010 +00010010 +11100101 +01000111 +01111110 +10110111 +11001010 +10111000 +11100101 +10111000 +11001010 +10010001 +11100101 +00100011 +00010010 +00001100 +00010011 +11000011 +10101000 +11100101 +00100001 +01100000 +00010000 +00010010 +00010011 +00010010 +00010011 +00010010 +11001001 +00111010 +01000100 +00010000 +10110111 +00111110 +00000000 +00110010 +01000100 +00010000 +11000010 +11010101 +11100101 +00000101 +11001010 +11110010 +11100101 +11001101 +10011011 +11100110 +00111110 +00000101 +00101011 +11001010 +11101001 +11100101 +01111110 +11001101 +10011011 +11100110 +11000011 +00010000 +11100110 +00000101 +00101011 +11001101 +10011011 +11100110 +11000010 +00010000 +11100110 +11001101 +10011011 +11100110 +11001101 +10000001 +11101011 +11000011 +00000111 +11100110 +11001101 +01101101 +11111110 +11001010 +00000111 +11100110 +00101010 +01110101 +00001100 +01111110 +11111110 +01110100 +11001010 +00000111 +11100110 +11001101 +11101000 +11111110 +11000011 +10000110 +11101011 +00100001 +01100001 +00010000 +00000110 +00000001 +10101111 +00110010 +01000100 +00010000 +11001101 +11001100 +11100110 +01001111 +11111110 +01111111 +11001010 +11000001 +11100101 +00111010 +01000100 +00010000 +10110111 +11001010 +00101001 +11100110 +00111110 +00000000 +11001101 +10011011 +11100110 +10101111 +00110010 +01000100 +00010000 +01111001 +11111110 +00000111 +11001010 +01101101 +11100110 +11111110 +00000011 +11001100 +10000001 +11101011 +00110111 +11001000 +11111110 +00001101 +11001010 +01111100 +11101011 +11111110 +00010101 +11001010 +11101100 +11100101 +11111110 +01000000 +11001010 +11101001 +11100101 +11111110 +01011111 +11001010 +11100001 +11100101 +11111110 +00001000 +11001010 +11100001 +11100101 +11111110 +00010010 +11000010 +01101000 +11100110 +11000101 +11010101 +11100101 +00110110 +00000000 +11001101 +11110100 +11111111 +00100001 +01100001 +00010000 +11001101 +00010000 +11110010 +11100001 +11010001 +11000001 +11000011 +00010000 +11100110 +11111110 +00100000 +11011010 +00010000 +11100110 +01111000 +11111110 +01001001 +00111110 +00000111 +11010010 +10000010 +11100110 +01111001 +01110001 +00110010 +11001100 +00010000 +00100011 +00000100 +11001101 +10011011 +11100110 +11000011 +00010000 +11100110 +11001101 +10011011 +11100110 +00111110 +00001000 +11000011 +01111100 +11100110 +01111100 +10010010 +11000000 +01111101 +10010011 +11001001 +01111110 +11100011 +10111110 +00100011 +11100011 +11001010 +00110110 +11101000 +11000011 +10101101 +11100011 +11110101 +00111010 +01000101 +00010000 +10110111 +11000010 +01000101 +11110010 +11110001 +11000101 +11110101 +11111110 +00100000 +11011010 +10111111 +11100110 +00111010 +01000010 +00010000 +01000111 +00111010 +10101011 +00010000 +00000100 +11001010 +10111011 +11100110 +00000101 +10111000 +11001100 +10000001 +11101011 +00111100 +00110010 +10101011 +00010000 +11110001 +11000001 +11110101 +11110001 +11110101 +11000101 +01001111 +11001101 +11011001 +11111100 +11000001 +11110001 +11001001 +11001101 +00000101 +11111101 +11100110 +01111111 +11111110 +00001111 +11000000 +00111010 +01000101 +00010000 +00101111 +00110010 +01000101 +00010000 +10101111 +11001001 +11001101 +10100101 +11101001 +11000000 +11000001 +11001101 +10011001 +11100100 +11000101 +11001101 +00110011 +11100111 +11100001 +01001110 +00100011 +01000110 +00100011 +01111000 +10110001 +11001010 +11111000 +11100011 +11001101 +01000110 +11100111 +11001101 +01100001 +11101000 +11000101 +11001101 +10000001 +11101011 +01011110 +00100011 +01010110 +00100011 +11100101 +11101011 +11001101 +10101101 +11111001 +00111110 +00100000 +11100001 +11001101 +10011011 +11100110 +01111110 +10110111 +00100011 +11001010 +11101001 +11100110 +11110010 +00001001 +11100111 +11010110 +01111111 +01001111 +00010001 +01000011 +11100001 +00011010 +00010011 +10110111 +11110010 +00011011 +11100111 +00001101 +11000010 +00011011 +11100111 +11100110 +01111111 +11001101 +10011011 +11100110 +00011010 +00010011 +10110111 +11110010 +00100101 +11100111 +11000011 +00001100 +11100111 +11100101 +00101010 +01001000 +00010000 +00100010 +01000110 +00010000 +11100001 +11001001 +00100001 +11011110 +11111110 +00100010 +01111110 +00001100 +11000011 +11111000 +11100011 +11111110 +11100101 +11010101 +00101010 +01000110 +00010000 +00010001 +11111111 +11111111 +11101101 +01011010 +00100010 +01000110 +00010000 +11010001 +11100001 +11110000 +11100101 +00101010 +01001000 +00010000 +00100010 +01000110 +00010000 +00111010 +01001100 +00010000 +10110111 +11000010 +11100101 +11111110 +11001101 +00000101 +11111101 +11111110 +00000011 +11001010 +01110000 +11100111 +11100001 +11000011 +01000110 +11100111 +00101010 +01001000 +00010000 +00100010 +01000110 +00010000 +11000011 +10110001 +11100000 +00111110 +01100100 +00110010 +11001011 +00010000 +11001101 +10000111 +11101010 +11000001 +11100101 +11001101 +01110000 +11101010 +00100010 +11000111 +00010000 +00100001 +00000010 +00000000 +00111001 +11001101 +01011010 +11100011 +11010001 +11000010 +10101001 +11100111 +00001001 +11010101 +00101011 +01010110 +00101011 +01011110 +00100011 +00100011 +11100101 +00101010 +11000111 +00010000 +11001101 +10001010 +11100110 +11100001 +11000010 +10001101 +11100111 +11010001 +11111001 +11101011 +00001110 +00001000 +11001101 +10001010 +11100011 +11100101 +00101010 +11000111 +00010000 +11100011 +11100101 +00101010 +01011100 +00010000 +11100011 +11001101 +01000100 +11101101 +11001101 +10010000 +11100110 +10100110 +11001101 +01000001 +11101101 +11100101 +11001101 +01011111 +11111000 +11100001 +11000101 +11010101 +00000001 +00000000 +10000001 +01010001 +01011010 +01111110 +11111110 +10101011 +00111110 +00000001 +11000010 +11100101 +11100111 +11001101 +00110110 +11101000 +11001101 +01000001 +11101101 +11100101 +11001101 +01011111 +11111000 +11001101 +00010011 +11111000 +11100001 +11000101 +11010101 +11110101 +00110011 +11100101 +00101010 +11001110 +00010000 +11100011 +00000110 +10000001 +11000101 +00110011 +11001101 +01000000 +11111101 +10110111 +11000100 +01100110 +11101000 +00100010 +11001110 +00010000 +01111110 +11111110 +00111010 +11001010 +00010110 +11101000 +10110111 +11000010 +10101101 +11100011 +00100011 +01111110 +00100011 +10110110 +11001010 +01111010 +11101000 +00100011 +01011110 +00100011 +01010110 +11101011 +00100010 +01011100 +00010000 +11101011 +11001101 +00110110 +11101000 +00010001 +11110010 +11100111 +11010101 +11001000 +11010110 +10000000 +11011010 +10000111 +11101010 +11111110 +00100101 +11010010 +10101101 +11100011 +00000111 +01001111 +00000110 +00000000 +11101011 +00100001 +01011010 +11100010 +00001001 +01001110 +00100011 +01000110 +11000101 +11101011 +00100011 +01111110 +11111110 +00111010 +11010000 +11111110 +00100000 +11001010 +00110110 +11101000 +11111110 +00110000 +00111111 +00111100 +00111101 +11001001 +11101011 +00101010 +01011110 +00010000 +11001010 +01011011 +11101000 +11101011 +11001101 +10100101 +11101001 +11100101 +11001101 +10011001 +11100100 +01100000 +01101001 +11010001 +11010010 +01000110 +11101010 +00101011 +00100010 +11011100 +00010000 +11101011 +11001001 +11001101 +01000000 +11111101 +10110111 +11001000 +11001101 +11001100 +11100110 +11111110 +00010011 +11001100 +11001100 +11100110 +11111110 +00000011 +11000000 +11110110 +11000000 +00100010 +11001110 +00010000 +00100001 +11110110 +11111111 +11000001 +00101010 +01011100 +00010000 +11110101 +01111101 +10100100 +00111100 +11001010 +10001101 +11101000 +00100010 +11010010 +00010000 +00101010 +11001110 +00010000 +00100010 +11010100 +00010000 +10101111 +00110010 +01000101 +00010000 +11001101 +01110100 +11101011 +11110001 +00100001 +01010000 +11100011 +11000010 +11100001 +11100011 +11000011 +11111000 +11100011 +00101010 +11010100 +00010000 +01111100 +10110101 +00011110 +00100000 +11001010 +11000001 +11100011 +11101011 +00101010 +11010010 +00010000 +00100010 +01011100 +00010000 +11101011 +11001001 +11001101 +10000100 +11110100 +11000000 +00110010 +01000001 +00010000 +11001001 +00000110 +11111111 +11001101 +00110110 +11101000 +01111000 +00110010 +11001110 +00010000 +00111110 +00000001 +00110010 +11001011 +00010000 +11001101 +00101101 +11101111 +11100101 +00110010 +11001011 +00010000 +01100000 +01101001 +00001011 +00001011 +00001011 +00001011 +00111010 +11001110 +00010000 +10110111 +11110101 +11101011 +00011001 +11101011 +01001110 +00000110 +00000000 +00001001 +00001001 +00100011 +11100101 +11010101 +11000101 +00111010 +11001110 +00010000 +11111110 +11111111 +11001100 +11010101 +11111100 +00111010 +11001110 +00010000 +11111110 +11111111 +11000100 +11001000 +11111100 +00000000 +00000000 +00000000 +00100001 +00000000 +00000000 +00100010 +01001010 +00010000 +11000001 +11010001 +11100001 +00000110 +11010010 +11000011 +11010110 +11111111 +01111000 +11001101 +10110111 +11110100 +11001101 +10110111 +11110100 +11000011 +00011101 +11101001 +00001110 +00000100 +11001101 +10110100 +11110100 +10111000 +11000010 +00010000 +11101001 +00001101 +11000010 +00010010 +11101001 +11001101 +01000100 +11101101 +11001101 +10001010 +11100110 +11001010 +00110111 +11101001 +11110001 +11110101 +01111110 +11110100 +10111010 +11110100 +11111100 +10110100 +11110100 +01110111 +11001101 +01000000 +11101001 +00100011 +11000011 +00100000 +11101001 +11001101 +01001101 +11101001 +11001101 +11010101 +11111100 +11110001 +11100001 +11001001 +11100101 +00101010 +01001010 +00010000 +00000110 +00000000 +01001111 +00001001 +00100010 +01001010 +00010000 +11100001 +11001001 +00111010 +11001110 +00010000 +10110111 +11111010 +01100000 +11101001 +00111010 +01001010 +00010000 +11001101 +10111010 +11110100 +00111010 +01001011 +00010000 +11000011 +10111010 +11110100 +11001101 +10110100 +11110100 +11110101 +11001101 +10110100 +11110100 +11000001 +01011000 +01010111 +00101010 +01001010 +00010000 +11001101 +10001010 +11100110 +11001000 +11001101 +11010101 +11111100 +11000011 +01101011 +11110101 +01111110 +11111110 +01000001 +11011000 +11111110 +01011011 +00111111 +11001001 +11001101 +00110110 +11101000 +11001101 +01000001 +11101101 +11001101 +00010011 +11111000 +11111010 +10100000 +11101001 +00111010 +11100111 +00010000 +11111110 +10010000 +11011010 +10111011 +11111000 +00000001 +10000000 +10010000 +00010001 +00000000 +00000000 +11100101 +11001101 +10001110 +11111000 +11100001 +01010001 +11001000 +00011110 +00001000 +11000011 +11000001 +11100011 +00101011 +00010001 +00000000 +00000000 +11001101 +00110110 +11101000 +11010000 +11100101 +11110101 +00100001 +10011000 +00011001 +11001101 +10001010 +11100110 +11011010 +10101101 +11100011 +01100010 +01101011 +00011001 +00101001 +00011001 +00101001 +11110001 +11010110 +00110000 +01011111 +00010110 +00000000 +00011001 +11101011 +11100001 +11000011 +10101001 +11101001 +11001010 +11001001 +11100100 +11001101 +10000010 +11101001 +00101011 +11001101 +00110110 +11101000 +11100101 +00101010 +10101111 +00010000 +11001010 +11101101 +11101001 +11100001 +11001101 +10010000 +11100110 +00101100 +11010101 +11001101 +10000010 +11101001 +00101011 +11001101 +00110110 +11101000 +11000010 +10101101 +11100011 +11100011 +11101011 +01111101 +10010011 +01011111 +01111100 +10011010 +01010111 +11011010 +10100010 +11100011 +11100101 +00101010 +11010110 +00010000 +00000001 +00101000 +00000000 +00001001 +11001101 +10001010 +11100110 +11010010 +10100010 +11100011 +11101011 +00100010 +01011010 +00010000 +11100001 +00100010 +10101111 +00010000 +11100001 +11000011 +11001001 +11100100 +11001010 +11000101 +11100100 +11001101 +11001001 +11100100 +00000001 +11110010 +11100111 +11000011 +00101100 +11101010 +00001110 +00000011 +11001101 +10001010 +11100011 +11000001 +11100101 +11100101 +00101010 +01011100 +00010000 +11100011 +00111110 +10001100 +11110101 +00110011 +11000101 +11001101 +10100101 +11101001 +11001101 +01110010 +11101010 +11100101 +00101010 +01011100 +00010000 +11001101 +10001010 +11100110 +11100001 +00100011 +11011100 +10011100 +11100100 +11010100 +10011001 +11100100 +01100000 +01101001 +00101011 +11011000 +00011110 +00001110 +11000011 +11000001 +11100011 +11000000 +00010110 +11111111 +11001101 +01010110 +11100011 +11111001 +11111110 +10001100 +00011110 +00000100 +11000010 +11000001 +11100011 +11100001 +00100010 +01011100 +00010000 +00100011 +01111100 +10110101 +11000010 +01101010 +11101010 +00111010 +11001100 +00010000 +10110111 +11000010 +11110111 +11100011 +00100001 +11110010 +11100111 +11100011 +00111110 +11100001 +00000001 +00111010 +00001110 +00000000 +00000110 +00000000 +01111001 +01001000 +01000111 +01111110 +10110111 +11001000 +10111000 +11001000 +00100011 +11111110 +00100010 +11001010 +01110110 +11101010 +11000011 +01111001 +11101010 +11001101 +00101101 +11101111 +11001101 +10010000 +11100110 +10110100 +11010101 +00111010 +10101101 +00010000 +11110101 +11001101 +01011010 +11101101 +11110001 +11100011 +00100010 +11001110 +00010000 +00011111 +11001101 +01000110 +11101101 +11001010 +11011010 +11101010 +11100101 +00101010 +11100100 +00010000 +11100101 +00100011 +00100011 +01011110 +00100011 +01010110 +00101010 +01011110 +00010000 +11001101 +10001010 +11100110 +11010010 +11001001 +11101010 +00101010 +01011010 +00010000 +11001101 +10001010 +11100110 +11010001 +11010010 +11010001 +11101010 +00100001 +10111111 +00010000 +11001101 +10001010 +11100110 +11010010 +11010001 +11101010 +00111110 +11010001 +11001101 +01110001 +11110011 +11101011 +11001101 +10101010 +11110001 +11001101 +01110001 +11110011 +11100001 +11001101 +01101110 +11111000 +11100001 +11001001 +11100101 +11001101 +01101011 +11111000 +11010001 +11100001 +11001001 +11001101 +10000100 +11110100 +01111110 +01000111 +11111110 +10001100 +11001010 +11110000 +11101010 +11001101 +10010000 +11100110 +10001000 +00101011 +01001011 +00001101 +01111000 +11001010 +00011110 +11101000 +11001101 +10100110 +11101001 +11111110 +00101100 +11000000 +11000011 +11110001 +11101010 +11001101 +01011010 +11101101 +01111110 +11111110 +10001000 +11001010 +00001101 +11101011 +11001101 +10010000 +11100110 +10101001 +00101011 +11001101 +01000100 +11101101 +11001101 +00010011 +11111000 +11001010 +01110010 +11101010 +11001101 +00110110 +11101000 +11011010 +00101101 +11101010 +11000011 +00011101 +11101000 +00101011 +11001101 +00110110 +11101000 +11001010 +10000001 +11101011 +11001000 +11111110 +10100101 +11001010 +10101111 +11101011 +11111110 +10101000 +11001010 +10101111 +11101011 +11100101 +11111110 +00101100 +11001010 +10011000 +11101011 +11111110 +00111011 +11001010 +11010010 +11101011 +11000001 +11001101 +01011010 +11101101 +11100101 +00111010 +10101101 +00010000 +10110111 +11000010 +01101101 +11101011 +11001101 +10111000 +11111001 +11001101 +11001110 +11110001 +00110110 +00100000 +00101010 +11100100 +00010000 +00110100 +00101010 +11100100 +00010000 +00111010 +01000010 +00010000 +01000111 +00000100 +11001010 +01101001 +11101011 +00000100 +00111010 +10101011 +00010000 +10000110 +00111101 +10111000 +11010100 +10000001 +11101011 +11001101 +00010011 +11110010 +10101111 +11000100 +00010011 +11110010 +11100001 +11000011 +00011111 +11101011 +00111010 +10101011 +00010000 +10110111 +11001000 +11000011 +10000001 +11101011 +00110110 +00000000 +00100001 +01100000 +00010000 +00111110 +00001101 +11001101 +10011011 +11100110 +10101111 +00110010 +10101011 +00010000 +00111010 +01000001 +00010000 +00111101 +11001000 +11110101 +10101111 +11001101 +10011011 +11100110 +11110001 +11000011 +10001101 +11101011 +00111010 +01000011 +00010000 +01000111 +00111010 +10101011 +00010000 +10111000 +11010100 +10000001 +11101011 +11010010 +11010010 +11101011 +11010110 +00001110 +11010010 +10100110 +11101011 +00101111 +11000011 +11000111 +11101011 +11110101 +11001101 +10000001 +11110100 +11001101 +10010000 +11100110 +00101001 +00101011 +11110001 +11010110 +10101000 +11100101 +11001010 +11000010 +11101011 +00111010 +10101011 +00010000 +00101111 +10000011 +11010010 +11010010 +11101011 +00111100 +01000111 +00111110 +00100000 +11001101 +10011011 +11100110 +00000101 +11000010 +11001011 +11101011 +11100001 +11001101 +00110110 +11101000 +11000011 +00100110 +11101011 +00111111 +01010010 +01100101 +01100100 +01101111 +00100000 +01100110 +01110010 +01101111 +01101101 +00100000 +01110011 +01110100 +01100001 +01110010 +01110100 +00001101 +00001010 +00000000 +00111010 +11001101 +00010000 +10110111 +11000010 +10100111 +11100011 +11000001 +00100001 +11011001 +11101011 +11001101 +00010000 +11110010 +11000011 +11111000 +11100100 +11001101 +01111011 +11110001 +01111110 +11111110 +00100010 +00111110 +00000000 +00110010 +01000101 +00010000 +11000010 +00010111 +11101100 +11001101 +11001111 +11110001 +11001101 +10010000 +11100110 +00111011 +11100101 +11001101 +00010011 +11110010 +00111110 +11100101 +11001101 +11111100 +11100100 +11000001 +11011010 +01110111 +11101000 +00100011 +01111110 +10110111 +00101011 +11000101 +11001010 +01101111 +11101010 +00110110 +00101100 +11000011 +00110001 +11101100 +11100101 +00101010 +11011100 +00010000 +11110110 +10101111 +00110010 +11001101 +00010000 +11100011 +11000011 +00111101 +11101100 +11001101 +10010000 +11100110 +00101100 +11001101 +00101101 +11101111 +11100011 +11010101 +01111110 +11111110 +00101100 +11001010 +01100101 +11101100 +00111010 +11001101 +00010000 +10110111 +11000010 +11010010 +11101100 +00111110 +00111111 +11001101 +10011011 +11100110 +11001101 +11111100 +11100100 +11010001 +11000001 +11011010 +01110111 +11101000 +00100011 +01111110 +10110111 +00101011 +11000101 +11001010 +01101111 +11101010 +11010101 +00111010 +10101101 +00010000 +10110111 +11001010 +10001111 +11101100 +11001101 +00110110 +11101000 +01010111 +01000111 +11111110 +00100010 +11001010 +10000011 +11101100 +00111010 +11001101 +00010000 +10110111 +01010111 +11001010 +10000000 +11101100 +00010110 +00111010 +00000110 +00101100 +00101011 +11001101 +11010010 +11110001 +11101011 +00100001 +10011010 +11101100 +11100011 +11010101 +11000011 +10100010 +11101010 +11001101 +00110110 +11101000 +11001101 +00011010 +11111001 +11100011 +11001101 +01101011 +11111000 +11100001 +00101011 +11001101 +00110110 +11101000 +11001010 +10100110 +11101100 +11111110 +00101100 +11000010 +11101100 +11101011 +11100011 +00101011 +11001101 +00110110 +11101000 +11000010 +00111001 +11101100 +11010001 +00111010 +11001101 +00010000 +10110111 +11101011 +11000010 +01011100 +11101000 +11010101 +10110110 +00100001 +11000001 +11101100 +11000100 +00010000 +11110010 +11100001 +11001001 +00111111 +01000101 +01111000 +01110100 +01110010 +01100001 +00100000 +01101001 +01100111 +01101110 +01101111 +01110010 +01100101 +01100100 +00001101 +00001010 +00000000 +11001101 +01110000 +11101010 +10110111 +11000010 +11101011 +11101100 +00100011 +01111110 +00100011 +10110110 +00011110 +00000110 +11001010 +11000001 +11100011 +00100011 +01011110 +00100011 +01010110 +11101011 +00100010 +11001001 +00010000 +11101011 +11001101 +00110110 +11101000 +11111110 +10000011 +11000010 +11010010 +11101100 +11000011 +01100101 +11101100 +00010001 +00000000 +00000000 +11000100 +00101101 +11101111 +00100010 +11001110 +00010000 +11001101 +01010110 +11100011 +11000010 +10110011 +11100011 +11111001 +11010101 +01111110 +00100011 +11110101 +11010101 +11001101 +01010001 +11111000 +11100011 +11100101 +11001101 +10111110 +11110101 +11100001 +11001101 +01101011 +11111000 +11100001 +11001101 +01100010 +11111000 +11100101 +11001101 +10001110 +11111000 +11100001 +11000001 +10010000 +11001101 +01100010 +11111000 +11001010 +00110001 +11101101 +11101011 +00100010 +01011100 +00010000 +01101001 +01100000 +11000011 +11101110 +11100111 +11111001 +00101010 +11001110 +00010000 +01111110 +11111110 +00101100 +11000010 +11110010 +11100111 +11001101 +00110110 +11101000 +11001101 +11111001 +11101100 +11001101 +01011010 +11101101 +11110110 +00110111 +00111010 +10101101 +00010000 +10001111 +10110111 +11101000 +11000011 +10111111 +11100011 +11001101 +10010000 +11100110 +10110100 +11000011 +01011010 +11101101 +11001101 +10010000 +11100110 +00101000 +00101011 +00010110 +00000000 +11010101 +00001110 +00000001 +11001101 +10001010 +11100011 +11001101 +11010001 +11101101 +00100010 +11010000 +00010000 +00101010 +11010000 +00010000 +11000001 +01111000 +11111110 +01111000 +11010100 +01000100 +11101101 +01111110 +00010110 +00000000 +11010110 +10110011 +11011010 +10010010 +11101101 +11111110 +00000011 +11010010 +10010010 +11101101 +11111110 +00000001 +00010111 +10101010 +10111010 +01010111 +11011010 +10101101 +11100011 +00100010 +11000101 +00010000 +11001101 +00110110 +11101000 +11000011 +01110110 +11101101 +01111010 +10110111 +11000010 +10101000 +11101110 +01111110 +00100010 +11000101 +00010000 +11010110 +10101100 +11011000 +11111110 +00000111 +11010000 +01011111 +00111010 +10101101 +00010000 +00111101 +10110011 +01111011 +11001010 +00000110 +11110011 +00000111 +10000011 +01011111 +00100001 +10100100 +11100010 +00011001 +01111000 +01010110 +10111010 +11010000 +00100011 +11001101 +01000100 +11101101 +11000101 +00000001 +01101001 +11101101 +11000101 +01000011 +01001010 +11001101 +01000100 +11111000 +01011000 +01010001 +01001110 +00100011 +01000110 +00100011 +11000101 +00101010 +11000101 +00010000 +11000011 +01011101 +11101101 +10101111 +00110010 +10101101 +00010000 +11001101 +00110110 +11101000 +00011110 +00100100 +11001010 +11000001 +11100011 +11011010 +00011010 +11111001 +11001101 +01110111 +11101001 +11010010 +00100010 +11101110 +11111110 +10101100 +11001010 +11010001 +11101101 +11111110 +00101110 +11001010 +00011010 +11111001 +11111110 +10101101 +11001010 +00010001 +11101110 +11111110 +00100010 +11001010 +11001111 +11110001 +11111110 +10101010 +11001010 +00001000 +11101111 +11111110 +10100111 +11001010 +00110011 +11110001 +11010110 +10110110 +11010010 +00110011 +11101110 +11001101 +01010110 +11101101 +11001101 +10010000 +11100110 +00101001 +11001001 +00010110 +01111101 +11001101 +01011101 +11101101 +00101010 +11010000 +00010000 +11100101 +11001101 +00111100 +11111000 +11001101 +01000100 +11101101 +11100001 +11001001 +11001101 +00101101 +11101111 +11100101 +11101011 +00100010 +11100100 +00010000 +00111010 +10101101 +00010000 +10110111 +11001100 +01010001 +11111000 +11100001 +11001001 +00000110 +00000000 +00000111 +01001111 +11000101 +11001101 +00110110 +11101000 +01111001 +11111110 +00100010 +11001010 +01111001 +11111111 +11111110 +00101101 +11011010 +01011111 +11101110 +11001101 +01010110 +11101101 +11001101 +10010000 +11100110 +00101100 +11001101 +01000101 +11101101 +11101011 +00101010 +11100100 +00010000 +11100011 +11100101 +11101011 +11001101 +10000100 +11110100 +11101011 +11100011 +11000011 +01100111 +11101110 +11001101 +00001001 +11101110 +11100011 +00010001 +00011101 +11101110 +11010101 +00000001 +00001111 +11100001 +00001001 +01001110 +00100011 +01100110 +01101001 +11101001 +00010101 +11111110 +10101101 +11001000 +11111110 +00101101 +11001000 +00010100 +11111110 +00101011 +11001000 +11111110 +10101100 +11001000 +00101011 +11001001 +11110110 +10101111 +11110101 +11001101 +01000100 +11101101 +11001101 +10001011 +11101001 +11110001 +11101011 +11000001 +11100011 +11101011 +11001101 +01010100 +11111000 +11110101 +11001101 +10001011 +11101001 +11110001 +11000001 +01111001 +00100001 +11110001 +11110000 +11000010 +10100011 +11101110 +10100011 +01001111 +01111000 +10100010 +11101001 +10110011 +01001111 +01111000 +10110010 +11101001 +00100001 +10111010 +11101110 +00111010 +10101101 +00010000 +00011111 +01111010 +00010111 +01011111 +00010110 +01100100 +01111000 +10111010 +11010000 +11000011 +10111010 +11101101 +10111100 +11101110 +01111001 +10110111 +00011111 +11000001 +11010001 +11110101 +11001101 +01000110 +11101101 +00100001 +11111110 +11101110 +11100101 +11001010 +10001110 +11111000 +10101111 +00110010 +10101101 +00010000 +11010101 +11001101 +01010011 +11110011 +01111110 +00100011 +00100011 +01001110 +00100011 +01000110 +11010001 +11000101 +11110101 +11001101 +01010111 +11110011 +11001101 +01100010 +11111000 +11110001 +01010111 +11100001 +01111011 +10110010 +11001000 +01111010 +11010110 +00000001 +11011000 +10101111 +10111011 +00111100 +11010000 +00010101 +00011101 +00001010 +10111110 +00100011 +00000011 +11001010 +11100110 +11101110 +00111111 +11000011 +00011110 +11111000 +00111100 +10001111 +11000001 +10100000 +11000110 +11111111 +10011111 +11000011 +00100101 +11111000 +00010110 +01011010 +11001101 +01011101 +11101101 +11001101 +01000100 +11101101 +11001101 +10001011 +11101001 +01111011 +00101111 +01001111 +01111010 +00101111 +11001101 +11110001 +11110000 +11000001 +11000011 +01101001 +11101101 +00101011 +11001101 +00110110 +11101000 +11001000 +11001101 +10010000 +11100110 +00101100 +00000001 +00011111 +11101111 +11000101 +11110110 +10101111 +00110010 +10101100 +00010000 +01000110 +11001101 +01110111 +11101001 +11011010 +10101101 +11100011 +10101111 +01001111 +00110010 +10101101 +00010000 +11001101 +00110110 +11101000 +11011010 +01001001 +11101111 +11001101 +01110111 +11101001 +11011010 +01010110 +11101111 +01001111 +11001101 +00110110 +11101000 +11011010 +01001010 +11101111 +11001101 +01110111 +11101001 +11010010 +01001010 +11101111 +11010110 +00100100 +11000010 +01100101 +11101111 +00111100 +00110010 +10101101 +00010000 +00001111 +10000001 +01001111 +11001101 +00110110 +11101000 +00111010 +11001011 +00010000 +00111101 +11001010 +00010010 +11110000 +11110010 +01110101 +11101111 +01111110 +11010110 +00101000 +11001010 +11101010 +11101111 +10101111 +00110010 +11001011 +00010000 +11100101 +01010000 +01011001 +00101010 +11011110 +00010000 +11001101 +10001010 +11100110 +00010001 +11100000 +00010000 +11001010 +01010100 +11110111 +00101010 +11011000 +00010000 +11101011 +00101010 +11010110 +00010000 +11001101 +10001010 +11100110 +11001010 +10101000 +11101111 +01111001 +10010110 +00100011 +11000010 +10011101 +11101111 +01111000 +10010110 +00100011 +11001010 +11011100 +11101111 +00100011 +00100011 +00100011 +00100011 +11000011 +10001111 +11101111 +11100001 +11100011 +11010101 +00010001 +00100101 +11101110 +11001101 +10001010 +11100110 +11010001 +11001010 +11011111 +11101111 +11100011 +11100101 +11000101 +00000001 +00000110 +00000000 +00101010 +11011010 +00010000 +11100101 +00001001 +11000001 +11100101 +11001101 +01111001 +11100011 +11100001 +00100010 +11011010 +00010000 +01100000 +01101001 +00100010 +11011000 +00010000 +00101011 +00110110 +00000000 +11001101 +10001010 +11100110 +11000010 +11001110 +11101111 +11010001 +01110011 +00100011 +01110010 +00100011 +11101011 +11100001 +11001001 +00110010 +11100111 +00010000 +00100001 +01001010 +11100011 +00100010 +11100100 +00010000 +11100001 +11001001 +11100101 +00101010 +10101100 +00010000 +11100011 +01010111 +11010101 +11000101 +11001101 +01111111 +11101001 +11000001 +11110001 +11101011 +11100011 +11100101 +11101011 +00111100 +01010111 +01111110 +11111110 +00101100 +11001010 +11110000 +11101111 +11001101 +10010000 +11100110 +00101001 +00100010 +11010000 +00010000 +11100001 +00100010 +10101100 +00010000 +00011110 +00000000 +11010101 +00010001 +11100101 +11110101 +00101010 +11011000 +00010000 +00111110 +00011001 +11101011 +00101010 +11011010 +00010000 +11101011 +11001101 +10001010 +11100110 +11001010 +01001010 +11110000 +01111110 +10111001 +00100011 +11000010 +00101100 +11110000 +01111110 +10111000 +00100011 +01011110 +00100011 +01010110 +00100011 +11000010 +00011000 +11110000 +00111010 +10101100 +00010000 +10110111 +11000010 +10110110 +11100011 +11110001 +01000100 +01001101 +11001010 +01010100 +11110111 +10010110 +11001010 +10101000 +11110000 +00011110 +00010000 +11000011 +11000001 +11100011 +00010001 +00000100 +00000000 +11110001 +11001010 +10100000 +11101001 +01110001 +00100011 +01110000 +00100011 +01001111 +11001101 +10001010 +11100011 +00100011 +00100011 +00100010 +11000101 +00010000 +01110001 +00100011 +00111010 +10101100 +00010000 +00010111 +01111001 +00000001 +00001011 +00000000 +11010010 +01101101 +11110000 +11000001 +00000011 +01110001 +00100011 +01110000 +00100011 +11110101 +11100101 +11001101 +11111111 +11111000 +11101011 +11100001 +11110001 +00111101 +11000010 +01100101 +11110000 +11110101 +01000010 +01001011 +11101011 +00011001 +11011010 +10100010 +11100011 +11001101 +10010011 +11100011 +00100010 +11011010 +00010000 +00101011 +00110110 +00000000 +11001101 +10001010 +11100110 +11000010 +10001011 +11110000 +00000011 +01010111 +00101010 +11000101 +00010000 +01011110 +11101011 +00101001 +00001001 +11101011 +00101011 +00101011 +01110011 +00100011 +01110010 +00100011 +11110001 +11011010 +11001100 +11110000 +01000111 +01001111 +01111110 +00100011 +00010110 +11100001 +01011110 +00100011 +01010110 +00100011 +11100011 +11110101 +11001101 +10001010 +11100110 +11010010 +01000101 +11110000 +11100101 +11001101 +11111111 +11111000 +11010001 +00011001 +11110001 +00111101 +01000100 +01001101 +11000010 +10101101 +11110000 +00101001 +00101001 +11000001 +00001001 +11101011 +00101010 +11010000 +00010000 +11001001 +00101010 +11011010 +00010000 +11101011 +00100001 +00000000 +00000000 +00111001 +00111010 +10101101 +00010000 +10110111 +11001010 +11101100 +11110000 +11001101 +01010011 +11110011 +11001101 +01010011 +11110010 +00101010 +01011010 +00010000 +11101011 +00101010 +11000011 +00010000 +01111101 +10010011 +01001111 +01111100 +10011010 +01000001 +01010000 +00011110 +00000000 +00100001 +10101101 +00010000 +01110011 +00000110 +10010000 +11000011 +00101010 +11111000 +00111010 +10101011 +00010000 +01000111 +10101111 +11000011 +11110010 +11110000 +11001101 +10001001 +11110001 +11001101 +01111011 +11110001 +00000001 +01110000 +11101010 +11000101 +11010101 +11001101 +10010000 +11100110 +00101000 +11001101 +00101101 +11101111 +11100101 +11101011 +00101011 +01010110 +00101011 +01011110 +11100001 +11001101 +01000100 +11101101 +11001101 +10010000 +11100110 +00101001 +11001101 +10010000 +11100110 +10110100 +01000100 +01001101 +11100011 +01110001 +00100011 +01110000 +11000011 +11001000 +11110001 +11001101 +10001001 +11110001 +11010101 +11001101 +00001001 +11101110 +11001101 +01000100 +11101101 +11100011 +01011110 +00100011 +01010110 +00100011 +01111010 +10110011 +11001010 +10111001 +11100011 +01111110 +00100011 +01100110 +01101111 +11100101 +00101010 +11011110 +00010000 +11100011 +00100010 +11011110 +00010000 +00101010 +11100010 +00010000 +11100101 +00101010 +11100000 +00010000 +11100101 +00100001 +11100000 +00010000 +11010101 +11001101 +01101011 +11111000 +11100001 +11001101 +01000001 +11101101 +00101011 +11001101 +00110110 +11101000 +11000010 +10101101 +11100011 +11100001 +00100010 +11100000 +00010000 +11100001 +00100010 +11100010 +00010000 +11100001 +00100010 +11011110 +00010000 +11100001 +11001001 +11100101 +00101010 +01011100 +00010000 +00100011 +01111100 +10110101 +11100001 +11000000 +00011110 +00010110 +11000011 +11000001 +11100011 +11001101 +10010000 +11100110 +10100111 +00111110 +10000000 +00110010 +11001011 +00010000 +10110110 +01000111 +11001101 +00110010 +11101111 +11000011 +01000100 +11101101 +11001101 +01000100 +11101101 +11001101 +10111000 +11111001 +11001101 +11001110 +11110001 +11001101 +01010011 +11110011 +00000001 +10101110 +11110011 +11000101 +01111110 +00100011 +00100011 +11100101 +11001101 +00101001 +11110010 +11100001 +01001110 +00100011 +01000110 +11001101 +11000010 +11110001 +11100101 +01101111 +11001101 +01000110 +11110011 +11010001 +11001001 +11001101 +00101001 +11110010 +00100001 +10111111 +00010000 +11100101 +01110111 +00100011 +00100011 +01110011 +00100011 +01110010 +11100001 +11001001 +00101011 +00000110 +00100010 +01010000 +11100101 +00001110 +11111111 +00100011 +01111110 +00001100 +10110111 +11001010 +11100100 +11110001 +10111010 +11001010 +11100100 +11110001 +10111000 +11000010 +11010101 +11110001 +11111110 +00100010 +11001100 +00110110 +11101000 +11100011 +00100011 +11101011 +01111001 +11001101 +11000010 +11110001 +00010001 +10111111 +00010000 +00101010 +10110001 +00010000 +00100010 +11100100 +00010000 +00111110 +00000001 +00110010 +10101101 +00010000 +11001101 +01101110 +11111000 +11001101 +10001010 +11100110 +00100010 +10110001 +00010000 +11100001 +01111110 +11000000 +00011110 +00011110 +11000011 +11000001 +11100011 +00100011 +11001101 +11001110 +11110001 +11001101 +01010011 +11110011 +11001101 +01100010 +11111000 +00011100 +00011101 +11001000 +00001010 +11001101 +10011011 +11100110 +11111110 +00001101 +11001100 +10000110 +11101011 +00000011 +11000011 +00011010 +11110010 +10110111 +00001110 +11110001 +11110101 +00101010 +01011010 +00010000 +11101011 +00101010 +11000011 +00010000 +00101111 +01001111 +00000110 +11111111 +00001001 +00100011 +11001101 +10001010 +11100110 +11011010 +01000111 +11110010 +00100010 +11000011 +00010000 +00100011 +11101011 +11110001 +11001001 +11110001 +00011110 +00011010 +11001010 +11000001 +11100011 +10111111 +11110101 +00000001 +00101011 +11110010 +11000101 +00101010 +10101111 +00010000 +00100010 +11000011 +00010000 +00100001 +00000000 +00000000 +11100101 +00101010 +01011010 +00010000 +11100101 +00100001 +10110011 +00010000 +11101011 +00101010 +10110001 +00010000 +11101011 +11001101 +10001010 +11100110 +00000001 +01100100 +11110010 +11000010 +10111000 +11110010 +00101010 +11010110 +00010000 +11101011 +00101010 +11011000 +00010000 +11101011 +11001101 +10001010 +11100110 +11001010 +10001011 +11110010 +01111110 +00100011 +00100011 +10110111 +11001101 +10111011 +11110010 +11000011 +01110101 +11110010 +11000001 +11101011 +00101010 +11011010 +00010000 +11101011 +11001101 +10001010 +11100110 +11001010 +11100001 +11110010 +11001101 +01100010 +11111000 +01111011 +11100101 +00001001 +10110111 +11110010 +10001010 +11110010 +00100010 +11000101 +00010000 +11100001 +01001110 +00000110 +00000000 +00001001 +00001001 +00100011 +11101011 +00101010 +11000101 +00010000 +11101011 +11001101 +10001010 +11100110 +11001010 +10001011 +11110010 +00000001 +10101010 +11110010 +11000101 +11110110 +10000000 +01111110 +00100011 +00100011 +01011110 +00100011 +01010110 +00100011 +11110000 +10110111 +11001000 +01000100 +01001101 +00101010 +11000011 +00010000 +11001101 +10001010 +11100110 +01100000 +01101001 +11011000 +11100001 +11100011 +11001101 +10001010 +11100110 +11100011 +11100101 +01100000 +01101001 +11010000 +11000001 +11110001 +11110001 +11100101 +11010101 +11000101 +11001001 +11010001 +11100001 +01111101 +10110100 +11001000 +00101011 +01000110 +00101011 +01001110 +11100101 +00101011 +00101011 +01101110 +00100110 +00000000 +00001001 +01010000 +01011001 +00101011 +01000100 +01001101 +00101010 +11000011 +00010000 +11001101 +01111100 +11100011 +11100001 +01110001 +00100011 +01110000 +01101001 +01100000 +00101011 +11000011 +01010110 +11110010 +11000101 +11100101 +00101010 +11100100 +00010000 +11100011 +11001101 +11010001 +11101101 +11100011 +11001101 +01000101 +11101101 +01111110 +11100101 +00101010 +11100100 +00010000 +11100101 +10000110 +00011110 +00011100 +11011010 +11000001 +11100011 +11001101 +10111111 +11110001 +11010001 +11001101 +01010111 +11110011 +11100011 +11001101 +01010110 +11110011 +11100101 +00101010 +11000001 +00010000 +11101011 +11001101 +00111101 +11110011 +11001101 +00111101 +11110011 +00100001 +01100110 +11101101 +11100011 +11100101 +11000011 +11110000 +11110001 +11100001 +11100011 +01111110 +00100011 +00100011 +01001110 +00100011 +01000110 +01101111 +00101100 +00101101 +11001000 +00001010 +00010010 +00000011 +00010011 +11000011 +01000111 +11110011 +11001101 +01000101 +11101101 +00101010 +11100100 +00010000 +11101011 +11001101 +01110001 +11110011 +11101011 +11000000 +11010101 +01010000 +01011001 +00011011 +01001110 +00101010 +11000011 +00010000 +11001101 +10001010 +11100110 +11000010 +01101111 +11110011 +01000111 +00001001 +00100010 +11000011 +00010000 +11100001 +11001001 +00101010 +10110001 +00010000 +00101011 +01000110 +00101011 +01001110 +00101011 +00101011 +11001101 +10001010 +11100110 +11000000 +00100010 +10110001 +00010000 +11001001 +00000001 +00000001 +11110001 +11000101 +11001101 +01010000 +11110011 +10101111 +01010111 +00110010 +10101101 +00010000 +01111110 +10110111 +11001001 +00000001 +00000001 +11110001 +11000101 +11001101 +10000110 +11110011 +11001010 +10100000 +11101001 +00100011 +00100011 +01011110 +00100011 +01010110 +00011010 +11001001 +00111110 +00000001 +11001101 +10111111 +11110001 +11001101 +10000111 +11110100 +00101010 +11000001 +00010000 +01110011 +11000001 +11000011 +11110000 +11110001 +11001101 +00110111 +11110100 +10101111 +11100011 +01001111 +11100101 +01111110 +10111000 +11011010 +11000000 +11110011 +01111000 +00010001 +00001110 +00000000 +11000101 +11001101 +00101001 +11110010 +11000001 +11100001 +11100101 +00100011 +00100011 +01000110 +00100011 +01100110 +01101000 +00000110 +00000000 +00001001 +01000100 +01001101 +11001101 +11000010 +11110001 +01101111 +11001101 +01000110 +11110011 +11010001 +11001101 +01010111 +11110011 +11000011 +11110000 +11110001 +11001101 +00110111 +11110100 +11010001 +11010101 +00011010 +10010000 +11000011 +10110110 +11110011 +11101011 +01111110 +11001101 +00111100 +11110100 +00000100 +00000101 +11001010 +10100000 +11101001 +11000101 +00011110 +11111111 +11111110 +00101001 +11001010 +00000101 +11110100 +11001101 +10010000 +11100110 +00101100 +11001101 +10000100 +11110100 +11001101 +10010000 +11100110 +00101001 +11110001 +11100011 +00000001 +10111000 +11110011 +11000101 +00111101 +10111110 +00000110 +00000000 +11010000 +01001111 +01111110 +10010001 +10111011 +01000111 +11011000 +01000011 +11001001 +11001101 +10000110 +11110011 +11001010 +00110011 +11110110 +01011111 +00100011 +00100011 +01111110 +00100011 +01100110 +01101111 +11100101 +00011001 +01000110 +01110010 +11100011 +11000101 +01111110 +11001101 +00011010 +11111001 +11000001 +11100001 +01110000 +11001001 +11101011 +11001101 +10010000 +11100110 +00101001 +11000001 +11010001 +11000101 +01000011 +11001001 +11001101 +10000111 +11110100 +00110010 +00111111 +00010000 +11001101 +00111110 +00010000 +11000011 +00000001 +11110001 +11001101 +01110001 +11110100 +11000011 +00000110 +00010000 +11001101 +01110001 +11110100 +11110101 +00011110 +00000000 +00101011 +11001101 +00110110 +11101000 +11001010 +01100111 +11110100 +11001101 +10010000 +11100110 +00101100 +11001101 +10000100 +11110100 +11000001 +11001101 +00111110 +00010000 +10101011 +10100000 +11001010 +01101000 +11110100 +11001001 +11001101 +10000100 +11110100 +00110010 +00111111 +00010000 +00110010 +00000111 +00010000 +11001101 +10010000 +11100110 +00101100 +11000011 +10000100 +11110100 +11001101 +00110110 +11101000 +11001101 +01000001 +11101101 +11001101 +10000101 +11101001 +01111010 +10110111 +11000010 +10100000 +11101001 +00101011 +11001101 +00110110 +11101000 +01111011 +11001001 +00101010 +01011110 +00010000 +00100010 +11010110 +00010000 +00100001 +00000000 +10000000 +01011110 +00100011 +01010110 +00100011 +00100011 +00100010 +01011110 +00010000 +11101011 +00100010 +10101111 +00010000 +00100010 +01011010 +00010000 +00000001 +11110010 +11100111 +11000101 +11000011 +11000101 +11100100 +11000011 +01010110 +11111101 +11001101 +10111010 +11110100 +11110101 +11000101 +01001111 +11001101 +01101000 +11111101 +11000001 +11110001 +11001001 +00000110 +00000001 +11111110 +10101110 +11001010 +10111011 +11101000 +11001101 +01011010 +11101101 +11100101 +11001101 +10010101 +11110011 +11010101 +11001101 +11001000 +11111100 +11010001 +00111110 +11010011 +11001101 +10111010 +11110100 +11001101 +10110111 +11110100 +00011010 +11001101 +10111010 +11110100 +00000000 +00000000 +00000000 +00100001 +11010110 +00010000 +00100010 +00001100 +00001100 +00101010 +11010110 +00010000 +00100010 +00001110 +00001100 +11001101 +01110011 +11111110 +11001101 +11011000 +11111100 +11100001 +11001001 +01111110 +11111110 +10101110 +11001010 +10111001 +11101000 +11001101 +11010001 +11111111 +11010110 +10011110 +11001010 +00001001 +11110101 +10101111 +00000001 +00101111 +00100011 +11110101 +00101011 +11001101 +00110110 +11101000 +00111110 +00000000 +11001010 +00011100 +11110101 +11001101 +01011010 +11101101 +11001101 +10010101 +11110011 +00011010 +01101111 +11110001 +11110101 +10110111 +01100111 +00100010 +11100100 +00010000 +11001100 +10111010 +11100100 +00101010 +11100100 +00010000 +11101011 +00000110 +00000011 +11001101 +10110100 +11110100 +11010110 +11010011 +11000010 +00101011 +11110101 +00000101 +11000010 +00101101 +11110101 +11001101 +10110100 +11110100 +11001101 +01110100 +11110101 +00011100 +00011101 +11001010 +01001000 +11110101 +10111011 +11000010 +00101011 +11110101 +00000000 +00000000 +00000000 +11110001 +10110111 +11000010 +01011100 +11110101 +11001101 +10001000 +11111110 +00101010 +11010110 +00010000 +11001101 +10010011 +11100011 +11000011 +01011111 +11110101 +11001101 +10101010 +11111110 +00100001 +01001011 +11100011 +11001101 +00010000 +11110010 +11001101 +11011000 +11111100 +11000011 +01111100 +11100100 +00100001 +10011101 +11110101 +11001101 +00010000 +11110010 +11000011 +11100001 +11100011 +11000101 +11100101 +11010101 +11110101 +00100001 +10001110 +11110101 +11001101 +00010000 +11110010 +11110001 +11110101 +11001101 +11011001 +11111100 +00100001 +10010100 +11110101 +11001101 +00010000 +11110010 +11110001 +11010001 +11100001 +11000001 +11001001 +01000110 +01101001 +01101100 +01100101 +00100000 +00000000 +00100000 +01000110 +01101111 +01110101 +01101110 +01100100 +00001101 +00001010 +00000000 +01000010 +01100001 +01100100 +00000000 +00000000 +00000000 +11001101 +10001011 +11101001 +00011010 +11000011 +00000001 +11110001 +11001101 +01000001 +11101101 +11001101 +10001011 +11101001 +11010101 +11001101 +10010000 +11100110 +00101100 +11001101 +10000100 +11110100 +11010001 +00010010 +11001001 +00100001 +10010001 +11111010 +11001101 +01100010 +11111000 +11000011 +11001101 +11110101 +11001101 +01100010 +11111000 +00100001 +11000001 +11010001 +11001101 +00111100 +11111000 +01111000 +10110111 +11001000 +00111010 +11100111 +00010000 +10110111 +11001010 +01010100 +11111000 +10010000 +11010010 +11100111 +11110101 +00101111 +00111100 +11101011 +11001101 +01000100 +11111000 +11101011 +11001101 +01010100 +11111000 +11000001 +11010001 +11111110 +00011001 +11010000 +11110101 +11001101 +01111001 +11111000 +01100111 +11110001 +11001101 +10010010 +11110110 +10110100 +00100001 +11100100 +00010000 +11110010 +00001101 +11110110 +11001101 +01110010 +11110110 +11010010 +01010011 +11110110 +00100011 +00110100 +11001010 +10111100 +11100011 +00101110 +00000001 +11001101 +10101000 +11110110 +11000011 +01010011 +11110110 +10101111 +10010000 +01000111 +01111110 +10011011 +01011111 +00100011 +01111110 +10011010 +01010111 +00100011 +01111110 +10011001 +01001111 +11011100 +01111110 +11110110 +01101000 +01100011 +10101111 +01000111 +01111001 +10110111 +11000010 +01000000 +11110110 +01001010 +01010100 +01100101 +01101111 +01111000 +11010110 +00001000 +11111110 +11100000 +11000010 +00100001 +11110110 +10101111 +00110010 +11100111 +00010000 +11001001 +00000101 +00101001 +01111010 +00010111 +01010111 +01111001 +10001111 +01001111 +11110010 +00111000 +11110110 +01111000 +01011100 +01000101 +10110111 +11001010 +01010011 +11110110 +00100001 +11100111 +00010000 +10000110 +01110111 +11010010 +00110011 +11110110 +11001000 +01111000 +00100001 +11100111 +00010000 +10110111 +11111100 +01100101 +11110110 +01000110 +00100011 +01111110 +11100110 +10000000 +10101001 +01001111 +11000011 +01010100 +11111000 +00011100 +11000000 +00010100 +11000000 +00001100 +11000000 +00001110 +10000000 +00110100 +11000000 +11000011 +10111100 +11100011 +01111110 +10000011 +01011111 +00100011 +01111110 +10001010 +01010111 +00100011 +01111110 +10001001 +01001111 +11001001 +00100001 +11101000 +00010000 +01111110 +00101111 +01110111 +10101111 +01101111 +10010000 +01000111 +01111101 +10011011 +01011111 +01111101 +10011010 +01010111 +01111101 +10011001 +01001111 +11001001 +00000110 +00000000 +11010110 +00001000 +11011010 +10100001 +11110110 +01000011 +01011010 +01010001 +00001110 +00000000 +11000011 +10010100 +11110110 +11000110 +00001001 +01101111 +10101111 +00101101 +11001000 +01111001 +00011111 +01001111 +01111010 +00011111 +01010111 +01111011 +00011111 +01011111 +01111000 +00011111 +01000111 +11000011 +10100100 +11110110 +00000000 +00000000 +00000000 +10000001 +00000011 +10101010 +01010110 +00011001 +10000000 +11110001 +00100010 +01110110 +10000000 +01000101 +10101010 +00111000 +10000010 +11001101 +00010011 +11111000 +10110111 +11101010 +10100000 +11101001 +00100001 +11100111 +00010000 +01111110 +00000001 +00110101 +10000000 +00010001 +11110011 +00000100 +10010000 +11110101 +01110000 +11010101 +11000101 +11001101 +11001101 +11110101 +11000001 +11010001 +00000100 +11001101 +01101001 +11110111 +00100001 +10110110 +11110110 +11001101 +11000100 +11110101 +00100001 +10111010 +11110110 +11001101 +01011011 +11111011 +00000001 +10000000 +10000000 +00010001 +00000000 +00000000 +11001101 +11001101 +11110101 +11110001 +11001101 +10001110 +11111001 +00000001 +00110001 +10000000 +00010001 +00011000 +01110010 +00100001 +11000001 +11010001 +11001101 +00010011 +11111000 +11001000 +00101110 +00000000 +11001101 +11010001 +11110111 +01111001 +00110010 +11110110 +00010000 +11101011 +00100010 +11110111 +00010000 +00000001 +00000000 +00000000 +01010000 +01011000 +00100001 +00011110 +11110110 +11100101 +00100001 +00101010 +11110111 +11100101 +11100101 +00100001 +11100100 +00010000 +01111110 +00100011 +10110111 +11001010 +01010110 +11110111 +11100101 +00101110 +00001000 +00011111 +01100111 +01111001 +11010010 +01000100 +11110111 +11100101 +00101010 +11110111 +00010000 +00011001 +11101011 +11100001 +00111010 +11110110 +00010000 +10001001 +00011111 +01001111 +01111010 +00011111 +01010111 +01111011 +00011111 +01011111 +01111000 +00011111 +01000111 +00101101 +01111100 +11000010 +00110011 +11110111 +11100001 +11001001 +01000011 +01011010 +01010001 +01001111 +11001001 +11001101 +01000100 +11111000 +00000001 +00100000 +10000100 +00010001 +00000000 +00000000 +11001101 +01010100 +11111000 +11000001 +11010001 +11001101 +00010011 +11111000 +11001010 +10110000 +11100011 +00101110 +11111111 +11001101 +11010001 +11110111 +00110100 +00110100 +00101011 +01111110 +00110010 +00010010 +00010000 +00101011 +01111110 +00110010 +00001110 +00010000 +00101011 +01111110 +00110010 +00001010 +00010000 +01000001 +11101011 +10101111 +01001111 +01010111 +01011111 +00110010 +00010101 +00010000 +11100101 +11000101 +01111101 +11001101 +00001001 +00010000 +11011110 +00000000 +00111111 +11010010 +10100001 +11110111 +00110010 +00010101 +00010000 +11110001 +11110001 +00110111 +11010010 +11000001 +11100001 +01111001 +00111100 +00111101 +00011111 +11111010 +01010100 +11110110 +00010111 +01111011 +00010111 +01011111 +01111010 +00010111 +01010111 +01111001 +00010111 +01001111 +00101001 +01111000 +00010111 +01000111 +00111010 +00010101 +00010000 +00010111 +00110010 +00010101 +00010000 +01111001 +10110010 +10110011 +11000010 +10001110 +11110111 +11100101 +00100001 +11100111 +00010000 +00110101 +11100001 +11000010 +10001110 +11110111 +11000011 +10111100 +11100011 +01111000 +10110111 +11001010 +11110101 +11110111 +01111101 +00100001 +11100111 +00010000 +10101110 +10000000 +01000111 +00011111 +10101000 +01111000 +11110010 +11110100 +11110111 +11000110 +10000000 +01110111 +11001010 +01010100 +11110111 +11001101 +01111001 +11111000 +01110111 +00101011 +11001001 +11001101 +00010011 +11111000 +00101111 +11100001 +10110111 +11100001 +11110010 +00110011 +11110110 +11000011 +10111100 +11100011 +11001101 +01011111 +11111000 +01111000 +10110111 +11001000 +11000110 +00000010 +11011010 +10111100 +11100011 +01000111 +11001101 +11001101 +11110101 +00100001 +11100111 +00010000 +00110100 +11000000 +11000011 +10111100 +11100011 +00111010 +11100111 +00010000 +10110111 +11001000 +00111010 +11100110 +00010000 +11111110 +00101111 +00010111 +10011111 +11000000 +00111100 +11001001 +11001101 +00010011 +11111000 +00000110 +10001000 +00010001 +00000000 +00000000 +00100001 +11100111 +00010000 +01001111 +01110000 +00000110 +00000000 +00100011 +00110110 +10000000 +00010111 +11000011 +00011011 +11110110 +11001101 +00010011 +11111000 +11110000 +00100001 +11100110 +00010000 +01111110 +11101110 +10000000 +01110111 +11001001 +11101011 +00101010 +11100100 +00010000 +11100011 +11100101 +00101010 +11100110 +00010000 +11100011 +11100101 +11101011 +11001001 +11001101 +01100010 +11111000 +11101011 +00100010 +11100100 +00010000 +01100000 +01101001 +00100010 +11100110 +00010000 +11101011 +11001001 +00100001 +11100100 +00010000 +01011110 +00100011 +01010110 +00100011 +01001110 +00100011 +01000110 +00100011 +11001001 +00010001 +11100100 +00010000 +00000110 +00000100 +00011010 +01110111 +00010011 +00100011 +00000101 +11000010 +01110000 +11111000 +11001001 +00100001 +11100110 +00010000 +01111110 +00000111 +00110111 +00011111 +01110111 +00111111 +00011111 +00100011 +00100011 +01110111 +01111001 +00000111 +00110111 +00011111 +01001111 +00011111 +10101110 +11001001 +01111000 +10110111 +11001010 +00010011 +11111000 +00100001 +00011100 +11111000 +11100101 +11001101 +00010011 +11111000 +01111001 +11001000 +00100001 +11100110 +00010000 +10101110 +01111001 +11111000 +11001101 +10101000 +11111000 +00011111 +10101001 +11001001 +00100011 +01111000 +10111110 +11000000 +00101011 +01111001 +10111110 +11000000 +00101011 +01111010 +10111110 +11000000 +00101011 +01111011 +10010110 +11000000 +11100001 +11100001 +11001001 +01000111 +01001111 +01010111 +01011111 +10110111 +11001000 +11100101 +11001101 +01011111 +11111000 +11001101 +01111001 +11111000 +10101110 +01100111 +11111100 +11011111 +11111000 +00111110 +10011000 +10010000 +11001101 +10010010 +11110110 +01111100 +00010111 +11011100 +01100101 +11110110 +00000110 +00000000 +11011100 +01111110 +11110110 +11100001 +11001001 +00011011 +01111010 +10100011 +00111100 +11000000 +00001011 +11001001 +00100001 +11100111 +00010000 +01111110 +11111110 +10011000 +00111010 +11100100 +00010000 +11010000 +01111110 +11001101 +10111011 +11111000 +00110110 +10011000 +01111011 +11110101 +01111001 +00010111 +11001101 +00011011 +11110110 +11110001 +11001001 +00100001 +00000000 +00000000 +01111000 +10110001 +11001000 +00111110 +00010000 +00101001 +11011010 +01000101 +11110000 +11101011 +00101001 +11101011 +11010010 +00010101 +11111001 +00001001 +11011010 +01000101 +11110000 +00111101 +11000010 +00000111 +11111001 +11001001 +11111110 +00101101 +11110101 +11001010 +00100110 +11111001 +11111110 +00101011 +11001010 +00100110 +11111001 +00101011 +11001101 +00110011 +11110110 +01000111 +01010111 +01011111 +00101111 +01001111 +11001101 +00110110 +11101000 +11011010 +01110111 +11111001 +11111110 +00101110 +11001010 +01010010 +11111001 +11111110 +01000101 +11000010 +01010110 +11111001 +11001101 +00110110 +11101000 +11001101 +01110000 +11101110 +11001101 +00110110 +11101000 +11011010 +10011001 +11111001 +00010100 +11000010 +01010110 +11111001 +10101111 +10010011 +01011111 +00001100 +00001100 +11001010 +00101110 +11111001 +11100101 +01111011 +10010000 +11110100 +01101111 +11111001 +11110010 +01100101 +11111001 +11110101 +11001101 +01011011 +11110111 +11110001 +00111100 +11000010 +01011001 +11111001 +11010001 +11110001 +11001100 +00111100 +11111000 +11101011 +11001001 +11001000 +11110101 +11001101 +11111100 +11110111 +11110001 +00111101 +11001001 +11010101 +01010111 +01111000 +10001001 +01000111 +11000101 +11100101 +11010101 +11001101 +11111100 +11110111 +11110001 +11010110 +00110000 +11001101 +10001110 +11111001 +11100001 +11000001 +11010001 +11000011 +00101110 +11111001 +11001101 +01000100 +11111000 +11001101 +00100101 +11111000 +11000001 +11010001 +11000011 +11001101 +11110101 +01111011 +00000111 +00000111 +10000011 +00000111 +10000110 +11010110 +00110000 +01011111 +11000011 +01000100 +11111001 +11100101 +00100001 +01000110 +11100011 +11001101 +00010000 +11110010 +11100001 +11101011 +10101111 +00000110 +10011000 +11001101 +00101010 +11111000 +00100001 +00001111 +11110010 +11100101 +00100001 +11101001 +00010000 +11100101 +11001101 +00010011 +11111000 +00110110 +00100000 +11110010 +11000110 +11111001 +00110110 +00101101 +00100011 +00110110 +00110000 +11001010 +01111100 +11111010 +11100101 +11111100 +00111100 +11111000 +10101111 +11110101 +11001101 +10000010 +11111010 +00000001 +01000011 +10010001 +00010001 +11111000 +01001111 +11001101 +10001110 +11111000 +10110111 +11100010 +11110011 +11111001 +11110001 +11001101 +01110000 +11111001 +11110101 +11000011 +11010101 +11111001 +11001101 +01011011 +11110111 +11110001 +00111100 +11110101 +11001101 +10000010 +11111010 +11001101 +10111011 +11110101 +00111100 +11001101 +10111011 +11111000 +11001101 +01010100 +11111000 +00000001 +00000110 +00000011 +11110001 +10000001 +00111100 +11111010 +00001111 +11111010 +11111110 +00001000 +11010010 +00001111 +11111010 +00111100 +01000111 +00111110 +00000010 +00111101 +00111101 +11100001 +11110101 +00010001 +10010101 +11111010 +00000101 +11000010 +00100000 +11111010 +00110110 +00101110 +00100011 +00110110 +00110000 +00100011 +00000101 +00110110 +00101110 +11001100 +01101001 +11111000 +11000101 +11100101 +11010101 +11001101 +01011111 +11111000 +11100001 +00000110 +00101111 +00000100 +01111011 +10010110 +01011111 +00100011 +01111010 +10011110 +01010111 +00100011 +01111001 +10011110 +01001111 +00101011 +00101011 +11010010 +00101111 +11111010 +11001101 +01110010 +11110110 +00100011 +11001101 +01010100 +11111000 +11101011 +11100001 +01110000 +00100011 +11000001 +00001101 +11000010 +00100000 +11111010 +00000101 +11001010 +01100000 +11111010 +00101011 +01111110 +11111110 +00110000 +11001010 +01010100 +11111010 +11111110 +00101110 +11000100 +01101001 +11111000 +11110001 +11001010 +01111111 +11111010 +00110110 +01000101 +00100011 +00110110 +00101011 +11110010 +01110000 +11111010 +00110110 +00101101 +00101111 +00111100 +00000110 +00101111 +00000100 +11010110 +00001010 +11010010 +01110010 +11111010 +11000110 +00111010 +00100011 +01110000 +00100011 +01110111 +00100011 +01110001 +11100001 +11001001 +00000001 +01110100 +10010100 +00010001 +11110111 +00100011 +11001101 +10001110 +11111000 +10110111 +11100001 +11100010 +11101010 +11111001 +11101001 +00000000 +00000000 +00000000 +10000000 +10100000 +10000110 +00000001 +00010000 +00100111 +00000000 +11101000 +00000011 +00000000 +01100100 +00000000 +00000000 +00001010 +00000000 +00000000 +00000001 +00000000 +00000000 +00100001 +00111100 +11111000 +11100011 +11101001 +11001101 +01000100 +11111000 +00100001 +10010001 +11111010 +11001101 +01010001 +11111000 +11000001 +11010001 +11001101 +00010011 +11111000 +01111000 +11001010 +11111010 +11111010 +11110010 +11000101 +11111010 +10110111 +11001010 +10110000 +11100011 +10110111 +11001010 +00110100 +11110110 +11010101 +11000101 +01111001 +11110110 +01111111 +11001101 +01011111 +11111000 +11110010 +11100010 +11111010 +11010101 +11000101 +11001101 +11100110 +11111000 +11000001 +11010001 +11110101 +11001101 +10001110 +11111000 +11100001 +01111100 +00011111 +11100001 +00100010 +11100110 +00010000 +11100001 +00100010 +11100100 +00010000 +11011100 +10100111 +11111010 +11001100 +00111100 +11111000 +11010101 +11000101 +11001101 +11000111 +11110110 +11000001 +11010001 +11001101 +00001000 +11110111 +11001101 +01000100 +11111000 +00000001 +00111000 +10000001 +00010001 +00111011 +10101010 +11001101 +00001000 +11110111 +00111010 +11100111 +00010000 +11111110 +10001000 +11010010 +11101111 +11110111 +11001101 +11100110 +11111000 +11000110 +10000000 +11000110 +00000010 +11011010 +11101111 +11110111 +11110101 +00100001 +10110110 +11110110 +11001101 +10111110 +11110101 +11001101 +11111111 +11110110 +11110001 +11000001 +11010001 +11110101 +11001101 +11001010 +11110101 +11001101 +00111100 +11111000 +00100001 +00111010 +11111011 +11001101 +01101010 +11111011 +00010001 +00000000 +00000000 +11000001 +01001010 +11000011 +00001000 +11110111 +00001000 +01000000 +00101110 +10010100 +01110100 +01110000 +01001111 +00101110 +01110111 +01101110 +00000010 +10001000 +01111010 +11100110 +10100000 +00101010 +01111100 +01010000 +10101010 +10101010 +01111110 +11111111 +11111111 +01111111 +01111111 +00000000 +00000000 +10000000 +10000001 +00000000 +00000000 +00000000 +10000001 +11001101 +01000100 +11111000 +00010001 +00000110 +11110111 +11010101 +11100101 +11001101 +01011111 +11111000 +11001101 +00001000 +11110111 +11100001 +11001101 +01000100 +11111000 +01111110 +00100011 +11001101 +01010001 +11111000 +00000110 +11110001 +11000001 +11010001 +00111101 +11001000 +11010101 +11000101 +11110101 +11100101 +11001101 +00001000 +11110111 +11100001 +11001101 +01100010 +11111000 +11100101 +11001101 +11001101 +11110101 +11100001 +11000011 +01110011 +11111011 +11001101 +00010011 +11111000 +00100001 +00011001 +00010000 +11111010 +11101100 +11111011 +00100001 +00111010 +00010000 +11001101 +01010001 +11111000 +00100001 +00011001 +00010000 +11001000 +10000110 +11100110 +00000111 +00000110 +00000000 +01110111 +00100011 +10000111 +10000111 +01001111 +00001001 +11001101 +01100010 +11111000 +11001101 +00001000 +11110111 +00111010 +00011000 +00010000 +00111100 +11100110 +00000011 +00000110 +00000000 +11111110 +00000001 +10001000 +00110010 +00011000 +00010000 +00100001 +11110000 +11111011 +10000111 +10000111 +01001111 +00001001 +11001101 +10111110 +11110101 +11001101 +01011111 +11111000 +01111011 +01011001 +11101110 +01001111 +01001111 +00110110 +10000000 +00101011 +01000110 +00110110 +10000000 +00100001 +00010111 +00010000 +00110100 +01111110 +11010110 +10101011 +11000010 +11100011 +11111011 +01110111 +00001100 +00010101 +00011100 +11001101 +00011110 +11110110 +00100001 +00111010 +00010000 +11000011 +01101011 +11111000 +01110111 +00101011 +01110111 +00101011 +01110111 +11000011 +11000111 +11111011 +01101000 +10110001 +01000110 +01101000 +10011001 +11101001 +10010010 +01101001 +00010000 +11010001 +01110101 +01101000 +00100001 +01001010 +11111100 +11001101 +10111110 +11110101 +11001101 +01000100 +11111000 +00000001 +01001001 +10000011 +00010001 +11011011 +00001111 +11001101 +01010100 +11111000 +11000001 +11010001 +11001101 +01101001 +11110111 +11001101 +01000100 +11111000 +11001101 +11100110 +11111000 +11000001 +11010001 +11001101 +11001010 +11110101 +00100001 +01001110 +11111100 +11001101 +11000100 +11110101 +11001101 +00010011 +11111000 +00110111 +11110010 +00110110 +11111100 +11001101 +10111011 +11110101 +11001101 +00010011 +11111000 +10110111 +11110101 +11110100 +00111100 +11111000 +00100001 +01001110 +11111100 +11001101 +10111110 +11110101 +11110001 +11010100 +00111100 +11111000 +00100001 +01010010 +11111100 +11000011 +01011011 +11111011 +11011011 +00001111 +01001001 +10000001 +00000000 +00000000 +00000000 +01111111 +00000101 +10111010 +11010111 +00011110 +10000110 +01100100 +00100110 +10011001 +10000111 +01011000 +00110100 +00100011 +10000111 +11100000 +01011101 +10100101 +10000110 +11011010 +00001111 +01001001 +10000011 +11001101 +01000100 +11111000 +11001101 +00000110 +11111100 +11000001 +11100001 +11001101 +01000100 +11111000 +11101011 +11001101 +01010100 +11111000 +11001101 +00000000 +11111100 +11000011 +01100111 +11110111 +11001101 +00010011 +11111000 +11111100 +10100111 +11111010 +11111100 +00111100 +11111000 +00111010 +11100111 +00010000 +11111110 +10000001 +11011010 +10011001 +11111100 +00000001 +00000000 +10000001 +01010001 +01011001 +11001101 +01101001 +11110111 +00100001 +11000100 +11110101 +11100101 +00100001 +10100011 +11111100 +11001101 +01011011 +11111011 +00100001 +01001010 +11111100 +11001001 +00001001 +01001010 +11010111 +00111011 +01111000 +00000010 +01101110 +10000100 +01111011 +11111110 +11000001 +00101111 +01111100 +01110100 +00110001 +10011010 +01111101 +10000100 +00111101 +01011010 +01111101 +11001000 +01111111 +10010001 +01111110 +11100100 +10111011 +01001100 +01111110 +01101100 +10101010 +10101010 +01111111 +00000000 +00000000 +00000000 +10000001 +11001101 +00111001 +11111110 +00000110 +00000000 +11001101 +10011011 +11111101 +00000101 +11000010 +11001101 +11111100 +11001001 +11000011 +00111001 +11111110 +11001001 +11100101 +11000101 +11010101 +11110101 +11001101 +01101101 +11111110 +11000010 +11111011 +11111100 +11110001 +11110101 +11111110 +00001010 +11001010 +00000000 +11111101 +11111110 +00001000 +11000010 +11110001 +11111100 +00111110 +00011101 +11111110 +00001101 +11000010 +11111101 +11111100 +00111110 +00011111 +11000011 +11111101 +11111100 +11110001 +11110101 +11001101 +01000101 +11111110 +11110001 +11010001 +11000001 +11100001 +11001001 +11100101 +11000101 +11010101 +11001101 +01101101 +11111110 +11001010 +00010011 +11111101 +11011111 +01111011 +11000011 +00011001 +11111101 +11001101 +01001101 +00001100 +11010010 +00010011 +11111101 +11111110 +00011101 +11000010 +00100000 +11111101 +00111110 +00001000 +11111110 +00011100 +11000010 +00100111 +11111101 +00111110 +00000011 +11111110 +00011010 +11000010 +00101110 +11111101 +00111110 +01111111 +11111110 +00011011 +11000010 +00110101 +11111101 +00111110 +00000011 +11111110 +00011111 +11000010 +00111100 +11111101 +00111110 +00001101 +11010001 +11000001 +11100001 +11001001 +10101111 +11001101 +01110000 +11111101 +11001010 +01010000 +11111101 +00111010 +01001101 +00010000 +10110111 +11000010 +01010000 +11111101 +10101111 +11001001 +11001101 +01010011 +11111110 +00111110 +11111111 +11001001 +11011011 +00000010 +00010111 +11010010 +01010110 +11111101 +11011011 +00000001 +11001001 +11010011 +00000001 +11011011 +00000010 +10000111 +11111000 +11000011 +01100001 +11111101 +11110101 +11001101 +01011111 +11111101 +11110001 +11001001 +00000000 +00000000 +11100101 +00111110 +00000010 +00100001 +00000000 +00001100 +10101110 +11010011 +00000000 +11101110 +00000001 +11010011 +00000000 +11101110 +00000010 +11010011 +00000000 +01111110 +11010011 +00000000 +00011001 +11100001 +11011011 +00000000 +11100110 +00010010 +11001001 +11001101 +01101101 +11111110 +11001010 +10010110 +11111101 +00111110 +00001100 +11000011 +11011001 +11111100 +00111110 +00011110 +11000011 +11011001 +11111100 +10101111 +11110101 +11110001 +11110101 +11110001 +00111101 +11000010 +10011100 +11111101 +11001001 +11001101 +10000100 +11110100 +01111011 +00110010 +01000010 +00010000 +11001001 +11001101 +01000001 +11101101 +11001101 +10001011 +11101001 +11101101 +01010011 +01000110 +00010000 +11101101 +01010011 +01001000 +00010000 +11001001 +11001101 +10001011 +11101001 +11010101 +11100001 +01000110 +00100011 +01111110 +11000011 +11110010 +11110000 +11001101 +01000001 +11101101 +11001101 +10001011 +11101001 +11010101 +11001101 +10010000 +11100110 +00101100 +11001101 +01000001 +11101101 +11001101 +10001011 +11101001 +11100011 +01110011 +00100011 +01110010 +11100001 +11001001 +11110011 +11011101 +00100001 +11111111 +11111111 +11000011 +00010010 +11100000 +11001101 +10000100 +11110100 +11110101 +11001101 +10010000 +11100110 +00101100 +11001101 +10000100 +11110100 +11000001 +11100101 +11000101 +11001101 +00010001 +11111110 +11100101 +11001101 +01101101 +11111110 +11001010 +00000100 +11111110 +11100001 +00100010 +00101001 +00001100 +11100001 +11001001 +00101010 +00011000 +00001100 +00110110 +00100000 +11100001 +00100010 +00011000 +00001100 +00110110 +01011111 +11100001 +11001001 +00100001 +11001001 +00000111 +00000110 +00000000 +01001111 +10110111 +11001010 +10100000 +11101001 +11111110 +00010001 +11110010 +10100000 +11101001 +11010001 +11110001 +11010101 +00010110 +00000000 +01011111 +10110111 +11001010 +10100000 +11101001 +11111110 +00110001 +11110010 +10100000 +11101001 +00011001 +00010110 +00000000 +01011001 +00000110 +01000000 +00011001 +00010000 +11111101 +11001001 +11001101 +01101101 +11111110 +11001010 +01000010 +11111110 +11011111 +01011111 +11001001 +11000011 +01010001 +00000000 +11110101 +11001101 +01101101 +11111110 +11001010 +01001111 +11111110 +11110001 +11110111 +11001001 +11110001 +11000011 +01001010 +00001100 +00111010 +01001101 +00010000 +11000010 +01100101 +11111110 +11001101 +01101101 +11111110 +11001010 +01100010 +11111110 +11011111 +01100010 +11001001 +11000011 +01001101 +00001100 +00111110 +00000000 +00110010 +01001101 +00010000 +00111110 +00000011 +11001001 +00111010 +00000001 +00000000 +11111110 +00110011 +11001001 +11001101 +00111001 +11111110 +11001101 +01101101 +11111110 +11001010 +01111111 +11111110 +11011111 +01010111 +11001001 +00111010 +10001101 +00000000 +11001010 +00000000 +00000100 +11000011 +11010001 +00000011 +11001101 +00111001 +11111110 +11001101 +01101101 +11111110 +11001010 +10011001 +11111110 +00111110 +01010010 +00110010 +00101011 +00001100 +11011111 +01010010 +11001001 +00111010 +10001101 +00000000 +11001010 +00001100 +00000111 +11000011 +11010001 +00000011 +11001101 +01101101 +11111110 +11001010 +00000000 +00000000 +11011111 +01011011 +11001101 +00111001 +11111110 +11001101 +01101101 +11111110 +11001010 +10100000 +11101001 +00111110 +01010110 +00110010 +00101011 +00001100 +11011111 +01010110 +11001001 +00111110 +00000000 +00110010 +01001101 +00010000 +11001101 +01101101 +11111110 +11001010 +00011001 +11100000 +00100001 +11011110 +11111110 +00100010 +01111110 +00001100 +11011101 +11100101 +11110001 +10110111 +11000010 +00011001 +11100000 +00000110 +00001111 +11001101 +11001101 +11111100 +11001101 +00001101 +00000000 +11000011 +00011001 +11100000 +11110101 +00111110 +11111111 +00110010 +01001101 +00010000 +11110001 +11101101 +01000101 +00000000 +11011111 +01100011 +11010101 +11010101 +11100001 +00010001 +00101111 +00000000 +00011001 +01111110 +11111110 +00100000 +11000010 +00000010 +11111111 +00011101 +00111110 +00000000 +10110011 +11001010 +00000010 +11111111 +00101011 +11000011 +11110001 +11111110 +11010101 +11000001 +00000011 +00010001 +01100001 +00010000 +11100001 +11000101 +11101101 +10110000 +00111110 +00000000 +00010010 +11000001 +01000001 +00100001 +01100000 +00010000 +11001001 +11001101 +10010000 +11100110 +00101000 +11001101 +01000001 +11101101 +11001101 +10001011 +11101001 +11010101 +11001101 +10010000 +11100110 +00101100 +11001101 +01000001 +11101101 +11001101 +10010000 +11100110 +00101001 +11001101 +10001011 +11101001 +11100101 +11111101 +11100001 +11001101 +10010110 +11111111 +11110101 +11001101 +11000010 +11111111 +11001101 +00010001 +11111110 +11110001 +00000110 +11000000 +10110000 +11001001 +11001101 +00010101 +11111111 +11110101 +01111110 +11111110 +11000000 +11010010 +01010000 +11111111 +11110001 +01110111 +11111101 +11100101 +11100001 +11001001 +11000001 +10110000 +11000011 +01001011 +11111111 +11001101 +00010101 +11111111 +11110101 +01111110 +11111110 +11000000 +11011010 +01110101 +11111111 +00000110 +00111111 +10100000 +11000001 +10100000 +11001010 +01001100 +11111111 +01111110 +11100110 +00111111 +10101000 +11111110 +11000000 +11000010 +01001011 +11111111 +00111110 +00100000 +11000011 +01001011 +11111111 +11000001 +11000011 +01001100 +11111111 +11001101 +00010101 +11111111 +01000110 +11001101 +11101101 +11111111 +11000010 +10010001 +11111111 +00111110 +00000000 +00000110 +00000001 +11100001 +11111101 +11100101 +00010001 +00011101 +11101110 +11010101 +11000011 +11110010 +11110000 +00000110 +00000000 +11000011 +10000111 +11111111 +11000001 +11100001 +11100101 +11000101 +01111101 +00000110 +00000001 +10100000 +11110101 +11010101 +11100001 +00010001 +00000000 +00000000 +00000001 +00000011 +00000000 +00100011 +11101101 +01000010 +00010011 +11001010 +10110001 +11111111 +11110010 +10101000 +11111111 +00001001 +11110001 +10110111 +01111101 +11001010 +10111010 +11111111 +11000110 +00000011 +01000111 +00111110 +00000001 +00000111 +00010000 +11111101 +00011111 +11001001 +11000001 +11110001 +11100001 +11110101 +01111101 +00011111 +11000110 +00000001 +11100110 +00111111 +01100111 +11100101 +11000101 +01111011 +11001001 +11001101 +11010101 +11111100 +01111110 +11001001 +00111010 +11001110 +00010000 +11111110 +11111111 +11000010 +00000110 +11101001 +11000011 +00010000 +11101001 +11001101 +10000001 +11101011 +11000011 +11110010 +11100101 +11001101 +10000001 +11101011 +11000011 +11110010 +11100101 +11110101 +10100000 +11000001 +10111000 +00111110 +00000000 +11001001 +11001101 +10011011 +11100110 +11000011 +10000001 +11101011 +11000011 +11011110 +11111101 +11000011 +10110001 +11100000 diff --git a/coregen/basic_rom.ngc b/coregen/basic_rom.ngc new file mode 100755 index 0000000..5be37e0 --- /dev/null +++ b/coregen/basic_rom.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$3fb41<,[o}e~g`n;"2*447&;:%>-*6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>40123430DK1>89OO<18A@6=BCKL=;O??6DG2@476?0ML3<9?70010<=608;2;<>>?41934567IH?:OL67898O4<;NCGA4ED5F:128J5K7F84:7G4DL;8IO>O=J252:A52739:;<>=JC51020E4MLOM;IJ6165:41BBKOLHJ==?8DDEB66689>M?OM?CGDA755A;=:0<==L2EC15@?B>0I999>=10@3E4D7J?>:?=M:21C5A4G50>:?><7N31;A68K>88=5?097BE012MH2I>5?N4@:5EG4?:9K95<9898CB253E1K::M=?>B1:206B0>LHO>=L;0:224527NO9IOKH8032GB03AKM;:88?;8BD3GC128:NN=>=I0G2645EDK>L;J>H>0963?574;MI;8==<103276ED=:9OJKMLF21GF1EA;JI3<<:L0310G@6DKL98NN=H?;4;:912GAF45>MJ2JILH9EC@:A=G0IJHJ;5OJ1C5245GAL::H<=:K0G1F4<7D9J9H>K;>9G5075029;8?<6>=0120=C5E?O929?68A86FED>59OI3?><6F7231@>D8H:3HN8=0123=F2D<:2;NO:?;117B6@48OL?H>H?L?7523B52B;9O:>N=8F528461BKLLIOK9IFEDEA@BD1:HNOKJL01D@76EDN9IN>KMI4G57A760NOIM;NHIEBDE05=7;J2:N5K?51:G4=C71:INNIK65E3E05DD?;H=:?7B530C6382:?<46=5EDG<722J1K;7=:JEB6:6=4E8O:N?9J=4@G663@2:?OH?O8=480B4D@21:K?>:J91240E7@>:KO9M9>405D@GFEDKOHHOIHKF210766DKJN?;O7>1G5@B@@A<>?IN>LKF56EGFCAN=NMNKK;4193<<4381:3>O=ON3CA:BA13K:I3<=>?00:3053A=:3>=8;>1E;74>6F<=NMO=K=9B13E1C5K1=M==H<063EG563I=2N?9MM1@CF=C578=NH8>MI2D;04D2739I;O>M?D1:E@F430;8O=?LI3CA27G4DN1:MJ>MI4CDE6F@3NKI8J=HI8CAAB0078=:0?AG1G73DDK9I?:O67C5GAG@65=LL8=O;LK;6B4E5C1BNO2I888K47FA64329KLM8LL;0:2G@6@?L92:>8=LFBD27C74IO>H5?>?4@0E0=4?I9LMJKHM21:1072AK938OK=K1363?5BAK8:<<8:6065B16E4>;H2O=;IFB;3532>88IO;9OLD56:202>8>?><:JK4047=16<8L<;O=>?01A:AFEFL9;;5=6L0D57GD46;:=NH?M701F67<5CKL<2JH:K610@F553<91;II6LEBF47@C5;ML98IHIFDA0B=ECKMLHOHHI8GCE6@CD;0:89OL;A@21A@>D91;<4::?EBFA@701NL8MO?>668F5A071IN:?;1D@A=5?0;:2>97=25;0E75>:J8?8NH;C476ED76I=OHJ?::6D:0=6221:2I>9O7D292*52738;2N4:600@FG<2CKHO>H;:5D3AB432<=:0=?9NF7G034D>91I3=OH70314FAD?:74BCF3=6DH99;6C1;F3G67JJ><8=5>2@22E724J;>J:;6?7CC;AF65JHKJJNMM49@50G2CJ;IJM?K7B3@;F1D50?3JH?6;0:31@FEBNMH8N::>8D1577>58;8=9O9J46K88A4==?F0M><:0=>4FNQWW>dbczk6:>7>14192071>:12N4>O>357@6@G28OHK;ONOLLMDC074>73><8?JKHI3B;B01@BM19?MNLJ727:3CE3:82I4=L>24DEGGG7=8>2O5;<7G760<7338>1EC^ZT;CG@WG;9:0;2<:4158JJUSS2HNO^O2>3;2=05=6><38M57JFE17AF66JL224;M76B06F77E9K8J:N?MB36B07EF0:II>H>7257F5F>382;<9<>901234377:M2;::6?4972F546I<;=:996B4;@GA038;7<99F@DFGF6?89:8H?LN4BF3FG>CJK>9>K9J55GF27444192G0C@48KIOH>H;FCGGA@66>M;O:NL=CE2E0G@1K;?8OO6ME2@04C74=HH:5NH?4192=46FJ18I44L?CE:1@766<9N3>9<KM>O858;9GD33F4F?O:2:LJJ48@24>7=AGZ^X7yja=294;77380BB][[:vga85<76=:0=O:<0824F<44<9:;O=JL5443@7D3JMK8;4KJF8:@@@1?B47A44>DM>;INI;;9B2346@AI:2=4IH>734145GB0KNI8=9KF434<100?;I=:H6;0:3GFACC<1>>NI;MD415F=G19L>8ON4MK0472=03AK1H=98;7B763?77E>?3JJ=8MC5C06D7A9O<8@GAEF2F0MH9>;LID760@16<:;==O<9:BD52446A:O>9;86=FE;62141=A14E542?<919>NL;B3FBE5>61?294LM=A7C;6<431?LHNHM?01@7@G0FI183?N79926B0<4CLO8?<6<;25DF565B=K3;:I?NF1G7E4C?;H;N4>O>EB10<5C?;M9J8>O<4D2E652B8?K;I?:?;35276?0?93IJ=K?CB4F33@>JO>35N:8EB@3G66D?9IH>5>I882ABA50I882??O<652864=;835E2@DB;9IM<=H<014F05=5IJKI89>NOL9:21F62473828I?:J:D4D3=06E1:3;7?M=E9;04=?5K:9H<4K=2D0E7=DD?0<;;L;904C2<401>H:2C;02AC3I;II>9>42B1035DC:??2<>6>012@17BA?4191G=5A8:O8<8=>C21G65@AN=2?;K=L2CD04@1B9L8MJOL?BG22A6G4M9LJJKL?13174>4DJJH?J=<:837;4C7A;J=<<::N4C0@4FC7;O9?4=L>67C6E591K?>O>9F7A1660AK=:0>K?I012B@=3C?<46?043FB8><>283AF3@EJ?39057206M8LO4<;N3540762>0=N>95::79D712B50082?O7;0:13B5638M9NO>KJ05A33A53KMKJNI>J0DA6<56B8>?O;H:?NEE63?646;1O;9=6L953156>>K0;?N<;825G01A319M;>54K67C@EFG?0;MH;M8M85962644<;;0BB][[:EKB867=87;97><5IORVP?BNJ59:6=0>3:11>JSSX\^1HBO33083:45<;;0DYY^ZT;FLF956294?<6=<0B3044@41?K=M:L?D2:2AC2AK>INOK=I3E300AD7IO2;<=O?:?;21;4@33NJ83O?9?11;FG=>3;MOM5HH763:511?BL<38=<;75B:341>31:9J?=>70528705ANO=M;?=I32607C76NOOH<>?;CG5E7667NOLMNN=IEB20B71E:>HNONMLDBAF05=4=H3:M:H9CEC52F@68<3?=?;>00CF625EJK>:N5;>C1A;6D@B81>;O=67663A1173829>MKJ794DF55?6?9:<<:MH>??K03505F5381>;7>;L4D6G57DCJK:>98;:10G:@44>;0:HIHH79@4FE27EL=K?:LL:C8;B=FGC1LNMI9>43631G@E>KOHM<9MI0DD0AA5E8>2OO9HL0123456789>8?K>I1DG@2FE78:9OO>?J4190312DN>IMNHH50LO=HJ>><00233A@0LO=:<:HIDG5@3A@0LOI:===M3DG77CCDNK3;?>J?0B23B5273:HMJ=<7DG:EB=BDNOLOI>;O=?D846=303<=:0?NH8F2DEF6DAJJLHJK:8EG50FCDCNO>FC@2B<3C>LOIOHL=A2G@A5@3I;O;4>L;0:1E74B?MMIHN9MLC81E35BD?KK8J;JI7G;63CC3M=O?I9K813FE5@6298>8:KK:9224FA27>MJ4K>L080A@7B45=MD527F1G7I=299L7=A12A25G7922:6G45<918JIK?40270614;ML8ONJICB7GBG67;:NMN:HLFCG@GFDA;O=8JOH<0BD0BF2A;:Nm7>}801cmbba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`lhf4>0;20184>586;221CXZ_UU8gkpre4>0;29>48C@2F6548=IH8H:J1B7401G39=>?5:77F84:<1B7;0H>5=8826DA5G5E96DG5GBC239ML9>HHI36D@=@CA1=>?>>6J5C;5ACE0NKL>DM88;=;;632303A@A01:;ONMLCB1A165ANMLIJ;MN=:04KL?4500GF7CNK9:=:HKF214@F648<;;;KHID21@B65CNMLNJK>M3254BCEDNMI>8=5609C:6<7>1H:3;K9908F240>0NHHOJO;709:@024>J1OO89;:89:@?0L::J;=;0:;2==460M<>JN6N596B0G4A1;H>NKH;D874=A01M??M:2I4:9N1563?<26:0OI4K9I63551772>:39;;:95EF1G<32MMK288:=40D4642><8:?I?=62276616<1=O>HFB7:2<478=3J9H3N5LLAE3F@@E><912999J18;;272F1=O298;6D0;40D338L23O4M947602FG4M<8;;7477030409H?<6796CA:0=>C>LNOI9K77@DAA0D>>?H2988:59;16<0FM>09;IM?=H=1528==G2>K=LH?>H36:7A@E3823H59KL8E44B<5>J03H55?I6GD30@BEN=LM8KM?CE1:G60B>>8==K9?D810B177KO>;74HMA4;6A4?B912<:HO6673;F0BEI<><;HO6556:60415<18>=9>4A11:7<5E8J:;8::=2D1A@DEA?8KO?N=:>5@;7A5@E>=LI=>695E032=C7NK8:N<8IB5:74>G7JJ<8MOM63C3:F<@E;0>:N:6NC73;E2B30==H:H>61BCF3@EB<86?DC;1@7B59O;I>5>;D@FBFF5DI:570D045344?1:70H29M?6LDB:A@47E<89:?I=701CBEF03:8H:45>L2@63?DG501N:H;MN74700A32:><=IL;>55F61D73?93HI:7=D645=6?AJK?O5H;=4D:6216I9?NDG6E3CDAI:K<;98=35G262@?LLL?>KH992FE406><91JO>6M4313E1@71:O<>HK721C725>4KL8=<25730062>=K;=;KN5G;4F562IK8;?564AEFQE96912KOH_O311<:?DBCZH6:=374AEFQE9756k1JHI\N<0194;?99B@ATF48437LJKR@>1:==FLMXJ0>07;@FGVD:3611JHI\N<4<;?DBCZH6=255NDEPB828?3HNO^L27>99B@ATF40437LJKRC>3:<=FLMXI0<>19:CG@WD;98427LJKRC>26;d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j1OMYOPWHFWLZGd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fbfx]i}fooa8Ilhhz_oydaa2:L27>H69:1E=?=4N010?K73;2D:9>5A1718J4143G;3?6@>939M66=I:990B??<;O017>H5;:1E>9=4N3:0?K4>;2D8<>5A3018J6443G98?6@<429M70`V33YKYXl5_IO]AQVOCPk1[ECQMURLBI@?S7'kf`S`kb_fgmawgsg{%}magk.bqwv*tfeeed|V>R.scn*w)t;hUbbdz!r`o,vdk392_;#obd_lgn[bcim{kc!yamkg*fusz&xjaaa`pZ3^*wgj&{%x?lQfnhv-vdk(zhg?=6[?/cnh[hcjWnoeio{os-ueioc&jy~"|nmmmlt^4Z&{kf"!|3`]jjlr)zhg$~lc;1:W3+gjlWdofSjkaescwkw)qieco"n}{r.pbiiihxR9V"ob.s-p7dYnf`~%~lc r`ob?PUBZVKGEL]l;TQFVZPN[@HGI>5YCB;8RLCPW]S[I;5XE@>3:3=PMH6:2;5XE@>1:==PMH686=09;VGB86813^OI0=09;VGA84813^OI0?07;VGA86<76?1\IO2<>c9TVLRBWOCY_Ym4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO028\ZEHZLUBBKAPLHQW2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl;?dbczh";%55ndepb,4/>3hno~l&>0(;8eabui!;:%45ndepb,44.02koho'2(:8eabui!9"46okdsc+0,> 20mij}a)5*<>gcl{k#4$64aefqe-?.02koho30?;8eabui5;;245ndepb8479j2koho31383:<=flmxj0<<18:cg`wg;9720mij}a=0=<>gcl{k7?364aefqe92902koho35?:8eabui5<546okdsc?3;>gcl{h#=$74aefqf-77!01jhi|m(03*=>gcl{h#=?'7;`fgvg.5!11jhi|m(2+;?dbczk"?%55ndepa,0/?3hno~o&9)99b`ate >#37ljkrc*;-==flmxi$4'm;`fgvg:6:3:556lnsha\vjk582hjdmPrno\fimXelgTkh`Pv3]5[}usW88>7obd_lgn[bciW8T:!.Losgdak&>&;$;8dQfp30g?f<(~ang#co|cno1+fiumnoe#yc/^ad+ggtajUyc` agn68gimf<2iggo94dckwawt03mcem%>&7:fjjd.6!>1oeco'2(58`lhf :#<7igaa)6*3>bnfh">%:5kioc+2,11oecl'7(;8`lhe4>0;255kotvb,5/?3me~xl&>)99gkprf ;#37iazt`*0-==cg|~j$9'7;emvpd.2!11ocxzn(7+;?air|h"<%55kotvb858?3me~xl2>>99gkprf4;437iazt`>0:==cg|~j0907;emvpd:2611ocxzn<7qbj!:"46yjb=294;{GHy9j:6NOx3;`>C<328qX===52bf95c<6;;2hji4=2e1gk41m3;0b?8i:59'63e=:?<0q^??2;0``?7a28994nhk:30g7a=T:;<1>i>50;306=eal389h>j4S021>7b7290:??6lfe816a5b3m8257>51;3xW4642;io6i6=4>:082=~U68:09oi4>f;306=eal389h>j4$37;>7403_8=h74=r:;31<6s+25491<=e:031<7?8:2823~N5=?1Qio4={369=<j2P9:o4={3;a>7?e2tc9oh4?::k131<72-8?47<70:l102<732c9;>4?:%07;:k137<72-8?47<70:l102<532c9;n4?:%074?:%0754785>4?|@;?=7Wkm:02x6c<4838?6?;5988:e??020215446a;0e>66=:=099778:8:9y!428382m6*=6`81=g=#:=81>9:4i3af>5<5<6=44i3f2>5<5<#:=21>i94n364>5=<6=54i357>5<#:=21>5>4n364>5=<6<54i351>5<#:=21>5>4n364>7=<6>54i35a>5<#:=21>5>4n364>1=<6854i35:>5<#:=21>5>4n364>3=<6:54i354>5<#:=21>5>4n364>==<6454i356>5<#:=21>5>4n364>d=<6o54i353>5<#:=21>5>4n364>f=<6=54i3:f>5<#:=21>464n364>4=<6?54i3;4>5<#:=21>464n364>6=<6954i3;6>5<#:=21>464n364>0=<6;54i3;0>5<#:=21>464n364>2=<6554i3;2>5<#:=21>464n364><=<6l54i3:`>5<#:=21>464n364>g=<6n54o3f0>5<<6=54o3`;>5<#:=21>n?4n364>4=<6?54o3`5>5<#:=21>n?4n364>6=6=4+25:96f7<6954o3`7>5<#:=21>n?4n364>0=<6;54o3`1>5<#:=21>n?4n364>2=<6=54o3a:>5<#:=21>nm4n364>4=<6?54o3a4>5<#:=21>nm4n364>6=<6954o3a6>5<#:=21>nm4n364>0=<6;54o3a0>5<#:=21>nm4n364>2=5<<6=54i3c5>5<#:=21>l64n364>4=6=4+25:96d><6?54i3c7>5<#:=21>l64n364>6=<6954i3c1>5<#:=21>l64n364>0=<6;54i3c3>5<#:=21>l64n364>2=<6=54i3ce>5<#:=21>o?4n364>4=<6?54i3cg>5<#:=21>o?4n364>6=<6954i3ca>5<#:=21>o?4n364>0=<6;54i3c:>5<#:=21>o?4n364>2=5<6290;w)<:0;3gf>N5>>1C>884o0fb>5<51;294~"5=9099:5G2758L7313f8?97>5;|`111<72kk1<7>t$373>72>3A8=;6F=579Yag44;5;65>33=>?0=;787:5590=<1130`81?k77j380(?8::340?!43k3;0("6mk0?j6*>eb86<>"6mm0om6*>ed82g`=#9ll19=5+1g295fe<,8l:6;<4$0d1>ae<,8l86kl4$0d7>d><,8l>6d99'5cg==k1/=kl51028 4`d28i0("5890mj6*=008:g>"58;0m:6*=0287f>"58=0"58?0:?6*=068;<>"5810:ni5+21;926=#:9k15k5+21`9a0=#:9i1hh5+21f95f`<,;:n6b3-8:87o4$336>03<,;;=6<4<,;;j6?>5509'677=n01/>?<51e08 74428hm7)<=4;38 742281/=im52:&2`a<53-;oi7<4$0fe>7=#9l:1>6*>e081?!43i3n=7)?j2;08 4c42;1/>;:52718 72c281b==>50;9j557=831b=n>50;9j5f7=831b>9k50;9j61`=831bno4?:%074;h`:>5<#:=21nl5a25595>=nj10;6)<;8;`b?k43?3807dl8:18'61>=jh1e>9953:9jg1<72-8?47ln;o073?2<3`i86=4+25:9fd=i:==1965fc383>!4303hj7c<;7;48?le6290/>965b`9m611=?21bo=4?:%075<#:=21nl5a2559=>=njl0;6)<;8;`b?k43?3k07dlk:18'61>=jh1e>995b:9jff<72-8?47ln;o073?e<3`h=6=4+25:9fd=i:==1h65fc`83>!4303i27c<;7;28?le?290/>965c89m611=921bo:4?:%073g8?;7<4;ha5>5<#:=21o45a25597>=nl:0;6)<;8;a:?k43?3>07dj=:18'61>=k01e>9955:9j`4<72-8?47m6;o073?0<3`n;6=4+25:9g<=i:==1;65fcg83>!4303i27c<;7;:8?leb290/>965c89m611=121boi4?:%073g8?;7o4;ha`>5<#:=21o45a2559f>=nkk0;6)<;8;a:?k43?3i07dm::18'61>=k01e>995d:9j57d=83.9854>2`9m611=821b=?750;&10=<6:h1e>9951:9j571=83.9854>2`9m611=:21b=?850;&10=<6:h1e>9953:9j573=83.9854>2`9m611=<21b=?:50;&10=<6:h1e>9955:9j575=83.9854>2`9m611=>21b=?<50;&10=<6:h1e>9957:9j577=83.9854>2`9m611=021b=?>50;&10=<6:h1e>9959:9j54`=83.9854>2`9m611=i21b=995b:9j54e=83.9854>2`9m611=k21b=995d:9j54g=83.9854>2`9m611=m21b=<750;&10=<6:h1e>995f:9j54>=83.9854>2`9m611=9910e=9;k0b?:8:038?l76>3:1(?:7:00b?k43?3;976g>1483>!4303;9m6`=46827>=n98>1<7*=49826d=i:==1=954i030>5<#:=21=?o4n364>43<3`;8>7>5$36;>44f3g8?;7?9;:k274<72-8?47?=a:l102<6?21b=>>50;&10=<6:h1e>9951998m44a290/>96513c8j72028307d?=e;29 72?288j7c<;7;3b?>o6:m0;6)<;8;31e>h5<>0:n65f13a94?"5<10:>l5a25595f=<636<f:9j51e=83.9854>4c9m611=821b=9o50;&10=<69951:9j51>=83.9854>4c9m611=:21b=9950;&10=<69953:9j510=83.9854>4c9m611=<21b=9;50;&10=<69955:9j512=83.9854>4c9m611=>21b=9=50;&10=<69957:9j514=83.9854>4c9m611=021b=9?50;&10=<69959:9j516=83.9854>4c9m611=i21b=>h50;&10=<6995b:9j56b=83.9854>4c9m611=k21b=>m50;&10=<6995d:9j56d=83.9854>4c9m611=m21b=>o50;&10=<6995f:9j56?=83.9854>4c9m611=9910e<=7:18'61>=9=h0b?:8:038?l74?3:1(?:7:06a?k43?3;976g>3783>!4303;?n6`=46827>=n9:?1<7*=49820g=i:==1=954i017>5<#:=21=9l4n364>43<3`;>?7>5$36;>42e3g8?;7?9;:k217<72-8?47?;b:l102<6?21b=8?50;&10=<69951998m437290/>96515`8j72028307d?;f;29 72?28>i7c<;7;3b?>o6h5<>0:n65f15f94?"5<10:8o5a25595f=26=4+25:951d<636<:m;o073?7b32c:?>4?:%07f:9j50>=83.9854>569m611=821b=8850;&10=<6=>1e>9951:9j503=83.9854>569m611=:21b=8:50;&10=<6=>1e>9953:9j50b=83.9854>5b9m611=821b=8l50;&10=<6=j1e>9951:9j50g=83.9854>5b9m611=:21b=8750;&10=<6=j1e>9953:9j60b=83.9854=5b9m611=821b>8l50;&10=<5=j1e>9951:9j60g=83.9854=5b9m611=:21b>8750;&10=<5=j1e>9953:9j634=83.9854=609m611=821b>;>50;&10=<5>81e>9951:9j60`=83.9854=609m611=:21b>8k50;&10=<5>81e>9953:9l521=83.9854>779m611=821d=:;50;&10=<6??1e>9951:9l525=83.9854>779m611=:21d=:<50;&10=<6??1e>9953:9l527=83.9854>779m611=<21d=:>50;&10=<6??1e>9955:9l53`=83.9854>779m611=>21d=;k50;&10=<6??1e>9957:9l53b=83.9854>779m611=021d=;m50;&10=<6??1e>9959:9l53d=83.9854>779m611=i21d=;o50;&10=<6??1e>995b:9l53>=83.9854>779m611=k21d=;950;&10=<6??1e>995d:9l530=83.9854>779m611=m21d=;;50;&10=<6??1e>995f:9l532=83.9854>779m611=9910c<8<:18'61>=9><0b?:8:038?j71:3:1(?:7:055?k43?3;976a>6083>!4303;<:6`=46827>=h9?:1<7*=498233=i:==1=954o07e>5<#:=21=:84n364>43<3f;5$36;>4113g8?;7?9;:m23a<72-8?47?86:l102<6?21d=:m50;&10=<6??1e>9951998k41e290/>9651648j72028307b?8a;29 72?28==7c<;7;3b?>i6?00;6)<;8;342>h5<>0:n65`16:94?"5<10:;;5a25595f=<636<99;o073?7b32e:9h4?:%072d98:4>f:9l5<>=83.9854>969m611=821d=4850;&10=<61>1e>9951:9l5<2=83.9854>969m611=:21d=4=50;&10=<61>1e>9953:9l5<4=83.9854>969m611=<21d=4?50;&10=<61>1e>9955:9l5<6=83.9854>969m611=>21d=5h50;&10=<61>1e>9957:9l5=c=83.9854>969m611=021d=5j50;&10=<61>1e>9959:9l5=e=83.9854>969m611=i21d=5l50;&10=<61>1e>995b:9l5=?=83.9854>969m611=k21d=5650;&10=<61>1e>995d:9l5=1=83.9854>969m611=m21d=5850;&10=<61>1e>995f:9l5=3=83.9854>969m611=9910c<6;:18'61>=90=0b?:8:038?j7?;3:1(?:7:0;4?k43?3;976a>8383>!4303;2;6`=46827>=h91;1<7*=4982=2=i:==1=954o0:3>5<#:=21=494n364>43<3f;2j7>5$36;>4?03g8?;7?9;:m2=`<72-8?47?67:l102<6?21d=4j50;&10=<61>1e>9951998k4?d290/>9651858j72028307b?6b;29 72?283<7c<;7;3b?>i61h0;6)<;8;3:3>h5<>0:n65`18;94?"5<10:5:5a25595f=6=4+25:95<1<636<78;o073?7b32e:;k4?:%07?2d98:4>f:9l5d2=83.9854>a29m611=821d=l<50;&10=<6i:1e>9951:9l5d7=83.9854>a29m611=:21d=l>50;&10=<6i:1e>9953:9l5d?=83.9854>a99m611=821d=l950;&10=<6i11e>9951:9l5d0=83.9854>a99m611=:21d=l;50;&10=<6i11e>9953:9~f734290im7>50z&115<5<01C>;94H375?_ce2jq947<6:57903<1=3<=6;9569873?2?2?31:l4rn02b>7=i99h1>6*=648126=#:=i1=6*>e586?!7b=3;i;6*>e7847>"6m>02=6*>e98f7>"6m00:f982f5=#9o31?n5+1gc9ec=#9oh1=n:4$0d`>46>3-;mh7<=;%3ea?g43-;mj7?nb:&145<4>2.9<<4:4:&147<5i2.9<>4j7:&141<6l2.9<84j8:&1432.9<:465:&14=b`9'65g=9hn0(?>m:7f8 76d28?0(?>k:2d8 76b28n:7)"5990jn6*=10877>"59;0<>6*=1282g<=#:8>14l5+2079=`=#:8<1>i5+2059a>"5910:nn5+20;90<=#:8k1h45+20`9`c=#:8i1=no4$33g>c7<,;;n6;:4$33e>g2<,;8;6k=4$302>6b<,;8964=#9mi1>6*>de81?!7cm380(7<4$0g0>7=#:?>1>;=4$36g>4=n99:1<75f11394?=n9j:1<75f1b394?=n:=o1<75f25d94?=njk0;6)<;8;`b?k43?3:07dl6:18'61>=jh1e>9951:9jf=<72-8?47ln;o073?4<3`h<6=4+25:9fd=i:==1?65fc583>!4303hj7c<;7;68?le4290/>965b`9m611==21bo?4?:%075<#:=21nl5a25593>=nk90;6)<;8;`b?k43?3207dli:18'61>=jh1e>9959:9jf`<72-8?47ln;o073?g<3`ho6=4+25:9fd=i:==1n65fbb83>!4303hj7c<;7;a8?ld1290/>965b`9m611=l21bol4?:%073g8?;7>4;ha;>5<#:=21o45a25595>=nk>0;6)<;8;a:?k43?3807dm9:18'61>=k01e>9953:9j`6<72-8?47m6;o073?2<3`n96=4+25:9g<=i:==1965fd083>!4303i27c<;7;48?lb7290/>965c89m611=?21bok4?:%073g8?;764;haf>5<#:=21o45a2559=>=nkm0;6)<;8;a:?k43?3k07dml:18'61>=k01e>995b:9jgg<72-8?47m6;o073?e<3`i>6=4+25:9g<=i:==1h65f13`94?"5<10:>l5a25594>=n9;31<7*=49826d=i:==1=65f13594?"5<10:>l5a25596>=n9;<1<7*=49826d=i:==1?65f13794?"5<10:>l5a25590>=n9;>1<7*=49826d=i:==1965f13194?"5<10:>l5a25592>=n9;81<7*=49826d=i:==1;65f13394?"5<10:>l5a2559<>=n9;:1<7*=49826d=i:==1565f10d94?"5<10:>l5a2559e>=n98o1<7*=49826d=i:==1n65f10a94?"5<10:>l5a2559g>=n98h1<7*=49826d=i:==1h65f10c94?"5<10:>l5a2559a>=n9831<7*=49826d=i:==1j65f10:94?"5<10:>l5a255955=<636<3:9j542=83.9854>2`9m611=9=10e=9;k0b?:8:078?l74:3:1(?:7:00b?k43?3;=76g>3083>!4303;9m6`=46823>=n9::1<7*=49826d=i:==1=554i00e>5<#:=21=?o4n364>4?<3`;9i7>5$36;>44f3g8?;7?n;:k26a<72-8?47?=a:l102<6j21b=?m50;&10=<6:h1e>9951b98m44?290/>96513c8j72028n07d?>d;29 72?288j7c<;7;3f?>o69;0;6)<;8;31e>h5<>0:j65f15a94?"5<10:8o5a25594>=n9=k1<7*=49820g=i:==1=65f15:94?"5<10:8o5a25596>=n9==1<7*=49820g=i:==1?65f15494?"5<10:8o5a25590>=n9=?1<7*=49820g=i:==1965f15694?"5<10:8o5a25592>=n9=91<7*=49820g=i:==1;65f15094?"5<10:8o5a2559<>=n9=;1<7*=49820g=i:==1565f15294?"5<10:8o5a2559e>=n9:l1<7*=49820g=i:==1n65f12f94?"5<10:8o5a2559g>=n9:i1<7*=49820g=i:==1h65f12`94?"5<10:8o5a2559a>=n9:k1<7*=49820g=i:==1j65f12;94?"5<10:8o5a255955=<636<:m;o073?7532c:?;4?:%073:9j563=83.9854>4c9m611=9=10e<=;:18'61>=9=h0b?:8:078?l72;3:1(?:7:06a?k43?3;=76g>5383>!4303;?n6`=46823>=n9<;1<7*=49820g=i:==1=554i073>5<#:=21=9l4n364>4?<3`;?j7>5$36;>42e3g8?;7?n;:k20`<72-8?47?;b:l102<6j21b=9j50;&10=<69951b98m42>290/>96515`8j72028n07d?i7c<;7;3f?>o6;:0;6)<;8;37f>h5<>0:j65f14:94?"5<10:9:5a25594>=n9<<1<7*=498212=i:==1=65f14794?"5<10:9:5a25596>=n9<>1<7*=498212=i:==1?65f14f94?"5<10:9n5a25594>=n9=n9<31<7*=49821f=i:==1?65f24f94?"5<1099n5a25594>=n:=n:<31<7*=49811f=i:==1?65f27094?"5<109:<5a25594>=n:?:1<7*=498124=i:==1=65f24d94?"5<109:<5a25596>=n:=h9>?1<7*=498233=i:==1=65`16194?"5<10:;;5a25596>=h9>81<7*=498233=i:==1?65`16394?"5<10:;;5a25590>=h9>:1<7*=498233=i:==1965`17d94?"5<10:;;5a25592>=h9?o1<7*=498233=i:==1;65`17f94?"5<10:;;5a2559<>=h9?i1<7*=498233=i:==1565`17`94?"5<10:;;5a2559e>=h9?k1<7*=498233=i:==1n65`17:94?"5<10:;;5a2559g>=h9?=1<7*=498233=i:==1h65`17494?"5<10:;;5a2559a>=h9??1<7*=498233=i:==1j65`17694?"5<10:;;5a255955=<636<99;o073?7532e::<4?:%072d98:4>3:9l536=83.9854>779m611=9=10c<;i:18'61>=9><0b?:8:078?j70m3:1(?:7:055?k43?3;=76a>7e83>!4303;<:6`=46823>=h9>i1<7*=498233=i:==1=554o05a>5<#:=21=:84n364>4?<3f;5$36;>4113g8?;7?n;:m23<<72-8?47?86:l102<6j21d=:650;&10=<6??1e>9951b98k413290/>9651648j72028n07b?99;29 72?28==7c<;7;3f?>i6=l0;6)<;8;342>h5<>0:j65`18:94?"5<10:5:5a25594>=h90<1<7*=4982=2=i:==1=65`18694?"5<10:5:5a25596>=h9091<7*=4982=2=i:==1?65`18094?"5<10:5:5a25590>=h90;1<7*=4982=2=i:==1965`18294?"5<10:5:5a25592>=h91l1<7*=4982=2=i:==1;65`19g94?"5<10:5:5a2559<>=h91n1<7*=4982=2=i:==1565`19a94?"5<10:5:5a2559e>=h91h1<7*=4982=2=i:==1n65`19;94?"5<10:5:5a2559g>=h9121<7*=4982=2=i:==1h65`19594?"5<10:5:5a2559a>=h91<1<7*=4982=2=i:==1j65`19794?"5<10:5:5a255955=<636<78;o073?7532e:4?4?:%07?2d98:4>3:9l5=7=83.9854>969m611=9=10c<6?:18'61>=90=0b?:8:078?j7>n3:1(?:7:0;4?k43?3;=76a>9d83>!4303;2;6`=46823>=h90n1<7*=4982=2=i:==1=554o0;`>5<#:=21=494n364>4?<3f;2n7>5$36;>4?03g8?;7?n;:m2=d<72-8?47?67:l102<6j21d=4750;&10=<61>1e>9951b98k4?2290/>9651858j72028n07b?7a;29 72?283<7c<;7;3f?>i6?o0;6)<;8;3:3>h5<>0:j65`1`694?"5<10:m>5a25594>=h9h81<7*=4982e6=i:==1=65`1`394?"5<10:m>5a25596>=h9h:1<7*=4982e6=i:==1?65`1`;94?"5<10:m55a25594>=h9h=1<7*=4982e==i:==1=65`1`494?"5<10:m55a25596>=h9h?1<7*=4982e==i:==1?65rb371>5f}5038269;547851?012?=1:54;7;6;>3?=>h0vb<>n:39m55d=:2.9:84=629'61e=92.:i94<;%3f1?1d3-;n:78i;%3f3?313-;n4779;%3f=?>a3-;nm7kk;%3ff?5?3-;no73-;ni79;;%3fb?>43-;m<7=>;%3e5?173-;m>766;%3e7?b23-;m876l;%3e1?b<,8l=6=<5fe9'655=9m>0(?>;:818 7622k;0(?>9:0`8 76028i>7)n:b9'65d==m56d9'65b=9k<0(?>j:4c8 76a20(??;:024?!46=39?7)<>6;331>"59>09=6*=19825>"5900896*=1`806>"59k0j<6*=1b82f4=#:8n1==j4$33f>40<,;;m6<94$303>g6<,;8:6>k4$301>4b43-89?7??;%010?7<,;8>6<5+1ea96>"6lm097)?ke;08 4ba2;1/=h>52:&2a4<53-8?m7j9;%3f6?4<,8o86?5+2769635<,;>o6<5f11294?=n99;1<75f1b294?=n9j;1<75f25g94?=n:=l1<75fbc83>!4303hj7c<;7;28?ld>290/>965b`9m611=921bn54?:%075<#:=21nl5a25597>=nk=0;6)<;8;`b?k43?3>07dm<:18'61>=jh1e>9955:9jg7<72-8?47ln;o073?0<3`i:6=4+25:9fd=i:==1;65fc183>!4303hj7c<;7;:8?lda290/>965b`9m611=121bnh4?:%075<#:=21nl5a2559f>=njj0;6)<;8;`b?k43?3i07dl9:18'61>=jh1e>995d:9jgd<72-8?47m6;o073?6<3`i36=4+25:9g<=i:==1=65fc683>!4303i27c<;7;08?le1290/>965c89m611=;21bh>4?:%073g8?;7:4;hf1>5<#:=21o45a25591>=nl80;6)<;8;a:?k43?3<07dj?:18'61>=k01e>9957:9jgc<72-8?47m6;o073?><3`in6=4+25:9g<=i:==1565fce83>!4303i27c<;7;c8?led290/>965c89m611=j21boo4?:%073g8?;7m4;ha6>5<#:=21o45a2559`>=n9;h1<7*=49826d=i:==1<65f13;94?"5<10:>l5a25595>=n9;=1<7*=49826d=i:==1>65f13494?"5<10:>l5a25597>=n9;?1<7*=49826d=i:==1865f13694?"5<10:>l5a25591>=n9;91<7*=49826d=i:==1:65f13094?"5<10:>l5a25593>=n9;;1<7*=49826d=i:==1465f13294?"5<10:>l5a2559=>=n98l1<7*=49826d=i:==1m65f10g94?"5<10:>l5a2559f>=n98i1<7*=49826d=i:==1o65f10`94?"5<10:>l5a2559`>=n98k1<7*=49826d=i:==1i65f10;94?"5<10:>l5a2559b>=n9821<7*=49826d=i:==1==54i034>5<#:=21=?o4n364>47<3`;::7>5$36;>44f3g8?;7?=;:k250<72-8?47?=a:l102<6;21b=<:50;&10=<6:h1e>9951598m474290/>96513c8j72028?07d?<2;29 72?288j7c<;7;35?>o6;80;6)<;8;31e>h5<>0:;65f12294?"5<10:>l5a25595==<6<74;h31a?6=,;>36<i4?:%07b:9j57e=83.9854>2`9m611=9j10e<<7:18'61>=9;k0b?:8:0f8?l76l3:1(?:7:00b?k43?3;n76g>1383>!4303;9m6`=4682b>=n9=i1<7*=49820g=i:==1<65f15c94?"5<10:8o5a25595>=n9=21<7*=49820g=i:==1>65f15594?"5<10:8o5a25597>=n9=<1<7*=49820g=i:==1865f15794?"5<10:8o5a25591>=n9=>1<7*=49820g=i:==1:65f15194?"5<10:8o5a25593>=n9=81<7*=49820g=i:==1465f15394?"5<10:8o5a2559=>=n9=:1<7*=49820g=i:==1m65f12d94?"5<10:8o5a2559f>=n9:n1<7*=49820g=i:==1o65f12a94?"5<10:8o5a2559`>=n9:h1<7*=49820g=i:==1i65f12c94?"5<10:8o5a2559b>=n9:31<7*=49820g=i:==1==54i01;>5<#:=21=9l4n364>47<3`;8;7>5$36;>42e3g8?;7?=;:k273<72-8?47?;b:l102<6;21b=>;50;&10=<69951598m453290/>96515`8j72028?07d?:3;29 72?28>i7c<;7;35?>o6=;0;6)<;8;37f>h5<>0:;65f14394?"5<10:8o5a25595==<6<74;h37b?6=,;>36<:m;o073?7f32c:8h4?:%07b:9j51b=83.9854>4c9m611=9j10e<:6:18'61>=9=h0b?:8:0f8?l74m3:1(?:7:06a?k43?3;n76g>3283>!4303;?n6`=4682b>=n9<21<7*=498212=i:==1<65f14494?"5<10:9:5a25595>=n965f14694?"5<10:9:5a25597>=n9=n965f14;94?"5<10:9n5a25597>=n:=n:65f24;94?"5<1099n5a25597>=n:?81<7*=498124=i:==1<65f27294?"5<109:<5a25595>=n:65f24g94?"5<109:<5a25597>=h9>=1<7*=498233=i:==1<65`16794?"5<10:;;5a25595>=h9>91<7*=498233=i:==1>65`16094?"5<10:;;5a25597>=h9>;1<7*=498233=i:==1865`16294?"5<10:;;5a25591>=h9?l1<7*=498233=i:==1:65`17g94?"5<10:;;5a25593>=h9?n1<7*=498233=i:==1465`17a94?"5<10:;;5a2559=>=h9?h1<7*=498233=i:==1m65`17c94?"5<10:;;5a2559f>=h9?21<7*=498233=i:==1o65`17594?"5<10:;;5a2559`>=h9?<1<7*=498233=i:==1i65`17794?"5<10:;;5a2559b>=h9?>1<7*=498233=i:==1==54o040>5<#:=21=:84n364>47<3f;=>7>5$36;>4113g8?;7?=;:m224<72-8?47?86:l102<6;21d=;>50;&10=<6??1e>9951598k43a290/>9651648j72028?07b?8e;29 72?28==7c<;7;35?>i6?m0;6)<;8;342>h5<>0:;65`16a94?"5<10:;;5a25595==<6<74;n34e?6=,;>36<99;o073?7f32e:;44?:%072d98:4>b:9l52>=83.9854>779m611=9j10c<9;:18'61>=9><0b?:8:0f8?j7113:1(?:7:055?k43?3;n76a>5d83>!4303;<:6`=4682b>=h9021<7*=4982=2=i:==1<65`18494?"5<10:5:5a25595>=h90>1<7*=4982=2=i:==1>65`18194?"5<10:5:5a25597>=h9081<7*=4982=2=i:==1865`18394?"5<10:5:5a25591>=h90:1<7*=4982=2=i:==1:65`19d94?"5<10:5:5a25593>=h91o1<7*=4982=2=i:==1465`19f94?"5<10:5:5a2559=>=h91i1<7*=4982=2=i:==1m65`19`94?"5<10:5:5a2559f>=h9131<7*=4982=2=i:==1o65`19:94?"5<10:5:5a2559`>=h91=1<7*=4982=2=i:==1i65`19494?"5<10:5:5a2559b>=h91?1<7*=4982=2=i:==1==54o0:7>5<#:=21=494n364>47<3f;3?7>5$36;>4?03g8?;7?=;:m2<7<72-8?47?67:l102<6;21d=5?50;&10=<61>1e>9951598k4>7290/>9651858j72028?07b?6f;29 72?283<7c<;7;35?>i61l0;6)<;8;3:3>h5<>0:;65`18f94?"5<10:5:5a25595==<6<74;n3:f?6=,;>36<78;o073?7f32e:5l4?:%07?2d98:4>b:9l5969m611=9j10c<7::18'61>=90=0b?:8:0f8?j7?i3:1(?:7:0;4?k43?3;n76a>7g83>!4303;2;6`=4682b>=h9h>1<7*=4982e6=i:==1<65`1`094?"5<10:m>5a25595>=h9h;1<7*=4982e6=i:==1>65`1`294?"5<10:m>5a25597>=h9h31<7*=4982e==i:==1<65`1`594?"5<10:m55a25595>=h9h<1<7*=4982e==i:==1>65`1`794?"5<10:m55a25597>=zj;?:6=4ma;294~"5=909845G2758L7313Soi6nu=8;0:>13=36;756`8~j46f2;1e==l52:&120<5>:1/>9m51:&2a1<33-;n97:=;%3f2?2d3-;n;7h;;%3fad9'5c6=9k30(:d68 4`528:?7)?i3;`1?!7a<38=7)?i5;56?!7a>3=m7)?i7;c1?!7a03n37)?i9;:1?!7ai3887)?ib;:a?!7ak3ko7)?id;4`?!7am32?7)?if;fa?!47832>7)n5+20393d=#:881?l5+20195==#:8>1=i;4$336>2><,;;=6:94$334>4d53-8:477?;%02=?3b3-8:m768;%02f?403-8:o7?n;%02`?g33-8:i7?m4:&15c=4na:&164<6l?1/>?<51148 7442:90(?<;:09'673=92.:hn4=;%3g`?4<,8nn6?5+1ed96>"6m9097)?j1;08 72f2m<0(5$36;>gg<6=54ic;94?"5<10im6`=4682?>oe03:1(?:7:cc8j7202;10eo950;&10=36oo4n364>1=h5<>0>76gl2;29 72?2kk0b?:8:798mf7=83.9854ma:l102<032ch<7>5$36;>gg<6554icd94?"5<10im6`=468:?>oem3:1(?:7:cc8j7202h10eoj50;&10=36oo4n364>f=h5<>0o76gla;29 72?2j30b?:8:198mf>=83.9854l9:l102<632ch;7>5$36;>f?<6?54ib494?"5<10h56`=4680?>oc;3:1(?:7:b;8j7202=10ei<50;&10=36n74n364>3=h5<>0<76glf;29 72?2j30b?:8:998mfc=83.9854l9:l102<>32chh7>5$36;>f?<6l54iba94?"5<10h56`=468a?>odj3:1(?:7:b;8j7202j10en;50;&10=44?:%07;:k262<72-8?47?=a:l102<532c:>;4?:%0794?:%07?4?:%07=4?:%079951098m471290/>96513c8j72028807d?>5;29 72?288j7c<;7;30?>o69=0;6)<;8;31e>h5<>0:865f10194?"5<10:>l5a255950=<6<84;h305?6=,;>36<8:9j57`=83.9854>2`9m611=9010e<=9;k0b?:8:0c8?l75l3:1(?:7:00b?k43?3;i76g>2b83>!4303;9m6`=4682g>=n9;21<7*=49826d=i:==1=i54i03g>5<#:=21=?o4n364>4c<3`;:>7>5$36;>44f3g8?;7?i;:k20f<72-8?47?;b:l102<732c:8l4?:%07;:k20=<72-8?47?;b:l102<532c:8:4?:%074?:%07650;&10=<69951098m450290/>96515`8j72028807d?<6;29 72?28>i7c<;7;30?>o6;<0;6)<;8;37f>h5<>0:865f12694?"5<10:8o5a255950=<6<84;h366?6=,;>36<:m;o073?7032c:9<4?:%078:9j506=83.9854>4c9m611=9010e<:i:18'61>=9=h0b?:8:0c8?l73m3:1(?:7:06a?k43?3;i76g>4e83>!4303;?n6`=4682g>=n9=31<7*=49820g=i:==1=i54i01f>5<#:=21=9l4n364>4c<3`;8?7>5$36;>42e3g8?;7?i;:k21=<72-8?47?:7:l102<732c:9;4?:%07;:k210<72-8?47?:7:l102<532c:994?:%07;:k21d<72-8?47?:c:l102<532c:944?:%07;:k11d<72-8?47<:c:l102<532c9944?:%07;:k11c<72-8?47<91:l102<532c99h4?:%072d98:4>;:m236<72-8?47?86:l102<532e:;?4?:%072d98:4<;:m234<72-8?47?86:l102<332e:;=4?:%072d98:4:;:m22c<72-8?47?86:l102<132e::h4?:%072d98:48;:m22a<72-8?47?86:l1022d98:46;:m22g<72-8?47?86:l1022d98:4m;:m22=<72-8?47?86:l1022d98:4k;:m223<72-8?47?86:l1022d98:4i;:m221<72-8?47?86:l102<6821d=;=50;&10=<6??1e>9951098k405290/>9651648j72028807b?91;29 72?28==7c<;7;30?>i6>90;6)<;8;342>h5<>0:865`14d94?"5<10:;;5a255950=<6<84;n34`?6=,;>36<99;o073?7032e:;n4?:%072d98:4>8:9l52d=83.9854>779m611=9010c<9n:18'61>=9><0b?:8:0c8?j7013:1(?:7:055?k43?3;i76a>7983>!4303;<:6`=4682g>=h9>>1<7*=498233=i:==1=i54o04:>5<#:=21=:84n364>4c<3f;>i7>5$36;>4113g8?;7?i;:m2==<72-8?47?67:l102<732e:5;4?:%07?2d98:4>;:m2=1<72-8?47?67:l102<532e:5>4?:%07?2d98:4<;:m2=7<72-8?47?67:l102<332e:5<4?:%07?2d98:4:;:m2=5<72-8?47?67:l102<132e:4k4?:%07?2d98:48;:m2<`<72-8?47?67:l102?2d98:46;:m2?2d98:4m;:m2<<<72-8?47?67:l102?2d98:4k;:m2<2<72-8?47?67:l102?2d98:4i;:m2<0<72-8?47?67:l102<6821d=5:50;&10=<61>1e>9951098k4>4290/>9651858j72028807b?72;29 72?283<7c<;7;30?>i6080;6)<;8;3:3>h5<>0:865`19294?"5<10:5:5a255950=<6<84;n3:a?6=,;>36<78;o073?7032e:5i4?:%07?2d98:4>8:9l5969m611=9010c<7m:18'61>=90=0b?:8:0c8?j7>i3:1(?:7:0;4?k43?3;i76a>9883>!4303;2;6`=4682g>=h90?1<7*=4982=2=i:==1=i54o0:b>5<#:=21=494n364>4c<3f;5$36;>4?03g8?;7?i;:m2e1<72-8?47?n3:l102<732e:m?4?:%07;:m2e4<72-8?47?n3:l102<532e:m=4?:%07;:m2e3<72-8?47?n8:l102<532e:m84?:%07X5k=1U>n=4=34;>4bf348>87??1:?111<58:525d897332k<01?;;:bc897332j201?;;:b5897332j<01?;;:e1897332m801?;;:e3897332m:01?;;:bd897332jo01?;;:bf897332ji01?;;:b`897332j?01?;;:00a?842<3;9563=558262=::<>1=?84=377>442348>87?=4:?111<6::16>8:513089733288:70<:4;314>;5==0:=k52246954c<5;??61`9>602=98301?;;:03;?842<3;:;63=558253=::<>1=<;4=377>473348>87?>3:?111<6;;16>8:512389733289;70<:4;31b>;5==0:>h52246957b<5;??6<1e9>602=98801?;;:06`?842<3;?m63=55820==::<>1=994=377>421348>87?;5:?111<6<=16>8:51518973328>970<:4;375>;5==0:8=52246956`<5;??6<=k;<060?74k279994>3c9>602=9:k01?;;:01:?842<3;8463=558272=::<>1=>84=377>452348>87?<4:?111<6=:16>8:51408973328?:70<:4;364>;5==0:8k52246951c<5;??6<:k;<060?731279994>3d9>602=9:901?;;:07;?842<3;>:63=558210=::<>1=8:4=377>43c348>87?:b:?111<6=h16>8:514;897332;?o70<:4;06f>;5==099l52246960?<5;??6?8=;<060?418279994=5g9>602=:4>279>605=9;?01?;<:007?842;3;9?63=528267=::<91=??4=370>447348>?7?>f:?116<69l16>8=510a8973428;i70<:3;32e>;5=:0:=452241954><5;?862799>4>149>605=98>01?;<:030?842;3;8>63=528274=::<91=>>4=370>44a348>?7?=e:?116<6:m16>8=513a89734288370<:3;32`>;5=:0:=?52241951e<5;?86<:n;<067?7302799>4>469>605=9=<01?;<:066?842;3;?863=528206=::<91=9<4=370>426348>?7?;0:?116<6;o16>8=512f89734289h70<:3;30f>;5=:0:?l52241956?<5;?86<=7;<067?74?2799>4>379>605=9:?01?;<:017?842;3;>?63=528217=::<91=8?4=370>437348>?7?;f:?116<68=515f8973428>270<:3;30a>;5=:0:?>52241950><5;?86<;9;<067?72=2799>4>559>605=9m63=52821<=::<91>8j4=370>73e348>?7<:a:?116<5=016>8=5270897342;<;70<:3;06b>;5=:099h522409557<5;?96?:j;<066?43n2799?4m6:?1178<513;89735288<70<:2;312>;5=;0:>8522409572<5;?96<<<;<066?75:2799?4>209>604=9;:01?;=:03e?842:3;:i63=53825f=::<81=47f348>>7?>9:?117<69116>8<51058973528;=70<:2;321>;5=;0:=9522409545<5;?96<==;<066?7492799?4>319>604=9;l01?;=:00f?842:3;9h63=53826f=::<81=?64=371>47c348>>7?>2:?117<68<515c8973528>370<:2;373>;5=;0:8;522409513<5;?96<:;;<066?73;2799?4>439>604=9=;01?;=:063?842:3;8j63=53827a=::<81=>m4=371>45e348>>7?8<512:89735289<70<:2;302>;5=;0:?8522409562<5;?96<;<;<066?72:2799?4>509>604=9<:01?;=:06e?842:3;?i63=53820a=::<81=974=371>45b348>>7?<3:?117<6=116>8<51448973528?>70<:2;360>;5=;0:9i52240950d<5;?96<;n;<066?7212799?4=5e9>604=:563=538127=::<81>;>4=371>73a348>>7<:e:?114<68816>8?525g897362;>m70<:1;`5?84293ij70<:1;a;?84293i<70<:1;a5?84293n870<:1;f1?84293n:70<:1;f3?84293im70<:1;af?84293io70<:1;a`?84293ii70<:1;a6?84293;9n63=50826<=::<;1=?94=372>441348>=7?=5:?114<6:=16>8?513189736288970<:1;315>;5=80:>=52243954`<5;?:61c9>607=98k01?;>:03:?84293;:463=508252=::<;1=<84=372>472348>=7?>4:?114<69:16>8?512089736289:70<:1;304>;5=80:>k52243957c<5;?:6<299>607=98n01?;>:031?84293;?o63=50820d=::<;1=964=372>420348>=7?;6:?114<6<<16>8?51568973628>870<:1;376>;5=80:8<522439516<5;?:6<=i;<065?74l2799<4>3b9>607=9:h01?;>:01b?84293;8563=50827==::<;1=>94=372>451348>=7?<5:?114<6;=16>8?51418973628?970<:1;365>;5=80:9=52243951`<5;?:6<:j;<065?73l2799<4>489>607=9:o01?;>:010?84293;>463=508213=::<;1=8;4=372>433348>=7?:d:?114<6=k16>8?514c8973628?270<:1;06`>;5=8099o52243960g<5;?:6?;6;<065?41:2799<4=619>607=::37f?xu5kl0;68uQ2bg8973328i;70<:3;3`4>;5=;0:o=5224395f655z\1=a=::<>1==>4=370>467348>>7??0:?114<6891v?m=:181[4e1279994>689~w7e72909wS4>689~w7db2909wS689~w7dd2909wS689~w7df2909wS:186[40<279994mb:?116:h50;7xZ714348>87l6;<067?d>348>>7l6;<065?d>3ty9;h4?:4y]624<5;??6o64=370>g><5;?96o64=372>g>55z\13f=::<>1n:522419f2=::<81n:522439f2=z{;226=4:{_04f>;5==0h863=528`0>;5=;0h863=508`0>{t:121<7;t^35b?842<3i870<:3;a0?842:3i870<:1;a0?xu50>0;68uQ26;897332j801?;<:b0897352j801?;>:b08yv4?>3:19vP=799>602=k816>8=5c09>604=k816>8?5c09~w7>2290>wS<87:?1114l0:?117?7li;<066?da348>=7li;|q1<6<72:;4=377>gc<5;?86ok4=371>gc<5;?:6ok4}r0;6?6==rT9;<522469fa=::<91ni522409fa=::<;1ni5rs35g>5<2sW8<<63=558ag>;5=:0io63=538ag>;5=80io6s|23c94?3|5;<26?::;<060?7d92799>4>c09>604=9j;01?;>:0a2?x{t:0n1<7{t:1;1<7{t:>l1<7{t:>o1<7{t:1k1<7{t:131<7{t:121<7{t:1=1<7{t:1<1<7{t:1?1<7{t:1>1<7{t:191<77)<:5;002>{t:181<7{t:>n1<7{t:jo1<7{t:j81<7{t:j:1<7{t:kl1<7{t:ko1<7{t:kn1<77)<:5;00a>{t:ki1<7{t:kh1<7{t:kk1<7{zf:==6=4={I062>{i;>=1<7vF=579~j61f2909wE<:6:m72d=838pD?;9;|l03f<72;qC>884}o14`?6=:rB99;5rn25f>5<5sA8>:6sa36d94?4|@;?=7p`<8183>7}O:<<0qc=71;296~N5=?1vb>6=:181M42>2we?5=50;0xL7313td8494?:3yK60052zJ113=zf:2=6=4={I062>{i;1=1<7vF=579~j6>f2909wE<:6:m7=d=838pD?;9;|l0884}o16`?6=9rB99;5rn256>5<6sA8>:6sr}|BCG~5f>3> 0, + c_has_regcea => 0, + c_mem_type => 3, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "basic_rom.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 1, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 8192, + c_write_depth_a => 8192, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 13, + c_addrb_width => 13, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 8192, + c_read_depth_a => 8192, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 1, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 0); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_basic_rom + port map ( + clka => clka, + addra => addra, + ena => ena, + douta => douta); +-- synthesis translate_on + +END basic_rom_a; + diff --git a/coregen/basic_rom.vho b/coregen/basic_rom.vho new file mode 100755 index 0000000..0d0b067 --- /dev/null +++ b/coregen/basic_rom.vho @@ -0,0 +1,58 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component basic_rom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(12 downto 0); + ena: IN std_logic; + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : basic_rom + port map ( + clka => clka, + addra => addra, + ena => ena, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file basic_rom.vhd when simulating +-- the core, basic_rom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/basic_rom.xco b/coregen/basic_rom.xco new file mode 100755 index 0000000..12f9c62 --- /dev/null +++ b/coregen/basic_rom.xco @@ -0,0 +1,78 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Fri Jan 09 14:26:54 2009 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 2.6 +# END Select +# BEGIN Parameters +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file=C:/vhdl/Basic.coe +CSET collision_warnings=ALL +CSET component_name=basic_rom +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Always_Enabled +CSET fill_remaining_memory_locations=false +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET single_bit_ecc=false +CSET use_byte_write_enable=false +CSET use_ramb16bwer_reset_behavior=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_ssra_pin=false +CSET use_ssrb_pin=false +CSET write_depth_a=8192 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: 1cd67ff3 + diff --git a/coregen/basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj b/coregen/basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj new file mode 100755 index 0000000..39c4ab3 --- /dev/null +++ b/coregen/basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj @@ -0,0 +1,24 @@ +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst_comp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_defaults.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_getinit_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_min_area_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_bindec.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_mux.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_width.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_generic_cstr.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_input_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_output_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_top.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\basic_rom_blk_mem_gen_v2_6_xst_1.vhd" diff --git a/coregen/basic_rom_flist.txt b/coregen/basic_rom_flist.txt new file mode 100755 index 0000000..2f70b7a --- /dev/null +++ b/coregen/basic_rom_flist.txt @@ -0,0 +1,9 @@ +# Output products list for +basic_rom.mif +basic_rom.ngc +basic_rom.vhd +basic_rom.vho +basic_rom.xco +basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj +basic_rom_flist.txt +basic_rom_xmdf.tcl diff --git a/coregen/basic_rom_readme.txt b/coregen/basic_rom_readme.txt new file mode 100755 index 0000000..2e8eb07 --- /dev/null +++ b/coregen/basic_rom_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'basic_rom' in directory +C:\vhdl\nascom2_t80\coregen\: + +basic_rom.mif: + Memory Initialization File which is automatically generated by the + CORE Generator System for some modules when a simulation flow is + specified. A MIF data file is used to support HDL functional + simulation of modules which use arrays of values. + +basic_rom.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +basic_rom.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +basic_rom.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +basic_rom.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj: + Please see the core data sheet. + +basic_rom_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +basic_rom_readme.txt: + Text file indicating the files generated and how they are used. + +basic_rom_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/basic_rom_xmdf.tcl b/coregen/basic_rom_xmdf.tcl new file mode 100755 index 0000000..d25f082 --- /dev/null +++ b/coregen/basic_rom_xmdf.tcl @@ -0,0 +1,72 @@ +# The package naming convention is _xmdf +package provide basic_rom_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::basic_rom_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::basic_rom_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name basic_rom +} +# ::basic_rom_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::basic_rom_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom_blk_mem_gen_v2_6_xst_1_vhdl.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path basic_rom_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module basic_rom +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/coregen/blk_mem_gen_ds512.pdf b/coregen/blk_mem_gen_ds512.pdf new file mode 100755 index 0000000000000000000000000000000000000000..ebe8c3d526b406f8eeb37e648799e0c4e64dedbf GIT binary patch literal 1349149 zcmc$`c|25q^go`WLZobE$)4S;X0a8r?@RV=Ft+S7mXIacMI};1S|rIXTcM&XWhvQ0 zme5L6gv#&U84C0MeCqT4=U0z0cV742bI$9$p68s`>vhh(Li$HkC1FxX2BER>ju#Ac za5xsr3+45)cjJ|lgJ`>Y;&HyNL3l@A7(|;FhCm{)NEAem7mk1;Au7Bm1PYFV=<=eV z5My2#9E--n&=7TAOJ0OD0>ukMVx_GW6d3THjsQIdUh+Q%xL7ma-ohD1}V}mOwG)(ijA#{xC?&{$c>FZk7c@X-Co+ zG?wx@z>#mh7Yn8A+kg0WX)IvC6!#)1Vudu8(vM4H0nJd{OKC^afchwTU?^-5n3+j$ zwgn(6Q21RG6h>h)C@7p_JWzly-Yg$5g@bRlK@=24F{eR6(G;-^1qA}|rv9R!SPTU{ z7~o|$Uk3vWn4%4EY!q#j^C4i0iQPQDC>WYzet-ge6D40PWj_FCw;AIoz_C%b!T+NT zSQ2cO8IHtK^bL-pn0KOpDZ^$Qpx_t^-;RP~r8i?7g#ZHPX1)kuJwee1r-&&i1cGAz zhXQ=|=6j)}Wz6q62+W=qipaWJ$M!=$o7mh^1Ao{*|fAZP~SP~g}c@e?I5ukNm zLx_f_lNT?rq5}E|{yJhQr-H#^U`l{?R8#9iZfC#Lj5?ob935rwzS5$NaBF>jcUPnP0=!Aq+^i&z>czJtijPxC`8rOorw2^sJi2b_#=1+FGoB?12}_#1Is%gppe#f zC=3!}1auC8R!|@ieepOCV9hpQZ)azRvm421Z)k70^57Y7L->}hhhhF#B%Uxb(r$}U zl|s?dM4XCdW82OUL8GIyOM;7zfiY2g*Iq_OMuuHnd$-ato|cf{+RDiIOk0ABF_;?L zh{w{-XKc0XMx$6jT{MtmE zygb-AKQ?$g>Hf!DEG#^{+Lqo?lprTkQHf)8hMp2@=pI&Fc1M1B)g z?d41PtaIKampZiXoKMNlUTocWBNJ(NWuJG5A>pzltF!AIeDusfS3hpXKDo8}X=b{= zd(dmE%a*FQK500cUictCW2zZ9SoL+*vEEg_vQEZByS64cXjbdg$iT_W<~zsrW;hgP z-=PrwM(2;edoR=DSymC?4=HY_rbk}L0ud8)fcZB1xR)&9OZ3(g7Vl+#2{tEIr>@9fJej?36BhYCHSF;z27 zm{6UOP;+^G=`uYdt)SjQW>nReapsPT_SlQ85Qudq_gxQ;#_h_E5AKJB?sus9($fR! z(2W>6eqfKLPft-HPj=RJpDDS1N5_E4h9O$i5^d*YpMcue;mX57FeT`F>F(Kwya>Eg zs(T<4gZ~eKH!g!U+XXlxPTq#mD2`Us9mv{=i0pcH{_H@_R)HkP>xnPW({J0l=Bht@pxO7%pr13mo38}6qs8;U)5sC+N0X5VuwT@voob3AJ_) zCO*t`1n;UCWrvo`oVa_?_rt|DV6^ zRqnhtVM_JlO6c?cZ_6HD0>V%4oA+K#YELS7x>hoFlLcT@%N4GFUzzKE(KnT06V`&}$kY78cHfS6*$=?zAkM>F49x8TYs*^+I9c z>(_w{ZkjEofnw=(sQ3E}!^3G_DylBWj0jGyVZ9~s;9&OZt5-S zsV-*Q&%E4XUvnz=fr|2jhx-21#xxEtBHCv(`nTrlXwV*P9vh=Gq2Gf>`Ok*8KshrS z`n5G%nHZ0xCTLmgICq}rA#``uBdg+SHhwz4t>+dGoAPpXjB%tzLwQ74BcNgog_;r! zP7)3l97;^)iX4W4hyAY7(3W!abaw7^$F;=kw~xg)CWbH5*&ph5k>DaSG*35;(XzQf z9|STvKfrKv$98^g;ETAv4Y%#UHz}xS)lVk#ymIk#+pG+%BFFSiPn}K7QExrM=gJ~R z<=cL^!u;@qr@Ss%JBjLBnR+8FS>U_wHK;o=jnG5wd`H7Zo>WC%;ETa3UK-v0iVE?V z;U2?zZ|(i2pJg0jJJhAEaF$ypFoNFqO9Dphi6g$9I1?6U+1c;rg$7Q&XPGAKJj@fG z+~J9I;&Ns6D=04buv7eE`>{QhkHatW<@Y~)b6;Wi3xQmI+6e43$a@YMYQ251$yjPZ zO|G*>felSL&EDZ36nol)x6-BGIoNKtJ^I)}#IE4&+uw;d*ty`DtoAoGG-N$%Xmq7= zKYGHBX&6a+J|m)UPxDF>Z^+YaEVhSD1qca_BwoM-0l3KcxHlf643KvB za)y9JwQ=@%caSo;0^l|Lvjqsy1#bbm0kQzXEw7>V+VUjhA4Y^5`mK-C2$H% zA^`5gkPk@L1C+p3HMwPt8#r$zx2$m^>&zOMN)Uk<%?kwv&e0V|A-Ex);N|D*KpJy! z61p}Hp#C=~3FJgpfFa(2XbFtA6tJL%qojasCxkRw3YZNdP*PZwHN?ozo(K*lu)Ap%WAL=D(J0#*+g(f?`0KqQel=>m8K zS1kar^g$yaI|M^ZU`GX5hyzP`USP8Z70CXAwZXN-${*@xh zMI&CK)%{42c2B;ewmH|3Z<%X24yg^&_)pGjMPV^j|1a*bK=b ztQ(m%n}LHHcKwk<2;4aoC88_Mti2-S>|1l~cNXl7nGo+S3y(Y5+W&Fpe0A{N;$+*#G$SgsM zq#^rzEF?4DAmc`xA+sb={5OPy*}_dSZnT-zz5+6W$=`oL4~5NuS(x=>{o7_%CqRGm zj|d8zA+@XOp%sM@iaSc!eX5IfJBZbX?In?zsl30Sh2Ik)X zM3KaJ1A4%gbuzBm45|F(U-U>}Ghl9YJwy0);W(lGPEN%D~iWD{j=AhQg_}gYy*K>c>BZbX?8KU(Rf7=Xc%jxgv0W*!8 z^tjPxNDRPu{g3VuOiyhhxY1=9kaHF-5 zIRXU#aVkpopX+rDtozm`5JlS9Pq$7WdgA2iddZyC=)Q? z5Ayga6R_Y9a?g|r059Oz>X<=PfqiA*ZdH)*s?Dgv!DF&!RT023+1dey`o3NcMtGtn z1Ssl-7~=zpfJDG{urm2e!y2LzNK`Wdx0L~sYDOfQt6pz?-$;DOx?0;32KRSiBw>JU zLqwI-o7;EVs|vvxg{R~o3w1wT+;pgK^R7X=^|`~a1&qz~ygu#<=e)Bv`DHv(w~ z;0llp24GFP5@;pewR+X>yMQ~uW3WV%)CN)qsFA6V|AKS?G1deJRsn0r;Q8Ojq>UPB z;4D~@3-Vn%v-Sr#^>R}Emt5@Lk+#`)sFEGa}^QP)^cS49901j2Mj=;`x1 z;oJ#$h?n>30m)o`#|DUz;%d8*4lh&+3g2KvpvPY|A|l?y1b_o6EP(0f|1eg-1c8bp zJQ$_G>MCFg2~-|A1DRSl1Pml#I#m)5MUzMe5`_2lf&i&c4;+Mm_s4qz$KJ<% zK^Y(f*FcENac>u((G21Waff(90wEd@Z@jOomm@?G;tX+t5Fq{#M~F5=7or5gK};b5 z5I=|q~fFmpS4+aK6VNL?FSAhp0dZ?l^)AL=6Ju?jg#M zqYz_=Cy?rg0Qkduy5pUQ5Fhd}Sr~N)4ruZ7BH|tG-62{KlA0j)zBq?tcp!TYTk z;0M6V2pE4nfC3x<7*zlzB$z1yz|a9;p$32kL?Q^VBY(iD2S9Q?614$@DFg6O0dQsv zpg|G9*D4;#*a?EDucGNF0KiqKZGZu3#O!gte_%lZ1qt3}>!3yg9eG3nXn8sU$lN%Z zf9At$RflLa_CLxE|CAJ}#}Q3ld2(SHPs|i`Ib0t$)DCbV-WdK>eVy;Y6F2UBk=kfm3`p-YR$w{~A9*CS@oLCpYodGP?uc`*BzXgpGqP{ayuP6RCCzO? z?&q=U%t^^*7q0ocEFF6cJg*6#Q?F3SG0R)2%NAWiT`ml>lrp^f(KYCha&&IT z@`N|RtWYZEg%88GTXfzBv|id>XA=tg%ExX?>wN#~u=UqtTcbku$GGI({IQhSmqUL^ zTBz&DJi|mtj{B7I;>+2#vEs*%7{yL=qhH%x^ybI;M?aTe zx);zp-n$6>alpC6`s9Jjh(R-9RuHFmC1Vt2^?73_aAqk>)Tgkr|Q!$(3P zHlbmJ;19abrA6A!gI+&-GV!b9nf1`_o7o?4x46?UtHYm_6b8qXSEG8b)n?B)-+KG* z%iwk1;)`(2fW>%5+Ntd#M_>4dZh0e!=<=EG*J}GhJiwNg&?5IVE=vz5**beIi+e%r z*pl;uSoiu8Y;j*nFFxd0!%b{!pS^@u%VRF)@UngK#hTbEImTk&O9fn(HGPQb5tQ+{ z-6hrWLYV~LfRQtL)h8oU`x4R@yL@Yf;iJ`?MGw&AS&HrlRO8V>F@q1)7KYRtD; zYxvK~Cx*JD3o>qX=FI2PH<}HQ$FuDP#wNOwSC29o zP@fihomi;So{-#EHfCB#n~<2V@y0!LtH}pbb-RQ&c`}z%oLU=)i zE%a%Tiio5vRlIqycz%~)Hw_a_w|w4_r))<{B;w6uTjHOWi@3OPsl>X&PB}fho_4 z`k4QM!c{oEq}c$=i_X|zeR*uiuHUd6(~M&M43Sl~Jz{k*+x3;Li9Xoww`_6c&W7kh}!0aMJn+rX+T+P%% z^7D37?RdE}lDUkS?{GEcxwO_%&a1clhkFb~x5cHrgqzONHlB;v#?5waxyAv1c=oYc z*ENf$Tg^Hzs=s@z`oyl{Q+nTZl%pHd)q=em@e(mpTo(>md0f3iklJ%CEBd^l_KhQ) zd$?u#F2rO$!)7SY@`}3Zgl|U;e1#d_IL%S~Rg)$D=%*VUML~yJ;=OvZRXNm3F7MEt z_TSHPm8d&TAmw}Y#g(HzDD_?6b!#H)!>Bk;?2`s#tEzv*m#%^km--js28zr3dMF#t`1aFHTOE?*%?y&EOz`LFgdgCUstd*s> zR5pgp3*~O!@Y4-^>#wAFQ7+iSkacXEW#y+E+CDf!m&)3DNcQR)Rx<=uspAl$;t zlS&(G+p!5beiPZRaSVjTDA$XG2M?%Dq`f>T9~vAfhpyr)YMAFPc3^BSE5uDmG%;#5 zG4N4Y_T2as$9y|vXW_`{Z+%0>FI>EiFOLwECL2*rNnhvlJ3R)1>;|aZYdf$fQ?0!R zPz@pv8MUbxntEyI+JrlrG(SExN6oVz($(KfqdAlE?vWAfz@?^`lxC4^>G-IhON*bf zy;c@yl20y=3l;J3tZdU;VB0RQr?{9D6>9LaUo*?!A%Dg6_~$qVKZr^9?tp?u&I4~; z%@cQHMXo+F_q2fBWTOdql%#-D5NW$Gc1N#|aMG%XIDKZ=z>90nJ12W=YO--TZSTW) z0&h{?kb)0SZ_kxOrmTArA0a&54Ic;Cmp@^YjaU{!X{qvMBAIOh;|S(+QFn?L#u%`2 zT#v7wBC;HDnG5H+f*jwbW7OnpTho1NZ@E=dl8Z$F{>GUn(bvmPie9-j-OONdMirl} zbz)HSa0Oldncgc~66)uVn3gS{DYvdoP?4jb+q2ioyYfTIqwdSZSWMX+q!HwH~2c`<`#>D>GNNiJ8!EaCse-H zNl@L?!fA!lgx{fy9)B7Ey{%tSAm)Dn^-i!D-*)Osn+wrUFyZy|SJ;V0Tvq&1v!9P; z+2;gJh%n{eu_TW~-wO|aS>ox;*$ZsUFJG}<;(xoXO2YVpLiN3biD~MOjoM=@Ld;Hc zORd_3n>Rh9ULURCs+imvzsux$RUWtcbHkAHV~2bmM_~^uTswc7){Pp}QXPRFX>aZSu9gTc8jqLGYnSeEDYJ~Q)=x1z+=4|EJ+gfvLF~Q@c=Va15 z)!TQgxr7yGw1`rDa|bf8}7*t(em}U(Y{fGr1SUA|u|igER7LUYGXIG2JMR zFDHtXcYk{ENRyyd_6D<~Gx+eqTkoZ47|yeV&1o9nUVh3@zis75z~$m;YWFykn(JGk zS;iF>&y$IKj@)VVU#>0>veEf?;Y52q^{ksyIr&9%ymhy>`bRB2Fsy@ja$1=^`%!$u zzE8xGo+iWdUfb*F-B&ZYyKQY@KXkUdJOK?c?l#$_`SqGiS;U;Tom=-UfpF_g91=QK zm4N9?vsAkJ;*4YZ&Z^YNA04J)zoLG||G3(7=V4E%B$Aa{o+Dp7mPWerXZ|1}tGYS+ zkyzQ=@tVc9Ts_~FLB1DhrVpF$WAFD-KY{kmYjVkau1yHL_~MF^O3X*udWQ-A8)(N~ z(fU(mS3Mao_+m$6>z{PUzj&iLeR%3^33t9YY`^oBrpI>d6WjsX7sKjjvjXu4ZES-% zB7IMN+un16-%0TCu5!#azZ(e)-5zq@=kFZsy;uDGq0ZIvz)EFnSb+C-|D?TbuCGR~ z6wN8vJxIOyqsaGdXjpK;Gpwy&haexKXDWExlMBpTDp&e{>BshmWzrwVWH`1HBm2*p zeV*`knhTF!e$2vEr8TKi=lw+JRecsz=F|KyrLh!7o3^y$O0UN|=h5+z+(G+VgBNoJ z1rW*s%n0M`5w)XI8O)A7DgN;*_Gtl)yEyJT#XgReD7Y`FQO!IpD}2OR!NM!zzVPl# z65sFKJZ^m6mYts~gH`ATix}7Oe(yztCT@w4RN)rxoaJxQDV&LQBb zHTjw>`~xN$Fa7D3lL(gzQq!5)npD0{J;)NLcf}l}?#mp$_P%+HJDxj+hvojYVDN22zV0rFM-Gk2t-`yU4zRkgp)}khI=d84R$$Bx$oN7{OM7?{SJr? zgQl|P54L{9rxrg2;m0Y*70QaAiMV%swhol*HR@}hTCO}kSAAR0(>3!;B68Tm z6R*uNBM13$b$c4*Sj%Xm4Vr3Zuo1y7Jw{tA2g^R6{!L3xs^nAYgPD{U7LO*!TC%EM zWE<%PD$skf4jMN*_drFh{rt`B6kUzO{c&){8A zueYaApK2^jhvRpBhQCOm>lPIzLOl@WQ%EP5FSI$O+PC^{uOvTP>A=)@KYbkZS>o8~ zsvHyd0JH2GV2h7Nm2V@4g@BsQIY% z*LZEbhoL>nCOGVC*xq2ZeBE5ZgM;Zu4WP37wv3d~_8N4xJLe=0We4A2qTgFqn)T4L z@x#-e&$pSA#p8lwCsbVF7t8E_)|G5i?IQ@h%3~USUWJJ2_@Dw!^Ha;)K5k`?kbZpS zWz-j<_)v~%>gh+@uM9Dt&7bQKs=qs&$L2FsZLS!}uQF%bcF0ioqDyNjNdV3;27>hBD&GHO|T$;9DN zE_!AkpJhN2wtiQRnDh1R%B{ov_=m8*!WBJ!=kYeIi^F}o%u}j{tWhq8CdU$#Iy0l> zuOfdfp&VGT@uk;x{d$lx!T-%jiYi!a-!=6ScAek6-A z_lP^)df50rA5Fv+-Yh@wq|E&S$D4}3WzWu5w-pG{fl!&Q%CZN(9o3( zQ<)4*mgUbmWz*|!=-dMu?e$mRUAht|cwpwes`NH5!CU!ZhM`f-)2(;tTv7r<>#n%F z9L$nY9y8JPucVn)zR5N0i61QpTrw=85zWaodVB0DUj&oO7cSfp8pO_hKMSl(Tv~5L zyvbo7P~pFTxpz**KK7F3(LLq+GYr1HKYaEV+oPFy-_XJr>B2m)%d7A^8DfFq;!skpsmZIf+Lk>c{l~Zs?HR5)a!jy9+3@f8v1Y&KC{j3e=yc_qBa_Dk+{PQvVu> zHOS};c~ReY-{{+^fg>t5yHvYV6sEpY5seQWIR9CQQ?e%i)17Gd)9B@Cx3I)7bclJ? zU-GnsKJjFo{nd>wR8C*)(bW$Jaf#P*rGr?N<-1hbjm8N~x?gRgyyMS)XV4t*-VPt$ z-Mlzyn8~&zw@nhooc+=Fl}=;I5jjt0Lf($6^={s!qOjyTi`lbtoV{Ty^NXh8R9i%T z(cArZp!rjB@jK8Ur2i6Vh^C6AR#-Y9(5z53$^MM!K;QPTl5#z{J@pU9H9Al(y%75H4hhnCCj*4XvnYc`;wdHqiicH7HcPeexF@@?d4gh)fhBg zrJmC?zR+5#u!ViAnu0^{sQI)}ws=wYYrB>+%4q_h3%7nsKPoKHuI1wQ#Xr56TG=jP zpP%gO32592j*`n7$${H7XBHbD(}hX3e*Dm{{C!`}OY=j=?=&v8hS`KB$KM;`DSE!G z!!IsIO;#f7!TX+D+=YawXKe`c>z}6uJf_Y07Di9ruTT+$$cw{yb+^QX^mJuC*)yvk zUZSj`I^Z_dx@Xpk{s1PSV#50Yjj(tF19K1U!e^VX!lW}t`4_JK=t&YkjcJD@A}(vD z=1_BuMVy5v)Z#A^;-`#jLo zot`$fz;@BB`JwYna*^a$THKr^O1R0v2-)V_e!WRQbmTo`B;o|UEfe(c?rC~@**o(* z7A4Gm=e6zbo;@2e*W9R~YMv;bSJNP*+~9L|`h{>*lUL{7Tj|kGD&mZz5wTHf&DTT_ ziFf?Yo_^^6a>w?q-K|w(i*;9hDqy};@$Pr_iMqQ?y1hR_lh3D53@pgk(Xj7AG>0G? z_zwv2CEbs%%f6`dGknr`SBz!^RPAQ5Cr!ndKm#5w4liTjn~bpKi}ia?9ls_&-=ni* zxk#q|l}C7id*h-Je8ix_<6?;$Pr<(2bXith)xap@QT>vO;-*h&O}g(N{GO?w(n?QzBX?M`V3qbsmOu zHcHDH>Sw{dVEvS|uhdY^L^58HDb-%#qi^1qPT#xf2MTS>Z(jV>qw~Ia3>wIG#=ren zY37ZpZO^F>%1kBA7~9`f2xezdu9IcFDAE#ro{zsf_wxvsxcTX~K~lSBO80GNzrM_6 zgY3&0rn8><^eexQPwU+&%velIhB)VKfjcTZYz+0)jc4z)1Q6)Yw+}i(0 znL&`b40h0G7w+j{>qwScA7twhXK7NZCW&-+87Cs08fCg1vMT3`1h27**JQsSj-EbN zyGyFM_`pli5Mt&v4U04ee8Gvw#zTxxOstz(N6*>q`&B9L87$%ZOTYGP+0wzMB{Vvv6ZiIYY@Kgh-(wDbe1hQQeHMw*i#%iB zK9~gLA$kHU82Ni{#nj95Z7njtJZoJgH2JNFX6EoDEA(5af&pCZE9_jMcI z=j(Z4(j9XS*G8`ts4)?jNl(Wj`4pt_1yN7q`@QB-17ta zGLgFUyPa+=ebKDyIQ8Vo3eCPPk!kc){~boa4B%=mdiBxO|DjHaRHtJISy#}3_+8LJ zD!3)rQ-S3OVA%rrRw1yIVXZ_04qO8sgWtfrVSbmztd+<7Pv7A6;D>w{c;^}iuy6tm zl)3_M`ydrlkP0S%!anfbC&0@|KskVCg}|$T_bmdgz}3KGP{8|ZKw3a8n>3IhN5Oz1 zB0yTO5QLO02kSgQ24u0xe?ie!>v({IMkH8I1Q106&nScENwpckL&@M1&A>~mNWZ~j z3{X-g4YW%G%z?c~;Au~QDo|MfJQE9~?!h+TJW%C_0q+4G<^5CRvDQiQ_u4N|hK>LK zoF^ZFl_hIx1Y5vkQm3H2z*{9Z6@ch#i|gZnH<-A3dPy33dEh*Osl!@T(EqsrgoKR^ z6%ELZY3TppwmuC_uG?EJYe23`L1V}TbL-0*0JQ?|jROW14%9fUrJxarb!81GEc|y_ z0}@z#|7!{wcxB6`474$j6vnTsOV9=q&|tb)kCZU}Q*EFDB&C&riU%;a^w!R#{* zNKg}iM72MVs`dtw)T>G5ARzy&2xO~Q3mgJglhHm9d*BrTcp|xyfmBLC%4VwrN$$0p zicOUZ>(a?4s~Ku?#`*|QWU*FaKu%PfLe`ZU=mKRBziS-8>^Ql~fn1nDI^k=@KuTVd zeh`4-Fn2t}ZMDjQoVQ*}b^mwjTkCfL1kMBKCjsZ__?zQ@lsDi#C{p2=)e;?`#`5>< z77bL%P`r6(eJb4DRPmfOdgow==r7t(!NcJ_*!bJ`O3QpwZ~HEHB0I)4OE0`7Pe;X35|yObSMDz@grB#5ioGQIgJUjI}>-$}{9mQcO^q(D17}rY@M-)WWRZjA5b~Vk_7P`JapM9%z@Ppy@e6+bNOd{ zn_1=z19wN}k33}cMY~#O_3@!O1=y2~o#@gm_FIJcnFJ~am>f#_+&;@SYCT+&QA+qR z_!xfQ>2h_v)Pa+Ix%ddCx0zpLALSDm5mS7Rj`_^_qqP#I5}Zpd56SYWQHdS?Xui<% z2(gvr*5S&s-XBanR_{N=g(q^qCc^rK6HCvRrFMFomx|{% z#qdVoW!+vGKJ%tl;#IJo`GEM|{&$F~Nafh_0oTj3#X1SE-?HBHVVru;II=(V5KOLC zGuh!@z;)@zvF|aC?dsgS11wAid+P~b%I3a3$v&jn6nby|!;=g33h~B%k3EwIhrEhE zpV_iZ6=rQ2cUiyo>5j-0S+kaH-UOWo633p(9zI8qGn87`k`nF#r&5s@(vV~px}V`} zXRK*i=vst{Ef@O;im?KeZ+-ob^9x`%(2v!BuzX522pNMwwIKjminCr>LWvU0<(lo%#qb&M6`+NyycDPlU)Kc7xy%5?Z)g*Vtr~qk|2ya zb*Hw%=?i~WzzOq^)7Lr2V)wf+$$0IS%RO80h|eHRzqLCeT(-URvFW7twSs5YY9yFg z$EQqVgw9KTiWhr*DKGo+=e#KE_4Bb<>~EwG^`Map>w@853iMPJRp&6!_*T5~2%5 zB$?iIi0*tOHGx`2TqQ(Z9I14cPC0g3Iu2Gc8lj|fJX3rS8&Dwod2S*O3)J1ux$73z z(J0V)Imo31JyEt=Nme`bQt@1y{7Vc&=;KXuc1{njqm-~N@IEkihrLR;Ohdzi0^=qqW*Vk4P56lO;dG`Al zUo_TndLV94lc|1{->~j(z~ZhI55`Wx8^>y`j4af*bOmy8T@hur-+!68D;jPgxyvyX z*~YfF{45Q3rWr(i57Ca{;>8gyX9>rp=(nw6js|Lvc354s>d9+;@Gy<<+&0r1vyzX7 zO{I6{Xe}*Lr4K%*H8Qa%E4arz)34;yepg$OV9Z|XehrUR?I=|(ee>eNV|~6{?V7Qg zd-M{=J^-6QX{kIP3iO$HMIB;yX+baHchEEM-Sw_oETtlZ|H09oBQt&cd_P_COW}g1 z;isD35_DPLYney#Ulo&1^)P&gQ};C{Dr|io&v?Z8l2~bUadgCU36)PkipVki+_vu{ zWd}Lhn~fM^newe7m8S)-wNz7I+bW)yAZVF^D1XWjRA@dwtgTY(zDG4ZBvtWqOR4*9 zu}FJD;?DG~$MSAd6MeHH@Kz5Xo(gWTgq=-mBJtoX z?RS$nX}%yQX<-_J{cL{Cd_U4zp7$#3rfa@$>&_k~C5IXBq)Nu5UwTx~S)(obK-1KP zF?FHZNv_=Xhb7mjmh91iErBQXzhfBgzTLvreADDWkpGy&&MouB-=`-O6L@NyI=+Y0 zTZAkO?vyln6?GS%+Nbu5W`0NV+)1<1&fW5i3rc$yLLAFNPgsTD(^M(3fSfmzm-;1O zdmx5@Gv4o5SzQowSC(zX`X{r2d(uf*Yuw!Kc*RdXEXr}*%iFHJ+14e(O#b?D;@IrZI?ENl=}XE1K!FzW$)wfpp^J~iOS*4- z+gZ7E*1;vI2ewc?o~3>5o+=h@|av z7Mu_|S@x_(cK*@+eMD!NTz6#O#QC?G2O_^_4!zIFG-~y8@Kw^irK-A5zQ6mbf@k9` zEPG$Lucd^}JA8mb_s;r{y2`;Whmf3A#B=A~wM#A*jqqrg8d@#QSJj@T@%5F+DAvT7 z5URXT8TPKC)w7m^%-6rlYRpdV#~+xmF8Ru`!{gv<9o<}Ear!Mx&=&f=3xQRhTgJ|H zx+ITPqJjsKU%O0v>HREfo0zpcN6UrN?y{dC#COEgS)KKw7r_rrSJUVY&v0&es_&{9 z-W<@v=TCFcRAIzNAXd!OB825cCHDa}_Ho=+$yP6~GU&y@8&}_rN=Tg`Om{h#6ehbM z&m4}L>(HXV&UL1*p8c3zB>q$#!|CQvqiQ@HUm-2e`UHBYa&nlLCnYaw9hS_tgTCmt z+wH9Tk%LiPD1zzLSsLv#9*C|F=Z+_9=+a$?z7$yW@gq~4G`fy`2$fwkUwdik@*D0- zHoPG{;@PVc?Y`K>6~`LyTF1`ww|vj|yP;&%KFu4I)!z-h=fBdi^LmZjjX=>44AihcesTiwDm>7v5s~pt;Rl`|>AF)U!@}w#*Sw2GdeD zxf~?F@Fi2nll#4G;$)w1Urat}(iWqA*vEP_%d=l}L>q1`PwVmqQhroQI6t2~BPg%Q zp?1G-LyV34@viY`nQ-2n1@toyv_3IhG%g>XI3fEL?c+sXK^P3=ym0k-`^B*Xb1LPo zx{tUJ2HMK7+p_UpCm-Y1VIBj|-UbJG;vFIx_AwxbZ zS=n>LRrQM}nxiv?_wv2HG`QQsy9$R3+#j(2&fK)f(m^$@Pd(cT>y1tv2!+&XCP5wM ziTCVPpLOJo_6**wZL7|`6Z#&`m8UT(@17+!Ui6j6c28`5Uf#5xvC(1stzQQG4<8WZ zAv}8J@{sehaMb`Z*hs(+&0eQxMo&^L;yau<)&ILcOAh%^r^Gx%8O}{w}K5JI9O; zHn2*3{BBEMVqcWLp@w|Dq0!4=HW`p<9oO75S(C}IBnjB}lo z%dte0uQd|C*zC5H7Uk~y?@0US@rSjw9U7=R|KB5RqiLJ(D-;V5X^W|4a<%2YyO}0A z%C-yMePTEm^`b=|i7z{Qgh2(Xq4 zxYPXC`iOEnV{mzUQIgxKg-#0WVMN#zO{PL0#U66c2)QfLUuxC! z3~h2}&|iFO)T3!3cDMA;QNfE@l}GRIt=DebKmsmmObk1Y%}sD&8tg$3fiKd)s0$@JdHQ^kTsPK5lVeycU|_fLBZwr zSDMrYTa7pi_GCsO_8d2|KOGalf?HtNU99<0&Fq{07lCDKf|F45iU{9>y5mw*{!@YN zpYQFBXz`vL4%NbDnBk&}N;tN>;tna4SWq(cKQx`{S|2tx!S!k;Zm45^zQ4~6dCw@& zgGMm(tSH|BnSiVUAr{6RRyd*7U(3-WBT~CwWLt&fdEP&L@TlE{mT#o)Q2}wbKxb?wzSk1U;MPFwZHGBM%`Po8D?&+^m;NQIdD68&jX^r z`1R}CZtRQ@p}EE{i?r=~Rl)m&r>`J{rDWShk?(IDdl}NJ3NDMBEAAUHn7y#iMRG=i zC+K;{2UY#UeR8kM9S3tQJlRoRZ=WskEtU@cIO)LKrpomF@M#RMkWhSCzDuR!nPtzR z=I^@ak{@%&JeaV5bS15^h%-l|zxC?C`H%X3@@iMAZ71ZClHM&bek3JR!z@Y58#OJe2KP7q?NGOZe5d z-)CKz%j_T7Wu0?*MD#Q&kTdn;;h1@op<=!B;w1$E&ZpZyVMN4^M0>Xiubig;CZicZ&M_&^jU5cKUnZUJaTfDJ+53tRC{>oIy82 z`Dqu@W7Nv`jMvU_4)O0vZR7~rX`R`U^DakO^dJ{KFZ;Bm^VnOp$?E-+Nw#R|3h_G0 z*n+sr+IwHWtdV;EP>&|WX3+tAF85nTs1^1yWB8H1@A(WRAKEi<-YAoB(7~qH?}MI% z(Dd4e(Hn0qcBANs!=)24LiYO=kr(qb($O_e{be^8Sp2xb22xoU&{^QSZuwi9zX zSJK{KA>327b}qCpB6PQlw?v%CJ;PJ-0^VQKXEeRd;k}k*X^<72O4GYrN=ehIM{X>+ z>P-7gC+?L|I<$M2%B?wvrza^UhQ8@n5I$CVf%GE=5aiLS#OxrRPSf!d zJSVMp3sAABIVMC!pQ2uRW0q|-Huc@*yO};A-Rj!Rb?87GO{Jsrpp?WrU9qzrnm4eI zTm2?Q9FuX1VJ%gfv2q=fyWP9ey3Oj$I*>E-w|s>?x0}KfPiGKy)K7KY;+Fq$QJ47FgD$)(yJ(xw5E3$yb?Fgz@g_Rte&p+ zzU@ca#!iHi%ql3Le=mgy4^1A z&xVGdXS@C!kUQqqL4Q>9S*zoo`vy7u_5KH(YV99-w(8ukFxv5J-}$0mh7?|<6GPvr zoZkAj*PW#1Al7E2?Cw+SUEwi!A9?Tf9yQ%ZJFaH5ifBo788wIe5Fo5%&Cj@v2mY8G z@5dINx^+F@*F1$jy2v~Ir)0wx#GMSU|Bjn~9`;&`n@BY9*x&!UCwj{C6%aR>PV}1E z%~2D@(yPvnSgPrE*SsjtQrAJe_^No;B}P)HW-qI3_VfBr=3A~`4}6%&b7V^}wl>(G z3KEtjQJ>)mZ!0}1*_(1`@tM~{jW*|)+vragZ?)NeWPdlJjf|9{{UMy&nyTBDxgv&4 zdSxy2%VK-*3p;4?b}z4@CS&oNa&cK2XV1tGEI#)W6`sV5nzmikXOpd`e>AnDs#WV~ zR(a9nCB<)^hOKbt{l6Z@h^7*szOhM5ldOCYN$W#%ge~>}ZI_LUu(THqbpJ0}DefXP z|C6f&#ZN5E4u4Wg=TX_NaWEHK@40Zb;cCp4y{F!0@2A<7wf};~R7>#ZTS{zO&%F7G z7tdv!?|tG?@xjD;Xu7fdEB4b6zhQV^WrhfWMN_P9x^FB;(?amMPaFL> zk^bzd`c2_E>ibSsoaA}G%$?Dz(=@4c!Qk?YfmHG%$xvK+X_4kfGZvZ1r^&tU7rxd; z-?Omcsp+a=QBC96Pj~1R)M9rOEI-DN3A(+$^vy!vNi{(}0e8{(tq~PI{n2Fy=}OTr z>z@SKDyG8e($aoFEd;FjQ!h35nzjf^w7wBlnQ@C}u!~))74|PX;iA+Xy;m7KbNk83 zqC}k0F~hL^r{AZ~yLgJ8_r2$VD?j{@Ux5K}{cMZXeu5$Kdb1bX|Hs@rMTrsxYno-- zwr$%!r)=A>LJq*|O6z9gQ+nOJ z?ZXRZzrjk?yyCnyf+eL@Yod@X7wKHJLt6&W8voH^o-t{-U$;Nj3SeVdV&EHs{5orKJxnN*#4}ES$kFH7A1G}Ds2+qZH)Va>%wcg*> z+@qZ$l2R7@Dium#wcc#~LudYg(XzZ{@8e+j^czUSRD}4P)-a~{} zTD(`n3x`pNB!AJ60=E2QgyA_s@GRo2DtIhSY;pgg`UB%NkAc^m?KeOpTq8)KyO@~3 ztAMm7l-&5hqxcTq_Fr#j6;4HWXIBvvhf;(u*OVPQY|pSnSr@9}s!_EZ@B_&fa}EFp z8Y^7yZqGbi68Ki)zYws`&);Jko(Kv4Ue<2QqCC>5EF#Wr92^vk${W(IB`7(fL=j+00>vF_D|c0i{Ixf_4AFess2I8%KxXlCSdmLtFdsloB^a8LQ$2`4%#U zGleSWSQ4JFD1EDmFv_DdCRGEHA^xnP$sCUdr!#@r4hAH*eA zB#t>~MB50Kj@2O4DU1&-4r@Y7W%lzzmI4OR>ANlPZg%<)Qd&yxOb|$Rhz$b6TgnNS zFz8hpDC?`;bO;(gP@VcQ9S|Yp-*1%A5~^&_c!#)?$JC|PqwzjD4t#e+EP^kASe+Sv zN-oOyuw0R%3(&^ASMUkKV81;GIw@WB+HGB5k=K;7I?-oXz)xEy zI=xGFkkepXWQHSl0kZNa2raZXbu1V;u^C`R@#zLno|*LP%{s^5UxU1Mo|(;+nKIqq z7-#ZT)tqs8sw|)<-DmvJ{grv35$(a@}+~D85aK5ww3acM-{q_cGl6gwd{?!2$?ia)47LHaTQ++gF#fpUOOAX1Wz6kp;^mU)+J% zH?!1PWOto-9&@e_LPe?gHBg#n2^BEhnP;l5U?y=8mvOi*l_foK=nkzFjhD(Fd~Tvt z$d6k93Y?L32vX9ItihYM^N~yYKL7!YIxTT)y~ANA!h$Unku0@~b!jNu^ll#ErBbjc zMUqlpR3w50FbI>?B%YzB7HSkEm}3K`_B>>A6t5fniwh9V>+2Kp!Jp#}daudo`CGg_ zy^t{3mM!=RZEMYcqRI>9`wy*V>b<3To)#do2Bi1?STked1AyVK8@Ky)+UvF0jglS1 zNx!@M>FJ5jqG)qOX_oyx2d+oprgLV*X*1(q&wiXKt!~^m?VPNpx}5F2P9saEdN7b5 zcV8Fx^}+3*Ddj|>dl6d9=s<}~mGa)zGSwoOa}%1P<&Pq=kMZgs7XFpiR>K;=npN;x zx_f~87HEK@c>sk**d4#^N7|%#aHd<SpeQraN1m4CqKMeJS(69 zJ#Gx(jy`WYgvfxHKFC{fJ3LRwYClaqB$O;^sVFL=J z@DPPOnoSA-6O@2w45%IEaKqVk8n= z3ZaRF?uDplVqkIdM*I#ioDo`rWO3r7(21cA@-V3RduB-K5#w`Mji^_mA#>WN`j0H` zpjkquvnwEAeaX9`5X2IY5fD^Dym=7}604H0p?1M`Q4F&Gq)3q7L;x8BQ$=V9R1h-4 zx(2HTfd>x;r3X6()se!CMDK}2W9KEA;{6sy*M-)_-y@yUSY+MhisNuczYjqi2-T&p z3E<;jV);pR$##f)@@pxo6B(qqLNEcE2_>oZ{3M4E{a z4)m&xtWI$Zy{6x7Mp_;llCdhLHl;w7o>{?mq;!RNMSJB4@3-3Tb3)0Hp8!u&PHWTkJ0DB6I$T3t zW4yq)ri&j!{!`EatqFP2+y=27&NRd`%QoHC<1_dUdn3W$>$ofWr1Hl9A^73?DGJIG zjSQMMh#K;am_VDMv8E}YO-kNM2B)b}?{4g`+^?dlVpEIK+^Q3+;;mw?x~OVV&#Ch^ z8fbuQiQ916z-eqVhu&~sH(6ub@YeI_b6K9=rkj&9Z5g^w+#>eq_GvRO~8qY=Efn%(dC59bjae$gyYzKPf3VkjDAh=K7dA>jVj|e1iMwah*L({C-ABAQGvt3 z$03Y+?se2f)P2^xlmjga&IR3+-t^vxn?!gkznh853_OM!#vjMwseLNPYq)X=Eu~n* zn{cd)o}HS!oQ0oAvr?+1+0~I%8p=$>a`Jc7p_k~&cnx;<_!ZG3uZNR{%i2fVF17sTVcY-Rq~?sv4}_-S+Rb zuNI%jpZT3%ogZC2dx(67>f}16kFs1<$*MOujF*dU+Uux1m!6kSEuPN9SOG1YE8VuL zPQUX|bVGFqcK`Ia_dNC5^0}TEzK<}FR!j>t?aHEt)8w~t=+A=tbc6y zZh~%xZy{_YZ{uv|?@;d4?6U24?TPG7?knzZ92g#496BC;9t9r59w(pRpOl?4opzpy zoz0$Wo*!MyUm;#)UQ=8*-U!@G-u@2e-8tO-+($lOK9oGNJoZ2RecFDue*Sm~ zf5m()edBl=c~^Zu`EdON|4jX&{A&M}{@(nt{`rrowwJ(%52*h#-TWUQrvI%w&c^&( zdT_S+A6_^AA+Y;}1O4Yp#Q)8aGX8Zq`E@z{6(E{BIsP?vQ2bSe{nCUu=tX{YhvqiF zPk#YL|6vBF$;8U~Yuci3WBMPj{Kq8S|G2b&v)2D`S^MAa{jd2eNq>+Ekvssvtj{{f z#@6pTa&c_|3J*1KC5HhXAo#rj>rX&5(H-)#sZgdw^-YZyKD5?m;B8}5{C9K;t-c}X zj3;&G@Zl`&b5VvaX@b8-8jUshSj492I3t7t4|IA5`>grFC*KHy@WHC=z zB6pnAP{Q=TdB9<$XSIGbS+xRtX%d_0L0NqU6PO{Gqjx|v2nM8vWjJF^5Vi_kDie%;aVivQjg{IpCN2sAZ(lm*!91o=)RxmzMJH}m*nvN6$QAq1-O=Z z-5b0GHIF@5Z#q_OHdJjg)4qN#(7u+Ld>S!(=R@+tIYzTOM6)?W&(;pGTq3}7ulnMe z^*#qYt@5RIrHpS28{ZVyyDZhYEZ4dGRokFEWrJ#OLfcY^upukR7(EqZYR?O9>ke+K z_OxcLFUC@r6;g?dO(HP@MO2p)Nk)t-0vSdEGGz3tD-G|i4e!pq?*RY?XyIWZ_-}U0 ze;NX1{SO$y|4()cOFw7?3Lu8>9zGEfQGRHCJ_QvO7#JCw%dbPw|6DhNa+g<^+tbI^ zzg9n$+1?@5BlA@IpPW$;Kn18?E(h2A8nkT^H0_&rt)9Wy5jQ35fcu_6R>qn@R{qv%ROjmzY3;$%kk>}`Dga4C z&?HCHq8rfnX2jF^mw|hY`R4W&qQB8Z!r9K>yA6>M^17>al<0*Tem7bE-Ypk?SgU z6S$4o1?=we=yGa3x1QT1W=X1<-y~oey$n-DX@R^rTpINkJD*LUDnb0l?ARANaqC(*JLQ?0-X)3jaTn zTULf&Mf86@)c@C$_t%C^30V~_htP=303udDH^YueFwsJgFFe#Ylptq3Bx0S2h*ZEz z5j7SB!MJ7F1eBv4#PjF zMoJenY|BCOO1}a))1lneQF#m!JDx|WiG;qVP~hbo9PH`xlGEa67u0V%fz50j?9aL$ zzq5#(PO?f@zKHFt&0@uYW5WZ~{R0#Wn00iF25y~xfV%}qSGz#P3K|OJ_KMmfSC>Ws z8;Y4jBQ`7O#gf~_B4qm}kFaF73NB*B^5OC8F>0x^P<1zhbj`$^Ih2#e7ycWFAXF3r zVxWk~DmMaEyj_miKW?N52!lG@xLtu$i2PInDTsXrK_%otDnI1@NCNrN;rF6)OzQx{5?mMfYCQ`tZ1-gfV}l!RHdQAyqOTSUC(H?}^r-MVN*6|v4- zG|sEncKv;)4418o_8|w>C7g0Tjux>VeEV1_notAQfJR3 zJs)9IS=Ti@E?s}_`U8^psAZ_!n_5#lt-xF-oxJR$iAnZEgng}EU#T)jG0e>n@lzp7~pc-RT_Wn`qIzBMk zHui>GOhKKv*aaig$pBFu;YaHQ%!_!io^Q(7IAL2FjzWZ(d5zDsOsJ{qW`jviYe3mGU9O)@y;77KV@L_|k6?2=-Z1LaM|- z_deL;<2s|FPhVA*vGH?3)XJnVI-uNq*r2G4Aa`Hhb077M}fzo0piw$YTO@$ zVhbJ@=JLW;$dSm`_j4{uOHP8lZ4!IC%ZnZPYiRPm3;V6zY`)`d7syX3J}pvptDV%r zk?^2SYT}*N!Ng|;?k@G(4_J};ekrnabW*kTWE-iCX$IN`q;-NJUu^K+&$#GIn)w&R za}8Eh%xy|9@Cj|Ps9EW;ma&6GVAE1Qd(*84BRJ_+<2YO zpc&BqgCXa!=-G0YhcsuNH^j}+LeM)tAKIO+AjbxG!k?JJx{-7uxIjycZ;XC(pKQa4 zI_LMo&G{*_-5#T zb3YWTRUYMmpEm@Tc$59+FL6?%G)z{R2DwuZ@?!Na2sUtP1$G56nwSLE-EO=}JqykwyzlkHB#I6DwEXtQh9X6*Ce<)Y zXOn_li%PdU99|B%NCTY!A@`T}J+_~449ocL4WDZLF%g&dq@KTJquAGJm}rF6xBsZF zV{e26hVph@)*qeNeA!iQ@!VS*9M?BC+WF<#gtGU;7JPp0`j6Oo24V&f-y&{oyPeP5 zS9`W#q@WcC|D(j5K$0EE!qoym-SAa_2*yRVYXL76dIbReXUM?~Gjuwc=Wd7BT1pjp zd7oR5%cA?f60qkump9s_-I$y)PoRaH!jFaOQoh1v#PkNWu@axD@Ny$q{iC}ZxkI6a z>M+8)X`Y64YNOXN#^s$8%0EM`cF`^2jUQBbbwkheg#KxAPozuLJ_=sj33Q?vq=_T- zP!vmhU09U>kNIeA^HButeTrpHyf<~d4IaA*EO@r9JVRV zyxaoW!&q^7#WHd9EuWj}L_3B>+pM&jZ!GBs)il{hQ>(xe$QIH=pND1$z^+eZQb;hl zR5Yu$R%lPyF8Hj)*Xm@y^b{rM#WS%LWJ>^YPADM&e9#x2W2VpT9D>BK<(fmyEguxf zLU3wGfvGE(x+;u^XOCu|-7mQ-K;w=h2o+h~pfT@u)mD8!MG4+)s6>Wlc9xK?WVlY4 zW+4T(_e5-!g`mgM&m$Qmf?;hB8IDFo9tM7p(^^YFDjPmTy0oRzwqaHb@(U22wjTiw zTCPOZ0mhccS6q}NYIN4D+?f<^9l>zlKQwo^wHD*AWn`3wy{;X1o%4$S?q4CX z5y|0ry^*MG9|!#A7eD!hgzRkQlTXv{qwbrYmyQ>2empd+0wWAc0r3z}@%_=$mAO&_ zBu=UsNa-wwkugqeSQ*E04IUcftSk-F)B!M#rg;dg_670kgkJds#R(`vEY|Lz?&Fv$N2bc9yZf-%#kip{Tnbz zS$KhJ4;FOb??N_+*^%I_D>J5pe1gnCVQ@4(aI=SWF6*1NvDrS)myUrIDkVyf3+zwP zXCxhBcqD=pWH}@Irl?9@LH_Z4<0{@v2vUY!gR8lv)286_GX;|ejRp?(J-K_0qgk}t zw5kM`bQ~BvzDTwgOuySe5$=p&#~f7YwNxHf%RH1sEXYH2~9rt{Ik70gPn+N zXc>|b$ds|U4CQ&5U4EEl6)L_&34lgTJmKwr>z;o08np14D_F%Hok3zBJXWBLl0b=d zJ{T^!{brB>a3Trcuq3dm3iFhKE0s@3}_TyLoU&(V7(! z(?IE@*hU^Tn96C!>wk+1>@^%HC@4vz($o4PHI8pxb#}Rc-`Xt9*i+5Nk^j9yXS?Ak zo_%KXa=TYy#If&%3YlAi__4XX%G1IDJ~4j%JEOc4HIQ(OLZF&jEc9WZZ!&hDpY)L; zs5gQWwLM?6ceM*V5p?i`UqEO!+pkYGO#Z-2Vz~ zI0QUNv9AS!n2jtOzh?6NUJ2|B?OyoCkO3g@wPt%YU#cn9JMxb%f6-$nWGgu7$;C}) zL@;Z3_CFnda_|dm*dQH#Oo#L@tS;}^gT-zeN@5+>hzd(1BI90tgQ8@reA2;n?)nqL z_#_%qr3sX|!(QD!kW{A-5XI2`;_$>L*+^siBAq#aYO=PV!pISH5Q_ZqS6{HMzYc>} zO>TMyXS06P-*pjwQ+lpO2DXVVAwBfHYU_{XzGPK8C2sgCGvITx?=vDl{-6>hjxRaI z8>ZXMV9!(!ar&4%yK}0&@~yz)eQhj|_}j_UV=}305oOX=?fhNAhCTM7{qt!(^FtA%n$k;)8|p2OI1&hHCcV(H{%r72;-ztv1TWOS#qto`?rFa59JyTOcl;c5leTK3a?u`@2Cv=d z7u8;n>8d9EwEKCy>*_!~d%*<7X8yLJ+iG-ij&qls{4%q@MtbNU%CV8(i-aJ%Y-7Yd zDpi6RmEQ%6P9~kl7@H$Dz2fsYvdw_2Qud|Ztgp5UsPSp$-Q$6-4nR~N?rGISPqL=O z_6I5opLpA^obpiYW*xXyM1TfFKmrFUx9A@ad)UUj$$$<*^iSNfLQR85nNR=?PayV) zjM#)euM#?ii5GI=^76ljSi&DM-Z;bFoCfQ{^)Pyw55v2(8t(flGkO}rYncNeL~ub` z=i*cTfT~-gG?z5-wCBU<{us#)>0P>%j@ijYT7 z#`L+8bRdQUh3WHEEF=uh;ZfC6u0BSd&V!RLpIt|qugLL*$r7L%oc5BB%H*mZIou$i zGQtH@_Ai=l?E!r5cyB8br@_iU+^Lqp#WlW8c0lAv!UV-&#`vrqnaQI@>S*g|{FmW= zblaTn&;PDKtZ?)$&3-QV@@4swo62e-jc+ivq*r;6Ne7HlXVk{EU;nT6w&5N&h+5U= z@A;rIK8;4x=%(p>BS+K9Ea6I%5xR77%X+58sl;{%OL}aZeu{K?NXpL(s|5Z>FBzv) z>^b;Jc+X+NctOXrjk4;=4EhMlY(hf`E!oUnHT+>lW$)z4iX1n-rZ@v{`d|Zl;LnRNn==^bTI}?h73+{Hc-ouR7#Fd1V4& zTIvX*YLQd|?KxGXlAI`zjMX6Z+gy$-kInctQi2>#mjBqLDC`JKStY5yRx#h zvaOEPxzyhVod=DO0jB zKIK;<$r`BWTmNE}c!}z_>hbfWM7sGx%}S~6joXBWhMVZ-TWbE3Pg_EaC|w@DbbQX; zwHw=a$n`yZ7*)hR$H2pus*Tk21?p4+yF>*eCW%a==v*wE>{_i2Wt)mZT9RZw1_!gx zs+ed`Bg}*N7?X*S2pR_UmDnS<15;C}>Ou2YYNi9MLka_@>WoMhyWo$~E#3>y_EG1< zbk~dLbV@#6!Qt1vQ6ILWu9^)wt&=pQPS=MFH+L`G^|oS{uy(rctz#VG_?I_v(EJHh z91crMkQU)>aONI`af4gsd^;}7nrjq@D9)iJtgPWJ!R*`&p~jT+ zsDfAI!d1qhv~PeAk`^CjpRKWUVe9p;s`#4H@&TxT`4cYnUB(^g0q=FV`V>{U<0+TR z+$C3(jlXJj&yUiu-!0-~5~&QWWj8~*)_yKgAo-Wh82oBa$@Gt@(RMWeOSZ7bWcNV^ zOaYe?4HCGw7TYw%Oaj#j}@h*0O7C9&oGI^phrzr5!%D4WB$> z$_bWPh30GI)C&y1aCL&G4e36*be;PB zooS%^^}(PR-4gU;t^oqw4P5&RMJhqeDj0M+xTfY`BtVR!!Pr}7?TTp}JQxM6q%P=(gk0}cy$I?5P4u23MwSEV)lNf_M3~o5Ue`}c_raeynOWD;M z8=C%aN{0UpE#&_#8L}|4{-3D3T1wDLN-L;d1Ct%h6fglZ?9I#^59JglASgvozzT#0 z47=psa~6qyaW#=}5c8$#&GL+%k;_sR1&p-qQi26yDW$JbBvfSi!+(~N$msazh{8nL zcm54GyNapKCp{f+H63qzJae1gctwlNfZw?+F_L*1bGpU+;yQs*7cTkM@ z%f_o!6R5$ZH9h~*b z`x9vxb%WV4u-}i>f1Q>uqbTcKEWO7M?ZfFQ_pgqA;PhvVrR~yOs?CI*sOdG+;Sfav zSzojnbgimZM;u?1B!YB7BD_c)E!{c8;O1}W)ri30{iwB0%qOU%>6p|S+PHUArR3ts z@=&+mnY6clGHAm-A~(!;ZzL7WVq;&PC?MGQVXohgk);?1wH7rjbH$Tx*UoYz1N4YE z;beN2+2TGjPkdS2q?r*vEIi^TfZZ#+#dv4ZQ96*5+1xK(Pm!VE-ZY8GKg}M~$pY3X zT};FPaHa(@i)m?-02nFO4j61PmkiNeDmPGMUNH(b&ZN+1*8AZY{D3lRKBbt9gr+Oh zDZ}0)t$iP{|KCL|v6Cg2cv$fK2su?>}a)zPFHXhM1jUb=Q@ua-BW=rH3O z*(S)GX^cCK1_c9DR#{&cbt5o0j3UJ=)CQ7O;**CpcG<|w70oyb_}_-ZP{PT=1N>XD z-v!oh?p0^jR>xlIKgB+x+_i@RA8*xHadJTSDuxQUW0Y(gbj&4YUY(~G8^c6DevuTC z`inlqgOIZtdlr4QaFMyOGx;Ov$;vc!;IZsNDVo7D(_=fF&CubC`OD?pM@g!xRx@js zt6A*sj&(`f)w#->>1E&)Q61$s12>F=bX(_gK@##|ZlKc1Ys*WaFFR}BU%VH0xYw6Y z&#q^QJpXLuOx8aYS8g(`xa@12IOuY}NK;uLPDo3iwk7Lp;+5kiMc6Yk!@aZJnqxt5VJ z4{}(Mi>o-|ypBwK%shr((M*s2G8`#f`?fjK4j95%z2L){cd2~)S&l<1&2Zvpn|H5% zA+XsgJ4%1)lGLCXAi&gIBg7&x04adgDUle9WaD)V#~8jXcNePGcQuQV`0Zq4<1ZkN z;~JhjN4a9>P?Ox*^0k=^n+KPAJ>g%q+-yCpB$UvmNYTUD)=OFpBro?kt5sk208KxELaQtO7KHr?Dbio?oZ-Fs+qU9rpBNDs7 ztw^5O>WLX4plX~K!4l{)=T$DCw`VEjJ;uXZ*CPkV*122Xj8lncayytv-SIkPlJUXp z%ALlO(52nQ(iKLTc98kaE(gk)Z*LjV7_sFv3`-(9;h4s>&YEw$rQH?>B=wCN7X(Zu zEByl{KXGBk;PaJx9=MEkznDwh0pe@$`7iuMv@t4!nG5>M_=f0zsO-{WSiV)oxO--& zU$XCf`FR}QS>K#63tyS64hEC$4cwY2`XR&j2AfOmzg=YXSaL)CRnXMHDMtPY8#(E` zwPJv9h-=pRVCEO znjVqlMq$l{C%FOpNfM28|CkQDz(`wiBx>VK%y(I2c)W8C4K=7O#Wjek0Un1}w+G-` zMyYDY9AbjstWXJOp+RU31IN3!Mgl&fnnC@$bIgSsD10QW=z&18puNb2&nI%_y*Z>^ zzJ-q~+a%X+888s(rYCN@1(YHC@H$`;gC~1Qal96pmbt+-ZEe$Q3RmeWhhA$)AXISR)2i9v6Xo?z@I-6g2DjBm7KJYJQLyt0`p6Wszki`D9|)kEGofiP@zRz@oR(9E$vyySp9;+TvvFWzxykPzWe z!octV9ZV+6t6W-sC(k8Z4MCad<5jbIP8Hv_lD(frZ;D}K(6JY=wq!&W`idcl{D<@@ z&{rSkdo*Y&r%#2>sV}hQ(KEHif>7zc6JH-qh>9--m@q+1xlcAgVY5;HK9|R`lf}6}O%NupOz?M> z+1DQeHSW5fA1`vj61ahou~i=uH6J*U3yIvDHEsPO5`3;1DybWs+a#t%+VU8f?Ndz~ zvMhve-#u(phI-+RaJg*;Z*TjH%EH9WCr#PYG{V4R8O>eWSc|%yEn`{8 ziAuNL`svh>G{)G?rsyk}voC%$OWk~4Ly?I|q^4Kot~ncJtFFm6G~W#s+w|CjdHh1i zfNNHFpWz0hWdE|1b%T)H+}A^6X9qP~Zj?7~toShytM!`OMaamc)J33l<+(h%1R{AI zNVjYPXWg;5P>Mf%LTm`{B2;SFkLI>V?KkbY7;N6akR$c{GM{Z^9&>pRet}cg*5ggq z#t+Tp?frUS$PRxw(QF1+CP|J=7PH8#wSjE219R#5nZC&W@)Dll)!=U z9D+$I${~~6+vbJnxg8y&CW8<|-T0_}~e%&sdz_%Mcl`l2{-{CL4MyWZ5dBg!R*-Sa`+-z@T z#q-Qeo5NE%h6w9T(wVvlOScQ>%>U*WV#*4dD9LS|{4Kd#8DDf9%5!%bjQYIirJ40+ zNb#=;kJmeYQz@3blQ6hS!#KOv?dKaFB_9xKqt6-gky&UA4#M3iU)?HSxR)s%;(a3~ z>!aDkVl~l~Jd!AdneR<5U`*1Jnbx-1tXf_!#lf1d?A*vM<2K31$f{p6O$rYsA3w#c zw&9qWRdpS@n3Q65wBSTkqN={J7vPVA%S{cX0XU&%6;hF2>I`i(zQgl*)>du{fY}(U z*y!_wk`psXs2&Ht%>WGnUIwPv0h1u^YR7hsXtir1^UEi&qzFmOM;L#rhfYy1k%x~C z-~3wkh1Xn+bZSz1nU+S zZXTnM#TALOZ>Dd2EP42`%AQvmFx!b-Xxr4tSf8fM(2Lf0GU5AHvjjFL!m@D8es-7j z@y4xB|HSZso$T?%j`;HPI$%#KGUy3Jl0sUJgpM$P~VVNB@9;BZWVv_6XIfd*Zpk)2DREE7f0v0KA`2i(>Vttr3wF2 z#QQjP1=rF$fN2Rg(#Ii19+G2R#Jd_wKk~PCK)%+HL8jBb`d%oh41oF!&qN>2wKBd> z&Gk@dX@G`lD!nymVYtV!OnB}Zh>mYU&Q`O*ew68~wihjw}gj*~g>LEA2f2y91K)a6or(QcmS`1rV0 zGm~PAnb!@kWwm{D(`hWE1)fvX)l};ba*{{{{*wW@iNz_7dGv(UV&r1c1iFu>svnxg zkETe@@M=j59bUJS8sXA71Mn4B&gp^S+@;Ek<&p)T9Z22!-hj3W|5C_`cupZ0h zV&OVb#r$N;K0s6^5y3iN`UXZ0E)X$jjWUiS!- z6pb=v{*wuK)6%o3B;FX-={r?@DY^S(j)P*Yj3}E_uDSM>{`L`w_dnG7`dyMS7x$2h zF0s zQJ+*6I^hSU03C{o4kqGc2Z#(Qv5EwQR2ynfNEW*qT0gO`0=f?bF{*|-WbHIq6uMl5 z0v*mgQq{CQ8-qzcyYOZ>o%xZqgWq$r`LT8L(s8n%+JqW>(7KQhs*fly%^wwCC|Pfe z>5yaQ)=px0IXpl!IF(R2RoyH{fsplT{bJh?HiqHSIR*zO7f6nuq19!G%Rr4|+r^+; zJ*qg)Mq(PlCwYrD1(SNfZVkhaJ>ts6LHhrlaCw;GS$I zFXpdR7E4XK7B+uIBrlsIZB@jW})zCTCa75lgM}u7bM`jS|O3UY(&xZa?V4BQuG{=p#(`V!It<>9p?ira|x5M_; z-1A{D=knrm$4l!v_VCt!o})fG>QRh5Td{e8x#T6`nd6Vcw5z_>IB2$z=AOJN{t9qH z09gtXw>mzX^dTh|H>tz;Q`xp+$EMKMcI$};hnwe7-A2LG!tlb9V|Gd3gRg3TDeR6z zti4gv_}-^4{^A?#->1bZR})NYf3<#zF-sxj5E8=4qmLWkYkB*g7CJ`z z?Q_YLtDX?N-U{dO!m*?io@!j#ifKLN9qqb_byPjz z8O>30(nS>M<-$c!NN5#jMzb+gfgzU6oRx>^LsAbkRYV2rl1#{TWwj&s&F;#_3yz5x zy!2^=&db-$$3tR$D^8u=FnUag4OKY+RF9wN%1RU`Et3W zfh9mG81z{wth-)$C_n^~+;hTO&`n?PXBg6HUKs*k$SoQ>O*-|hhmtJ%YU9T8c3ZaQFd{HF#m^?XCpqovB?9$P#M8Bq-o-h@E z7`4ih>WZTLdvfLwo@|-5Fc$L8CakHMQ9ZNV<@Y&JjpLP_mpduirK;*GAh5*-AGbI* zHB4F$pq{%KRO4l2~j6iQRsYXDxzXwKs9J;Y{zQ$q(M_983n26 z13m>GSz%yiJ$Wj-i^!~9^)d||v(muw>KE5kLrRyS+1`>G$gqUO#$f;NO}C3${d{UE zx?yVtbl{j8Y~iyF8@)YmBB_-FERw|CKpvKb4KD5@yxP|^_U zdpMLP`~(Kwg4jiVem?Ak)fOvOe{J5sD-FMtWm#&|bEvT61X%F)AbNUwgf?1e;ltn} z<6XkN6=iB~gVj(+l`Us+Ky_21Ye-$dG#?>vSw*Gw;dJ228Gr69= zz*rN$T%F#qFlzK}p_RhMk{7DAO|_?Qnh?Ab8^UB)#D71f4*mRN6?{QbVwqnVJf=Xt z_KgI3wbE*47G-uH8&Hj^x~mI=&1=s1<|z*?sV9Yj|BZlKg<~B!rObOkhf;Yp<&~Ff zs2X2vzh8(F{D3Xjf@E{B$L6ggXxmAI5-u)#RRqtHV;c?C?;B~?Pc9euY0k@PQLbsb zh(S1S7P^f|#}m1EF4ZBvrwR{jv3k`Ws9Xw1Kpqi8o1Fvh%Rr0c2f2jXMyOldMm&bv z@dFZp4*-ID)Ov$1R)ALdkz-iADm+Ztet_71%FXD(MKQ6kuBN-nB9TFaeG}Oo-%HPY zV0Cm`HgRZtWJd4wlox5*-AtBkdz2WSh)WFBnZxMjEMx*7t?agq<=Fr{^A_Eg+5VW% z)g3N-Km>CDzJhj%(~Y{dbLS(T9LuEIl{PiDN4Ghq<=#WfQ`C!lPPFe^lM~{&o8yoD z`QvSN`7_jez2D8_f7?5=5;$e-v%~+B*R@`d-r8V?kdSwx*UmK@FJfBRug<%qv4z({ z<=i5wuB`>SxSronM}Y_o6s$TM4*kLs5<@H=`LmJA>4qInB4&Wnv?w_ZYHNANU)`lTi)B#IKBSeE&0lJ51+X&^zQ) zn>p%^Qj$vylM+scdSx7cduQA!ECAg+PE1pwO1ZqgUAm*9)GMXXPGs2+!aT2<;#)Y0 za4m6A0ei4kF*Cr{!j%Dzk!C#qB#Lwxswi(&q`Rms&HV0hoB01C?Y-lveE<0I z5;6`6ag20qvJQu1W$&3iBgfukWo7RzqL95u*<|m%WhHxrkU~P~cdXFo{eFMH-^b5i z&Usw-^}Jr|dA+XJeeV0^EtBNAMpDDtys4Ms~mtrCGZ`}4&7e(^_~W?76FG8 z5pS;<7sZ@2&fo$6)AaI;w%nhDftyp*=-fHqc5N-*<_)SPWzYPI)2<=g!4S@tW9bo7 zY_Kbb_ZkY1Cf0^s_hsmC*cKgq+@;+ z)tk;*7(;x;Cnr{bv)X_}xK46A`Y!SJ$1xN~b(j%Z*(=SShSP<{W~ zZJt@W5F9HO1@%?rlCZ&-wc^k2yg_;zVZ}4n?7F%-MZ@i$_(@|`vAXY$gS@7Grm_i) z8^SZR%e#!U*67?I&@?pud@_UAg@(E$pbxT5@JK^DS=Bql!cfCj(?;{hD0w0;bMd;p zIbl@f>oit^G#i!NF*6QrDIrQ`H7N!6Z?3oEU7s_8ZQEE!tl|T3oQ#5q-&65MqhloM zxeX6GS7ERgS26384_tGK%`%}PT;V7G}L2p^FcMk*=Ir(ZdMKYje6uS z+J0;9G1TXG;v(u%_47|#)uY(WNS%X4pIcv~$A4K2v$rdr@?Oqe0F34fX5<9qm??L{ zOpBlKfAf8L+W`}n`wU^zt#=9|G##|VD`#$0VjB|8OUGefMCiLvV8fMv^S2xDRwL{F z7j!WhM*x>%{8MweTL1RsS^X!}%Eezdo~;;}M*GI3nb2UW!wABL2h+D`#n()&24|(# z`^)gubAI`9mJM0sxsx@$vwrvmIBynJ8b&Mru%Qxq>rT@c%@aUn?ay=s%Sr^Vr%Bbe zwbt^3U1Ll5^3`a;1<*I$UZECuOFXB5#h#kfB;NsS9Z5PEPt0Eu&b6z3Kzk&4Mk|n$Q`5>K)(x zt-A-+rI96H%L$1TN!zHuI`d|jyM$st!@y5QkS=4}by?%ccpVGH;)2EmPT_T%@zgG$ z4EyJBKUK)SJ&|6x`&+7h<-tLEyUWk5t>+ABM&Tj07UX_qugoPtYn2*D1Mh-+UjJUx zZ2bCDs+#xPKo{ViKDB{Jh7rxvbh7=zw$8a=(igQx(K>P?&B-6RefahwH~P9CE)N9GM1v6KZ8?M#B0T92~~3 z=EsRGSok)R-}|#)sY3af_GUH*!jad&x2+41nbtJy$%}h}nvP%nhlD|h*gE7CnESe2|D$QUnR?>iDA;SIj zao1xV25Ap<7z%+V?4;jq3` znc^~YEF4_o9;Ws_cLqOW_AwTbiG7Z6JE`@U!Enh#td?tO=_qkYzG^Jk^CNF{BNksP zo5d0u+bXa`<;(W>EU~Q63ppu>NUEn|t0aHKer94S5mfA#w`^u=`jYEw0T=IJRSEVN z;r5zM)d!W=EOYzGoCnPB3*q?;qXGc)Gepv#I`*FKK9n>vEN?64%AM zCRBB9L@+dU*tv8IQ5ml;*D{jODYa8-AYt{Bpw62_D!$)Yb4*W4lpxCmuRedCH0{r1 zVUj3|H;6PB9yK&=Z|n|KeGkSleC+?&(_9W$*{j?eE>WM|rPRF(+km4zptr z&8jFZRSRPu{6bCBBMW^V7TQkrp2P>9Yk!?>>0D%^adW=rCMnuPR+D?LkW~&WHYyj9 zvS5<;wp3n}guB%}dQ8TIHD6RlTkqcE$nc*2{`ssv&GxtId8X0oF6q!Zou}S}?Halq z1>?)M&-*b#Cb-sfHlH;S-QAqU)VxD8JGlpA_R+3J(xfd6x9k}Bgs8y*A(n_3;}+8) zMA{Rh{`&1mmmcWiukp6w9X2h$z_bs4mcLigvIax$5Q`as*z0m}5ek^JvGMpU1$%t= z1kWo<4NAnSCOV;9?{0(_?FKIWDoI7Jzk>LDpZX%b(4rhst|=A>87b6!+0nsJBO0;+ zm7*IjUPn~+n~3n8qHVadeXlPMDLZEe&HL-Ju4drpKcjuH;)phHA(y4Hli%de%?dOvTHL9<$ee4uQ6V~y+0 z_Tz(+;prc0B3hc$YFp9(h}@nDig$MmrHTa#e0VKGeYtXgXMBE=0E) z*THLl25NYHflFG+F;xLq+rTE(B-zLvSXNp*737O@w#9 zMjno%ghpVa_*9|n70Ik=Xl?27c_d{`cN5Vv*htY}yy?)`YoVt)Su8zG&bZzsjwEX_vQMigS!_621}*5d93Ic?V*_HL z=MIsRmDAMqNJp+gdrhdr8HHYd81}XBQ6GCW+Gk~ize-T@;0D=f09%o65j19%%%Us* zIk4+tg*^hEW9;=CxKkc_7FFBt3$SmdVPv2N8cgH12bJ|C^mYrS8_V+!^;ue}fPGbf z8B>jR?^&o~x!=nRW8Zm;^;N`NnOD!SLkRe|%pqPzFNv$7CEaetQvMS`%d6T%q|&%D zhi{A;Q^7XH{hKB!MoHhwF?`ke*VyO@(mkAAi(C_ZCT@-2JI-z}2w>9US-Q-QfMt5Ko?rAPudmn$g+u`^v#wI3uoQE)**0;Yv6TncM7dKOL zz4wcXIMu)E3pz+^6n~Tg-XmG*_O8E1^2L+=$gb~^hV=UnHkhO~68aAG?sLzBXYYbP z=WYaTKeCaeRj)ys(}$QiK3JylZ7&l0%H=N*i{733YemMf3^a<{7fYQxywI5e>tI}+ zzQ#u~deaD_=O$l;T3IV4Vhoa6+J3ORxLg*u0L<5>AXQwsN%@6|DWTI2#{rp@Emc;s z+GD_r2zpXoY;wxmKRLPU?;<7WC4Ho$K%e;ZyI4`wX7bVtHyH zAwdaUZZz79M>xwQ#sxj0n=OHuxx19a%%94=2#mkda!C1p6uw^F zdB~l%P6Uetx3bzuzrpR>Fj4u;51jE<9O(3}9;~fM zkv+fZ#*iB%5XFA@Y}@&Y{T5<#4y*JSY9)N<&nIPA887`wkL9%`OEdLz)YQQ~^sED> zdy~}(9u~&6qq%0L#k{5@O`oKnCO6pjQ*uzUFfcLrs^JI7&Eg#*y|bC^rS7AoeE{t5 zVez;gvxlX#UscGb+YAc8o9jx=-YGBOz5ay22f0OhDT9ozD<#6bVrU zLoPmuSY9`2w}`lVR!Oty2fkc_%D(10K!(_pyo^E97aA1Lg>!_5UK&$!5+#{4{njtX zH{>Z3a`FYER&O$9 z#jpP^qCYZIw^iw0-;u1&vcOFM8eHco31LJ?Z1CRyu5OKg(C`$$771VQNEN-ot~d^} zwSLn-3ba|>-+${M;M)FF_Oq4iof4j5VvWwOXO56BAb-DckFtrfwTMX)O>&1) zSV~!}AN0Grt}GZ-4BHQ~Nb#={p)lGmVNA-%y1T94Ryxs|>i#{Uy3z7!c)jEo$H5z##_RhsuSUec%z{Vd4bVxW|XU< zjnh4{@O8WXJz3!cnIr+qaIQRos1a_AMc_KQaXG#1@H*Xu%dS|@n>d4R>?ywb`stY{ z>Mvv4yf>7}%%YG#_w+uoQizFp`Po!?Rek(WWD@>{^dcIrTZt@+hg+CQ1tVz(3uF$? zhON2lw+5aeMQouz__5pJ8=3v?M`8B!5%&rik|^t?4V{;px7ih4Av+(k_ftYCIwf^o?m7K0Z=ZhAixv{| z*{O@}FrsVH`DLRX@lzKCuLG)SEB!8d^M~~_C5a=NgDL_&u->;?a9nSm_8#t`{~iAa z?Qi53_mUDACX$Dc1D6|@0}39`_s*<+$d{I)<3}|kqZ}l>qh{is9g@TDI=pZ zYtOZp`t>P?R4_;u$meVItSX9@J+lLa^NEmnWC|(S!osbG_9V**1xh>7DK{Wr-WYbh zm;@Z9-Dg3t0LL2hgp!yvAP10tmv2Wguv-P%Tac1hykLusrRxYZ5H`H6A|h_G@DAg* zeDV(k-OLUJ8#Uvqv2PElZB&>Z?O7HercC%yQhCi?i#pRSC2 zN4r>`OSnvltCtG(4^Y<;H+dF`R|3S-}&{bsIdxwKG|b6f92th zWN=aIENl1vvh15E{Q5w~LKRpbNPh~|5O&)+-LT`NYHpt%6Y zR_y%g5ra)R+1;cc^T}v7zNwyHs+@0Gf72nBvKT2eE%Q@sFG8RnZ7v@fqgk6c;GvQ< zCBRy|5s32)JQz!{h$3YG8??YH7U}10t9@Q$Ej5Lval375L2XRi?aL=gH4oKTW3~?p zrNBEGF?ccS?{PU--Zw6XS2oW4)^UHR?bt(5b}vnGD{ic?tL;ThMYMRJlzoSTViHQ@ zAO%X>ntsJj8vCw~-9g5Qmha`LBGp6~@_O#wpaE zBciWA50%jzy{+(?rfv*pjebjA8CmV>7|vHQF|S^UO6cXS)N#|7Hvv-A zL>&@I?!EhX80z!UbSqoNRIf;V`lWgm$KGqG;{A1Q>o3=Gz@MPO@dxSg2OPng&XGtc z;D+Yi#-JN)hl@5KE$Q`z0g0M%-EjeC)|w8;56s}Khm=hXw5iQ{+ik6B zNfY6Q*fe)uH>b1S(`+M;$4MZ@-(GmTwu6wJC~vlAGFGda|=xV4stKV)%f*1Lpt^}UZv z$xcYi{j~DAuBr1)X?07CQd1j;!S@p^oLuhyp(@%sqOuP)VEW#{kwj#qv-8W+ipqwTHstqd zQ?uVj#3o9}$y+^N5`kiDyvdZQc;jm1V+U4 z4UJPVFvzKCOis-^d-}C?4fusdF|x4@k4`Qwt)%A`LAbe-7^OzWr%1`ky#m8+o!x61 z+LIWiYaN1iw|8>hmRHrc-XkT2nV63k*C#Q_R9X3e+1W#*<6;xjM+As@j!o`SRhHh1-S2bwby@>nEp`p1v#DgTt1tD9u1wD73G1aW$<8$OWbD5%7Z0+GSjt4Xj;OSm{iry=Lg2%F3lV-3#kdcdWfH^g`PDAtwk}3}PW{E}ui8Jk zy3fw_^c-$-+wyMnKzulC7CR!z#lna=ul$2?(1y-Lw%a7tgUD3>O(qk&u54kFYr}Du zdnR;yhj^~cc5IV}W@Eb6g$dp7*&)tT=3R}U>$T6dX1IZS1YClqpFT@;(rh>{$Vtvm zH-j3(e&uwUh*NHGKDTTpzMJABHrJar^V<`>2}|&L9_yH9x%AL&Q9QYEv5rYTL@)=< z117<=2tq;6>)zf?+hh;W4)-K(a{-BQ6Y*=mk?-7`Z;yOgG4q6ygsXhtdWSma<^X+V z7;%M3Vwg0%Cmi9)KoNg0G-N3n3ND&}JY)HBpSeO2+-Vy*ES14DP~+CH4?BGd{PFR- zDbXGxq`UB6)2`@!p`xOsROh5?arX<0;PR}MHvIWhS>uKmH9{wA$N*0x~yJ`&+;2~`Y+0KkiA&4Bye1-)u3 z@>MphJR+4Q$jYwHB|g|zD@COM>7UU~&xsAl0u1I?nzh7nS$Gd9sb=Pc0!3*O7{o@E z1w8Nfdgm@S8l-$$BMdbi>9&)Nt;JMUDTnIG)DGEe4-b0y%?YL>JT_cm{-p{@uHbvgzhfB_B~zB!4evfFmx7r_|7>CHDL~itt^3_myI(Ks&+6ES-GO zKu&O%a#d9+kIZU1vxkp=Y=}(xf-ZIXWpBt zZQ!l-cxovWIvm_gF7hk!XV0iS7t}JL838SzL{RtvkUph>1-ZA*j|*}?JV7TjfDZkA zQs2WgJe|fWX8rCP)proA90oKuJA`B@Yk72|bzS6U8+C3Yv2dcqw2T9Dm!704Dg+nM zi;LmeUdN*+@;1exG0OcKmP@jmY{CU;edsAly2`V2Q*cPig%t3nq~jS`9wB{T3bQ_D z=Rz?we%%1p*4v+kt>2i-EZx!UyhIRlxJz$1*!>Z3FB2p$ez-$ps&HQbm|rC*=c!&u z(fo5T!_7&_cJaMA6ME(+B8dnjo15u`35(P^<_Ln$E04CmWc0=B;;rm{ zEKVU0C-$y83ut)Md3tI#cFFoBYUJ8fDw{I_{BeP)LXFRvKR);Wh~5$EP{MC}n^v73 zch^hlfnJA^h1aY^k+g+DPdsRq8YMk?h`mLrcN>B=Kx0zbaaB-AmXy!JMk&=5b-^A`oa*>2253GqYeKQ7a0S8fN%Vi!t1B2mxU(qk_c`4cUtaJ)Ec^qVGNVI1lt!!0CsJ8OhuXEBeMA>Ptq)fqLvtuxC zBTN3kb@%vLGSRYg&~4;iMwmKsw~!)jR#z$enqt8!mZxv1eN;3t-bV;%|Do{G@&tA2ZquCi}N&F^M?q2Fj$gb6V6BrO9Yz1(V9D80ahJyD2EmZNF+EyG< zGm?SK2YaoJ?h5aldHaC_sIXjn-OvdB$qm;Q0N)HHBOpX5+97L~jwwapQ@daxKNC^b zVv&v|;12IQu?kc#F0o&hyS4%a`-7D9kuZ-6x&r3+of~!7TG>E)AB;FAM)c`mP*fXG zlBsh8;}`e~;$1~8<6w61m8pUOYhq~5yYd(H%_*l5MoeV3k_(I(`A&KW~5r5#}hP225t&$qh+SuzZcNLXHIUDX7aTEa__=bRU_tiM8<0Hw~c12t)mL@ZMRpp(BXEJhj2%CJkPZ%prhAsNV-M z$u+S|Ia@_3HQ>iBhda!E!;*>w09-$$83{MZ8+aih0uzY%!EzazQNA}87rZC84j(m6 zj<9tCKR&bR9)AL)2B4P)e;E{|cYNa|9Z!|~0dY9Ht3Xl-2&|y%1V-x_jL3*4@X!OG z5S@lQMCM2&;JlNe4p0axU;jDILFJ{)Ou#%z2LjP(1VCNR9UXdy#qWs$j#AoM zn50+JwNO}_%-V}AO2cRwdZh^F4ncOS--IP{U95iH-w4D#028lQLm|H3l#hCx;z54SabA9Nq@U=3wobEwq^VTeA))k+rm)vj zJ+T&T2=BUI|CmL|T`7h(cURVZ*B*7T>Q^EIY@M5(Ba(=xn^pCMYJl1ZPR|cinDF zoAe>{1Fb3B`SCC#o_LYooqpr>ZRsnyxq&ADoNG|Y<%Lwv{83!&1u;60eG2Ty?7b&B zG_hr5_yB};gT~35c?JpJvFO2}jjEox9MFR-V{rP@#yci-tSE)CNG$!1-V7j0Ki>!U zdUlX3z{+{<>>U^QM6L6#fpN+H7^2*r zhkB0R?Srgo7I!>f)ON8U-&Ui^_oS!4sdS@|{?YX;u_~WjPCV?}NXrbNhlCqv~AUg zvqVr(RFmBM*d}QA?fw1j$3*X$B;c<<7Y5>q8?x)eN_jpS^m(l*e0YyIWS+(x5ae~h zroTE<{Jo$9+yBH(Z0AT6@gDTr2wTa^#`AWE;43@fV&nNX5juVE{gn<}hr}?Wv^2dk zi$HoTlHDHdOG!!g`8WI&^AJ}Rx7{j@D$``>4%r)NoGD#7da4f=8hI8k@I1d^spwgY z2#9zE;g%5!x2k-ON-ao5esNzpPKh=seEdkEtf0I#_t{U8Df@|cPdDE|Y&X}}orN~{ zs4K(a|GV`)?W5GkL9r#+UH+zkhh!5+<(>$pra4f5t`LA!j8}{`MM%0yUuwZVR?#vV zdtFalvAuAf=KP=1PL`nHFDvKlJ+k#EfA)9UDFJH3AS1=P6z#8HfiYslkOz zfa}&lgI2ba&XnNFTTDod%8L9#4RjAG;>i{CNL?prc5T}eY7DrO{WSJDE*b&6*$i@= zlaVf>9ze2)AIguHDmJCoaZ`j}Ljd=8r~nK%=%BZzx10b5FfEe9MB^f~;9G8LLalNr zMG-f-d9QUZ3_fOj*-70-S_g33GG2o|g0w?$2fT4pm_B;wbGxc?XQP!sv`BWWm{}8o z@}#I>+^v`DkJD7!hiAnd_2fc)KUW}uT}!(HeP!%Y)DhFOy>BVhrjbNjR3ht zSerNvI+6_t3|hfn@wfP2EPlS|g2uSWmOr+oYr+P~lk0}Kl##jo z3h?yg!hMI_Q1M=p{RoLaAX)$34ty`_`AZ;g{~d`nMJrVE4$L#5xkS$LF=DzQd42WZ zEj@82wB`~8*IJ0ZVbwF3+qVVGBXRn+WDt5}uQA@sipMwS(_4W(pAk97YbyPgj%l+cLJw3P2p~|U913=`}^yl=%Gyb|T=GQB? zeRKAMW@dl_89G^jyQerN(vpm8)NvR!ct2PdSNB$jKH2Jl5@zUZVSz_WfG%S0c6 zVM+g98zMF-wVwLJ7=W{xB9GGP4r((ZGWV%rH|ng1jgUaP*$m3_?l)|7u_6=TL0v!0 zrl+aaRLz3x_Auzn812n8d%H^Rd_8_^TmcE|w8Ff*$b;h7|Hmnig2ZFKN5S zVB7q+c4|gefUaUWbtXqdgOp%Qt~=M&k#!wek-zn-(*w5e@k9sF^OGWboA(chDdsyo zGByJo6%8yhq3ge%C7?o|%IaZmCj;%zip~!L^>t7Tx*I^k0#%;*nFQZ`@2aZoY>Tm& z0@jKfyb_~3o0PehA0g_aqRfu~J6^mk0t2zZHN08@f|i@OuM+3DHlFX@vs15r$ro8A zyj=iBm)@X$q3`)MWS3E%jKLMFzYT;WM9PxMfMx>*4E}WfINCI+JdeeR$EENE->(wZ zPamPO6(EoIQd`%(L^E0o6lA0aQtd;I1pAQSCzEj{gKh}fM!lM!!@e3MTR6!0xD+nP1pu>0BzVYr>0^|mH5C{YAgB5V9l|Oai4@nH z8yMQfQE*uSrrk_HIT~Z&`_al8ZeyV3xTrvCGE7eMi;tlW%yEXXt>&FLt}giJfh|?Z z@bjU^$k=`aDTnhY*eBPRajF4re84TdSOWPK#z5S$U(q@NDd44PCG)WqnA{^rU`YJW zX&_pg_*^(CEs&(fgQCn@(4A@%F-G$#USDX69Dj$59eP~eYXJ+MMhACdK)<{r;qT9x zDSSA3LUYyX+B(TJEw2Td!O$J^YhM6wso0BXu!2`|TWMM#l__~9eo zyP#q;PtbkE@U;ajd_jQv-~zU1er>c;V6qw{-HpgX5_>kl2 z72v%aZ0%^on87R@tWaj!^Qk$`re+0$Pev)3*qIy92%1~znSo>sEUavtKw<`#1~xD| zD;tml3nP^F3i?I&vkSP>rOO&P!ogWtPCs1&XNQBIqDWZU*;wh@pR}&HJniXPPKEk= z+VhDZU=C&oEt8y$fdd=`eEgz+g|lfD;H}kcO{^?I;wHB6RXbgs`l2Pk-&3Eh_)HOh zfLJ-8tQSDX?`ppQGKaTv(+5dd8d}*{!0h0dSFtW$?EY_9r|Uk)Vr658uv}mrzvSs0 z>!FRlfsKi!(Z7RTyb|i)z)shG4#ojy-nUiMbDGch-DK9LLr zv(yK{n}(c-YN=;%Rnm(mK>rQ=RG2^DEUXad1^8)g{nRT|;Bp$8=>3B_FK$$wxjHNO zxY&Q$)vx#-_*ABIOjam_8Lr*am|}qZas1QpIQOK}8BUk-(B974-VUT-U$INM9C_rA6P;E zFYp%>@;CfBAUh{J>xFZjt>y~6gv9?7_x7=T>Jvjl8qPFkcSq z<6A&*3+uvN-_8m2)Wpsh^w1n0edl~AWMyM;b&V$rx~d9R@Tq*~#=p8Kc2-t)wsYev z+aAZYov{JvUuajx#OT!JKvE`lSG?{-ihl;aq;*y})R~}crvY=Sbr~ytc%;DzOwhm> z=3rv=m*!8Da&_{*RejZ^+1c2j7aqoZnZ6Y5t>LYPEZ`dw%=}*|?Bt45z(1xw4dH(= z^_khuMTJ7)y*K}un)Q#VPu=j`)R#5ze?xR25yz80Nq?FAe;JSUOyYBsU-f?Y;-GLp zJo9(B#o?Wo@A*)G$8 zw6fCw^*+daL013fvR82-94zb?X$;Hd98A%~$Pykb((tY4isDWNU5yf!Gcx-ZQ(lcy z4k!!jg(;c;gbB;3&}X(}xg5Rc9h2b8fh$bU#>D!6a-EYU{H5bFan8+oHP?YanAzZD zamGZandWi-va7Nluj!v>J+~Y?l$i}K&e^hAuWHc39-g5cr-E>*Gcdmz!6y>_rN{qd zn=^6FfgvoM#{qq|u`vJ1Cs?k~4a=#$PsJ29fZ5sG7=RS*Ei7PvC8jL@KqzNMI8z|x zFFQh5z;KuS9|is;GOSJeb(Q}}lZOlOuobD47hb|~lZ3GGY*$Yqxnv^O!g zV=#fAZD1A_$J{DnV*@{!!P(UY{@asdPZxq&!%kC|D^i}!^52X2+r1!c7t91X4c;rx zCSeKRyI|+%gR5xA!~YZQOsaE{;WT&|?K1y9JRuu6^(Z=7>KWTuSz6iKf)owxnBbeY zfgMQB_7sx*6`0Dh2ve}FG2s8XZ}XL$}=1g7UoNWUgnugs1L1=YbVE%db|aJ z;GaNp@P%9z_t#+llkzNbM9r<99@hro)hzRWJ}sPF{NLDDvmewe2|2OtkrE|bHxJyd^N0PcIiJVjlFZl5t()z|Nhhbw32v!K)w<|Cu9E`>U90*!a*SLT=h=` zv0mn>Q!+d~nw<~@+?{Mq;6;a%^z|>L{u5JORq9oyg20t}$)J~+>Vk>?!uTgjx{C2P zCBacHWV@Uc3B&AQXH@j>^vn8BbaWN)Z#sfp+Gp5Kho0|jmpS)}%}&Psx6Q7mL97tw zOS)sb%s`h|@4txl_HwBK* zzZcma+hx8vElMc}$bgh>;R(rAb^b#FbQS09DE&t^R_LYkF7xFOP=xQyCWcPOTjg11 zc~Nk)w1ZcUu6pQ6(c^CsPuG36mz>m5E=1&D<6ybiG}$gw%fGwj$*})KIV--L3kZc? zc1z|f^?qR!Lqh}j zO)WhGTX@OR?kT*`bA0mo8}T2QEbF4*qmIL;xhLDEEI~ z9ltpK2-#R!3tBnCTc(3SP@b`QwD@f45dsH(z z!i(gVj*NO%7PL%?$KUeuG6`B8->W*27_4>rY{ZGc7r+;+aRST=L|Kmi zKlK1n2>gGJ(c204vC_;qqNR(kMbuqKn7uqcxf{BEljlZd0882r>|X8@m1Oz~vG zQ(qBNgj*DPG9fSg@BH@h1?NZ`SQ^2P3e3!G>@5F>R?{`AQsKny7->j<1Eyc~L+ktKAL@Hf-_ekSggKTWd1#f;T}m8@Qz_hVUXv;=?5Y5!~U zTwZ*0312iN6Z_j+dJ+d#^wLrbMIS%j9s0IFT0nazqtBo2u%y#wdw=WOkCDL9CpA2j zzkW~U6f8ON-qBwA5xz93N`1J1xxSZ`SGT-SyB#z9B7ftDV138ek=6U3V=LSMPp93# z@ZDQj`KzpT*dVcOAWo9U4>Gnz#{t4@vxCwg&-Ouq^zwo!zU;S^fc*qigl+ z4tVNPdCIHtZ+S}9*S~cyeCnrG|NM132h(;uFlpvTKZD!!QB5NJr-1y?kt)~~rA$NX z?gop@Q_fwM&-Fhsn(w7AUnj4tTXwA);>fwDnt{>Vm?Uek(Z1dO)ZN9G>B~kiC8gQs zA+zTp_ku>BBv`>#szPpMLS$I0%kBZQ-O>K9oR>jQ`HV$&7wY@(rBvN}tJTQ&x}fWG zSNk?mfxnIGujQY=#4sfJ>WT>G7pg1j-|%N2={}pqp)M-aj=A{+QdN{YWF_$>Pqo;v z>DA5G@5-CLqfZ@lvp%ZV)|#fjxmIekl!5vgroM^!zLZBpWi@?}w!6UQeTnv7g7#R| z)VpUCODdtDP<6s5l0g!QSq?T`k{^?X?o?Rb>wGey^}El!oA6k>1e__as@o?dB zY{h*E+erQ3{;3=7=F*#O10Q-^FsTa$9__^FYIeHxEx(%Uiuj6a)Qyx?_5F!FYHprg zwetJbs!!{Ek3N{+mdMjrnHV*)r%|LULS=g^jBU}}MuKJtrRIU+5#LD}luOBtkO}sR zbTnKKz2?#v&9teXRkDCTQo+fcMf($*LXlWPLF*}lVvqr(r}~+G zq0^7p-%Dxp`B?=8O7Z(Efnr4%#+D9gv{|N#9%*H4$>L0}3_l|&$!y?$e;l+w(z-E<~b$|Q4(K36vX9_W*P@P=E z^edfDh;+_o@Ujc1NEzi;3>O{&+z_$O?hg`glMm4o-uVp}u`>R=J6G??Ys9do;b7d~ zd650suGV;*$r@xP1(?ul#kZjsO{gM!Iy3jn!IU~D-*=VPf~d-!_U4bTr4LEImuZwL zmwz`Y2$K;Wcxxtpm#E!1wC)b9tA?5l=To!juq0B_6U{-_(j@_2Yq}4;{rY3gU5m64)BEemgH`if z6NP0;FI*gmzFo^w>m<>V+#!Yb_xk@-xYlVXDSz3X{&!z^X zW|ESW=&dP|h^`edCvn9*3p(q&kns^@bXUD%wJ-v6U%4Kf4V^RsyZ{Za8wWc=#9SeX zPYQ3oG@@HkupJk!o5;cUK`d_Qh>2)q_S&q3iKE~MDO!N$e3C%jX;d+`D0z>dutSAngmF!G?+WtS<6q- zEb1+8XzNX?MCO3d5ULacX%;ymx#p=DdhSQezSI}p4ngoGdZn4*(U$<+X$X;JvGqoS zEOrEx)<4Rv&-xh7JY&t4A0*#{9VR)B_?&FB*oicd40~WeGq{d0dBGq$3*l8~nC@Hw zbLblayU8xPR#XY`>ADwRt}E!>QgCL6Iq8VRaDM{$OVM#erL-n&feX;yCk=c=;-BLA zai5%>PoB^?kd2>VhTHOOBw3kICav z&`#!=T7lq&pA%Y`1GSb+D17NeasPQqI3QV`{qwbzJ`Yh}Ln61XCy15@F*wxkt_hpp zG@X5khuX1n1TIzkz^Joh{y1pm21hAN>R6C4Og~;EBTCdO@E``n zA>F0tT@78ZpLTVzj(UI-E_UYv3}p(fdFzGp>t>zIp3 ziMTH~(6YE*_c8_JjrMZ6vN&sHyUP**S4uxugU3k}@2qMU>@BRS-^qN(qAAc?fg zg%j1`n1E;)l?H4p-y=KQfrRe(WTfXbQhS#-6L+rJDn#l1w5M(_S5bQGUZRWL8quF@ z7L3qMnk?*Dl=gzR)F%Hn=w=$38N!s_GJcQa!mOYts$r%3?lWZ*+`d50+k; zy>S#kExNe*p!?2B@!WBu@mh2zX zPH%3Lr&;`xsN696pm2vH;znL~b1Jr0l%Ax#pK5unb87^*vLc3cSGj7CZw*KeRp;T2 z6pIwH40R>8F@EG|6MI&L^}u!|>IX5#X5_^K#Tb?2GfydP?}gtDdx~P_H6N*REuS-H zP}eM>EUM}oYJjkHxiG)tXZhc(NhV%?Dc|o^<$=`S5czM|2Dy8tQv{`^>*>F^QE8~s zM^<9}!itaLn*E;DZ~8|wO2H3tMG!!muGQcibVx_xG?O8leM@E?v(bJ#S7~_SK)(p- zaB1plD|_(NzV6$xgCK7%#P%R;iuI&?`B}NURj&q;H(XXVFvM|DZaT=3F;G@YG54n6 z18b$YS#B9B`N_yZ6Fye8BO%}ue7+WY$90?BE2tb%hG;wvAK6_gjTw=2gfKd@dqH<5 zrG_Ut3X{!~n=NHYN}J}8Y%oXajcjKfqx^N0a6Zi5A~mwrqROFLA}?=vqj#}yP*&TQ zv9Z7gjtoA)+Cg2?I-icXZ!ZPedf#9-ZCeZr#g3z46W00FRaZdMxGWzO9lLh#OKeMP z33{hR>p~@mu!y)*$;1B0O;KR0fGaF2M2f^Nu_X4{z;Zmcv@o8W;$C3B;?D{p6K~7= z;ty^*0C&f5h@^vLG&(Xf{mp~+%v>{!HMQde%A}E*oXZ)P5wjPFIltBMMaQQF>cWJG zW3-96@>@TI*ZDe4sypvLK(R$OQymL=L-TA^BgJKrZ*zPNZ#hnq9RBJblJ6v4OU~F< zcLeANm#EdKZi?^h&-1w<1*Mll#GPZZSlDn=OPrmf`{ITiYo6XPppxC@@mxypd>LX| zN=}#o=7DBhKQy?RMtn^+orjAccW_;>eLPjG25Ddml!C;|2x#Qmz#I~5*@ZI887(6s!`+^7Z+*fKe2mafc0)LN0$j_^l9V*R zfaiPE;P*^ZfUQ%Fz)v|MLEeqMk&%v4<7(c~e3N8FT~e zmXaQ&CXm+4rC1|yom&E16H{|*ayNl8j^O>EBT*dM2kXEUZbQMZ(KoH%Mz|^5$Fxad z$oW>c2$SN*T)Hn_YhGm3E4NkHT>-;g9`$qBLKo6o8cGNI^s)W?O0=24);GTb^R7xY z!!7dP;=twGMYA5q77888VDkl&P;Y6M3k@BGkw>pCdizb90_65kMs3!LREty!nktgW2d;y6AU#HF{@Q8d3$8_Pd1jQEK%LUdR44RNF%Zb83m1J)E?c&}#`byjkZ zslj7GlJO^TpW~P0b!7^Lw7c&7f4tpeaBSheCh+XoHg{~>wyho8PIheDwr$(CZQD*J z=bSrt?o6GUx*w+I`|5hDd#$e3@9O9G{3o~@=UNT$gyq)=No#W9O9mWvH|Qw41IIHb zn#<~tkVO^2v5ZtG6$pt7#+(B)^FWRY1p5-O|6uFr{+Xah-@8B}#Vw6mJ&H7w=x6u0 zX35IaYa6iAUx(CszoIL2K3C~P=3D(}jUTedPe@kDk#ml(Nu6LCMcW6!q0zmbf&u!p zkW;K-7JWo`zSU(FX2KjE7n%37_1xg?bb>GgrHi`BaK`@XSXEbsmV;-8wlo}s16#vX z9M_J^w1PfNOzXU};zaJz-6Pc|V% z$dZM)@bTkEU{gc`i|se4Btn3Jy+y4`T9BpB;aL7u79<&L9sY@y<0Om6LHCFDyEA&o zkI7y2?qFAF11$CD0DDy_c0CAFs?vE0B-a~Sg$so^YaF!V;=j}fVwwcmt`Kvi<}Rcp z1X0U}atvjAD8L7+&!+W%RpzkN3uI`lkZ_BPs5l)<9LJVqQss_@K*Ol@$BsUo%f0-e zCn$Ff*qZbO#PDMTj<)kxNbpGPq;yAEkJ?%f%dVl5#xga=`5w3~ImrXSF>~Q zh_f%H`wRy+0hEpc7n`p0@??HLi;*$BjRnAhM{RIlQ9o7fYtooaH*82^8##N8OHusp4QX!#s*p`pC(q$YoeKSDy%bqf&&Fk>79$V1@!cinydT_F*?=dFEr26PO6O ze!_XuC?f;5G5nJ(S8xEEMZlRT=N)n@uPW{}v{FQy9I{O0;a;*c`^)=WvQq9khK@rG zXzl5HWP*@|!rkv3p%sohsQzZ)t0azD!8&BhPKwhwlc{bxQlIQn73E##00>iqb7AEG zDJzw+;k?wLgW$8 zPf!Q2mNgf4hy$5lG)P#69K)80PExf}EEVP4rHEaC2h%?`!7BuuG$N3(Ks6^ml8I?7e)Q?&zTI-04ukP%rX(8w@sg;(RU`J(oBgt!K>-cUN%9Y;SdI zlhrMXpI<`GZlV53yE)yT``cZ`l`-T_plzd-`ce7sUx@$yA7=&c#^RE) z=6ouJg4) z^2yq^DOC)A2fL4l1_bZgt#@5Hc4*y3&>@d- zb`Pqsg5*U$8xH4bSykG4`tO|WZexLyP&Y(0cp=i%-$s~9dQJSb3v-a@wKtdp5ae^) zui)S?>O|9yA(O2OaQVT2J3wXdK_JNUvyG8e0SnV^GIR2qksYZ4QIPvVxE#k9pxbPi z3ebA+8VohAEdm-ndt1S-u$hKlqUDZ+!Vh^T@1Hp7Z9N+Au2KOD6t?RSE{-0 zo%kN0N&I($0*8EVo<9Ipvid3_Jokx181H52@~h~t>0dt@lh`sB#nv-hi~Pq=Cp0c} zi8ZxQotFfhSpk-S8CGd4j{CFNB2Z^QHvKe+fTo8io2mL*`r}N3(o&?eX6n(92YKpp zI?A;d6eE;n+9~EjR$Ub1nlpON#!zz(8~d3ko6NZ21aZBLbi?tjenDdDCpkKyAUR6( z6hog}KJ<?P;U=@szcti2UA|zYxfyvIy(Pg@ zhOIZ>UU;j>WD-LiLch`LhFkKf9HSJXgSCd@8WX^5nPEsC%J8EKY%IW`UWZ@;a{0@w zn9M^_uha8)^7=5igY+A=Qa^wRa-eLz?W*0Kqx=&3&bu*sWsN7GlLlL@hbBBmRKMDY zy&XPEBr8&u%=HM{QLLwDWX*`LvH<^TI zACiBHNGlrFx`px~dZhC1jq1vT)i72?g)B&|ZYdo_;9;1{h%1QPNITsT{6f_I{WZLb zSsx)J8T)JVbU@*Y1j+!aM448A{eJtPhV;s9JOuA%gyvUiZI+Q!~03Y-V(6uIRmmsx_3 z(S}@Fs`^-+qo3=-^t*Ux4cZ8BQDE0!cZ&299RgzXs<1`G7SXo>x6rU+l0f1H zPEX^X?T5HD6PM9$*E=w79eg^=uL;rZ$3mN?afKI|e@~Dnaz6X%GH@D-9izI=xDL>VW2i$Frnnbs*^|-$Qz9dlwwVbG z8TmQu6{!}#&nIvNXLi)mU7HSG+*IMNLjH`w+gyZCmAsGmVFERu!#Kn_Ua9pJ^=VG` zsHx8q6x<-zUj9y+sza!=HK5Mif!(giFdDc8e}6m1=+y0SWc_ga+{*?tRHPM z5%IzdXP8iWQ=|=gKV4)dTA=A{A<--cE8RIjvhKPHrg4)}s870cuW-M7+>AgdtqaJ2)kj-^``>SmSoZpd7`iZ8Wf^uMgWR`1_(o z@F>&XAhus9Qv5fwVZk+9 zQ0oX!QZ2)LmqMPx z4OLf3`q@T%H@~|-^4B`$jEx!}0UGx8p*WkeCysYDy_^*pl9gFlyZlLj8woHy%nAmj zWjf~){`A}LE!x|A^$L7kNaxaaF->lB6p?&0DyVYC7JIjwUrA$jyQSV2uT)C6F)xvc zOU5)%eUBQ)Puoh*?`Y)^m9FOLcG^{w>Tj1u{S~~ARsyzj@6{^=Lub9q z+(dP>%Pb4!Y-81^`g(hKcNiKBgFwk2-U(M7`I`o`xxN*5<2%NBpuZ(9H`u%NNVWA` z=le_j@by0U^W`=B`!nBJnfPWx^4B6|$Lp`&UwE5P#3;gjg4-tB^(q?n``X}+yJiES zdUZ8|lYrTj;ovy6-tc26JLP@cjVR8}i+rl5fju=C6+}DGUkK#DRIfnl!0USr>exm^7ocM?YzXJl_o z>%>ZLUS0G0XUN$>S99v~rx6ZNbp80~SL}5U>+Wq>uAOO>$23b-3;h@Ntg{8ukcxo* zWis3p=-(;^RLP=%M?wt#lN@x0-*Lxvg7*JMps7q}X^Kwn(IPzY^X^}ab zq8+vhC1kn%-)I}T_*Gg+Q~@VdaH=)1M>)-^xcd3D&oRFi#rd3VLAXJ@#0;z|d2$an zbPp@i?+$S*D(APO9lr59-gcECwZ_zMJUOqv7)MRDSOdjkB|QRDxZ|jJiLZqFFxJ-q zDvM9ac~S2Uh8&guvXfUIhxBQg_FN=2>TlZjh{xM(Ou%w_)aO%i*lXHc(IcJ4NMxr# zdt>9!i|eyDZNy2me0sJ0CF_KLweo(yi(DnWoheNSe4`eXnl;X`L4C4Ih`t2BXyKao zuX@MYlKksU^#TVbjVdz_3(O0Kf)&T5fEs-XGnlr-5k93g@cbAaOo80asWVPrnx@klI$*`a7{$E20l+L zw$t2`uZYqqC3@*xT|=grw&{4B%%oFczk>QQk4=JLBQz`Iw%ECV&C68Q%f=HSp9P4lU(2n?z(W!!4`5k-S@yxQ2E>c8^rmoDUGNeZ7T+KeZyUF3G6I z=y)rh&I1oD6K_|y^n|g8ljk$VD7>t(FYo7|e7?kvm_svR9Bt?UM&^W09K!r}zt>;e zVX~mUr(6elKmG+Ck?)T8@p8OvBY-cLbJZI`a6Ca20!3HME26RcmItE4EVu){J@&Ikh2&Wg`F38kk`N7rsk=O*8S z9r1X0uFVbC+iqiKH+S7W;zp!e7n=05eFGCz10$Q$=0U`hK6gsL{RW_sCTdWpipfBy zYTp+ZVBAmC*NTSuK?bv=>TM>=*qNR)Eg#={dvlVd4NBz9InR<;KSi$FOcA!!M>!p8 zkTw+>@}U8|5gaAYax@RMfZcz-)tFWOxxtNQQ8PZv2y9Lu4~#mZxD}I%&_L}#25M##VCTufxUGOD+ObZECLT}zhp}<_113}Q!_bs8_fwm zoGZoXcXDd9jTZ1IiRC`0ea+*=W_d2HI#<{W?;a6Nw;5FB)Fa}Mfi7#gmRD8;7t}H z%Uc*@hx=ZC70A#mO1>nPbK|uw@t1%4ue(_DrW!Ze?~iQwp5J;ub+vVNXi(8(XKZGH z!cjwB=Q7tRTf%LJH+PmsPkQa63&k{Dv5+1x6L@ySwJ1N??Z7e862sE^hbx3tw3Xm> z4xn!A)~C0Uu4>gfhKvh*=76pnbG=)+VNbj*P==Ehc$9+>housOHQSg)_1k8M6mGM` zN0YB58Y3F#4*@gUuFssPfHbeDigRxME#3KRs&X~<2X6jpeUacE1HyDS^HJpv-4!`^ zk^sA^6wj+Tgy9cOj?`$$8o^cWnt#8&_#!UC$3kyByBq2%nY?+z3g7c#V$j;$nKotO z?8&C*Ksk~U8P}{`rD1sp1fRU>3!F-~F6T4agbrcD%K%0EenEf^!P;*crG`5Bx2Ho8 zlo#Tu#HUP4?}Bu-;6q~V$3>NkxmQZc&#VT+>FNBJ+s2J^=JHo}?hL+6{|f>wREM^O zEuc+Yl7+Fc0M4q|y_ddtLdb`$jc_yBf?fZC`OWUtbP9L-Poz=#^b|n1`t_3~h__jn z673Tp$@jGu<3{G_KZ`XWIlKb!RnUv=0Cb$idML=$jLHp{2e%gXlb0G>M+GE3s^FHU zt1OE7kFC0%T@2pqZQO8A{u%Xyd%alrbdd#+USzaMm@7|W0_cM{jZ*{K^=P>nhT7dm zm+k#&BuKP1AXy?V$nSdGZMaqYlDF-GI$$RaVAQ-nx6-Wa&XiT-ENTve3DDXnoixVy zH^g_1rvk7o5OyG|e9a26n*?EHhHj0FUQ4nk(fux_vtFFe*fqgxZAIRijz{|yTjk&4 z5?J0!TV!~KX4WG)F(sp;L1Mhx#Zz%u8q7)pJ9xd#gpwJsj#^e>Ow9av=n|z*(%-8-I#Hq%{tan;alpL zMl#uecIwHMPD+wOW>Ob(5dL~MZ&0Xs!N7WRu;6wjG6(toH(Su5lM{W=X#csx0Tp1( zo}((z#SjE11#U8UR_jun=t#Q6+#Pm{XjkZG1$$qIr2U|@y$$K{qm*|-bq;Q>H|@Dc z(ROzX)(KW+EK;WSmv1_Rc?KK>|E~6{Od&$Lo)ba*ST&$@`88vJ&}txfz1!4zMMw<0 zw&XNqh9NJVcdj*Ekm_;y3I!CGMywAa^2k>@40k%;kQ&yGLc%eQH`YB3;8CIoQHaH2NvqrBJt#zv zLc;w(GJrU!ue5{jG>Rwxx%LdYB#O&sdi_r8U`G8T>tyK$Ddk=9jaj9*!&Q_S4yeMQ zT^YnooMYV9EJf)KK<0$LaB`|f6ePWiL}UX z_Q~iPT?7@I^)%ElS9yNHo;CU#4ATOY$E`0FEH2U@JT9@zmwVH)$<1|9zsifbF9~1`f&lEE4BJ=qK*G(6Dxb^ z4c(i@AN58cmNR$6&T;u?OGQn>(&ai)QTYK76ftKP9QX8XcFk|QEisp=T)TAVX`NQ{ zo6z^ZW}|J=^>4@pML}aIu0S z^#lRt&lM+RW~jReyzBs@N#E@dO0Xplh$=Zx;Wz7%Sz?;L?Ak9~Ow#(A_IL%ov zDPOnCbXl0pMHDM}H8nL+lzZyMFFDBQEiZM7{ulT5DMV0@#+vYxfpT)DCui)Fzr$*C&wxXZ)25o=BC zXaT7AwG8@Kh#Atu&gA++R@4*a%wjV9iGUPoUt~fC zB(cBs)g6xc)@67zIJ(CANg?Q&$aK&ySu*N3dvAJJ`N`)&@Iz!l=vfxs7?>DQNIH9-Zqsgmav`Y zvXUst1YwM@F|p3M0TmngWtu-C#$xq}Zzs5H{r+ZJJsG13{i~{;gvx_ncDGt3L{!5} zTKmYw^Vq@l+)FYl4daTscjX5jOf~iBv8Snu$XhmuSK0ZwiqI_6f5>^ z{|_Jl&(k4|he|IrC3ccVH-c@f06e!)DX_en2$CS(?{h+2{pY$QGbUR2e5}7ktiSB5 z1^I^X(!*48=j|jVx12>f5NVXbxR7o0k z#VrQB5zT4%9KpJKNm7@pZwQo>_FLzgku(rGn%s{Cc3-W_*-DNC5!heLu|1Ut`pVZ>_KS9#(e<^^Ug!a!X75+UGVEO0q z{{;&CM~TOOTMGO~pUOYO^#3~*_+N_S|1%Z%Uzp=x3;!hd*?v;+Ol<$40zU?Z<|hx& z$U^tu)&l>mfcke@;Jb%1XoVe`1J#uM&O;CDRY5 z`nT)+;{^We)c+?-VEEwq?Z-j<$BO?gmGFOC<{$9j{{c%-Qu>D+_?Ke%ZxIFN zpTqwrZTNpc6#jV$|LcAHr%_=4DJ>EC=|M2Erxp1}1DlYM!H=!}uY))Kr%`zRF$z>M zRw(EED*tH|cz%q+ej=fXO1jwC*xNJyYpuJRiUlEG44;qjXcYl(mpZNX=FG=@@M-z_ z=^);n)cs|;*@XCaWGkvhh>4j&S7-Lz$Gl@^Qi4LZO?3Qc;$yY!{CU4>aqzx!krDP6 zkGH$a_s!Q?8@1z$hm%&aS4#EY*#7c%7nf`AmzNtauL&p}Pw)51hxc`HC)vuW4rm#s z=7l7d#+_)a^chU>P?TwND5ESmxt3aV2?J6 zB3rw?XUNd2I*%lZjcQ!QLA<+g+~iAG`bO!7h{wlTc+D zX>92C!jzI_X}^O=sPiM~jWtw&*4|2qStO@*xVBtJ)0B)#!`M%)KJ^^@ve_&O2wGQZ zna*v>`y1npN7r5By1>iHmL6icwq@gz^U?}RTb7RJiPCx+iiIy@E{9G_L*fBr>JoNJ zD&8+g)WK;tUL~pR_8)}&#<3+!e-*GkidHKvz7#U{UTh^-s8o?&kxnX#n+%y5SjsVb zrZaCqPRnXy zAQ!X{Sp-+*&$1AdsOf}O7@t4^E>^@x$%*4oR~wPdx&U^{Ia7$7P0}bg@vw0AYfLj= zr%$6Q{AFBy1cPEMl0HKbf#k|(u@65x)gmM7o2KXeYBjGU^oR~)VPT#|E^VAfb%aqR z`542bSn0T25p_RGua?G2#{hDc>GMtG(6OeoHPIdRaCC^*IPIBlb!=iGa26r!klCH7 zBn{Jg?!Dxra$e|a`tPjCw&T8^*t~8COR*gTj;-v2X$L>JVx7e()t&OLFp9DqckWUR9BKC)Z5r|$6&{c5 zI2;^nbu)Z`t$@-d(;;Kr@L^CEr@#`XIx|2lAByz%fkUMGAn*FZ_8)p-B!Qn5)r!c; zOr}O-i>0uJPq+TujvV@_eD^OT_ENF!Fe=8Xq^!AvULG^cED0r6Q3Ef*rqP(~)tcMN zJgU3!qp>9;_R-I|865spG$iHSu+$!l9mfzvDFQnaakmziKJazfLk_}-oRhQYZ0;N7 z+Y!2~u@&Q(L<8}^#?^x!+JGzh5y?;skTzOkH^=OlSU>tDX#-A(Y%P4itv^INFYlAraXT8ebcGec024;d851#k-d`+#Km zm`@sXRJ=X1dRn%Ii@%s$(&cFNA%a#;S6E+#DB~`>AT8j&H*2E=NUFZK8p_cjuHpVS z?B29;pUoI#@ z8%PXDODN;X@=2@DVrm0HBV5@h>n4JJjbZj=%Qx?lj)&3ER&S?j7k83Ar>6a?hlq_o zM|#-66y)v-KNd1nx|pYnRT5;~w1S5#r1qUDC+|jDA42JZGd;(IoEiu*jT;|Y5Ofll zbD^7>4QPu>&-FZK9(?@V+!f9njX)HIvI`ZRq5OAFq3s{B0C7{GK`pfUVn4&7yi9r3 zB1U_GY=scpd^!BxbrLZ`geas~;WVV^8!yZ1Kf0||z%t8zT$-w~cg|5*Ky(mYSP<)8 z#s$qTq}yMrvbKNF&wT;g2twVAi{I7y{h8+962u_91IaEa-s`$(*TTg6SeY5Pxj^zU zqN?kL>d?aW7;fLR#rdeRXy0trw%ME#d6!JlqC7_Neqp2~l4{y)mvW zt~66F?oNuyCY=#iqg)_Ke=hqnzDtz7R$ToZ2n~vwj5vXDM_Yja+^ocXbjNCF)?yFc z9Xqoj$n=Al$~(DYw+^Uei8hp$lp-wWh_(g`Vp6do?uGqzt!4mJ*(}F-J|4NIq4l*; z134I))jl5>3*f%}H@xDFqi#_loz-P~DSS|ki-(um0?};)PJxL7`i<^ufE{M0xw1TzF=JUWkZ`OaG+Qd_XIS!8DGpi#kQ4!So z0CHgvEPc(W>#zRt?KrX~S#u#3}^>BT}gM@m*A)92wAJ6_7LfJ)RA%9)}X zDg3$ZSlC(a>VBT$^<$!LlKzo;J})9gzmP)B+uVrC&Qt4rjI0*SV7aQxakUJj+%N%v zz7Ja8ko>)-Y>lFJIy_hrDqMm{J$jDN@A~aGZ|@duSU39yJv(l-XJkv((0#=qMT%So zbx`VGS2XF3Hk!2vr6}?1^)is+h!p3Whzg5z7kW)w_X;&XG$FzV{kzYS_myFoZ5~kV zkn^Y_W0qf9OU9j-l$)e9uRJ@S-FfA2A_e_fw^GUvyT$r8@Qr0HiAQ(^a-%mFA-;3@ ze-`l)^Mrp(rdQu$rU%VQ_(dr-Y3Pf1fFbH9(`WB55@JLHjR*X#WfsE#302E)SqnK< zyX8;vk+4TzSfX8yNzBRctSGdxUxFo0C(0J2 z;D-~hu#yO7VV728@c<|Wre!~oUnA#3onqFLzlU}Fg{FXtx7LJlG}qN2*Y`w ziVVp^2B$S91QS9wON5JeS2b%T#{{Bdu>coYXN>Y)Vv#XF^iz>{T72pXUPO{he%-*>6EMHLyc zR09geKjC{6rP-Q)(?BHSQk7LMK7m>BoDq<#?Qeqz*f9N!{}arY(_`E{Q3t!Q8}s4^ z>EvtIH?_#1yZQ^WADJ?k+Ce}4s?y{X*m&Zoy7IgET1uLpW(a~*1*IroIn?O5=4lxF zuCB7`>%{43PORRqqb{?Ip~+%+3)}BV?~kb6kp3f!@*a5iAL4<`ufCR6JAkXR5E*#w zu|vYEYa2d-RH~5b9%WR3npSugGRkxW%6vv^B+aW+0arjt)ScTlqu!9xp-Z4Q%Zc@(#6{Se^miw?0~dGD*3`AYKj?WxY5~W z>H7C#6|2oyX~S(6o5Mqkw2?Yg5xX=Fk14%7MtMd%&NwtrG%HtHXrnY0Dyda~(UGkS z`xGPpz!sK>aw~*eYQm+DuE)Jb(*}hn=3mg4XdiWSZ1SnL)=lv6yuZqn7~#uM& z0(ED)HYbYk9MrdmSM*sJ81?;to2N{9Lw9JXd7oT=%jrKn6VA9qQ;CM@rX%W1qn z#-lW;daP-(+U<D4`QF(nca1V?thYGFv3UWQI784z+% z*eT@#xJII##_6$%gP&I|kktcvb(5j2=Rz)lr=|VKO?*`G(X^U9_bV39ZL^)+K0d-+ zBC*eW=4XH(2*gv&6houv-8BHx+!phq&nBD|B11Wq6@l|vrn>j^K~K^+ccngD_7Ow{AY0dR=e3h7B-RNrr+ zJBqbIw-~tKLjmG=V<~PShvhJ3*9JN0hNC!9#p7#Ckq$mw+zYJuEj^Yy?Y|r72XhDG zEUiA`IT`{~%Qlj>ZsK3_oLabsqxr>e{-mw_QAexmPX0PM>@U3Zml>K^B$hlJ^;mWb zW9G;3D49QLuIu{%{uTWKH&8y#-aLo8zkGsGM27-v_&uo|HOh9ztW#J*SP2&JkdCGE z&PxUmSSx~9L&*BniR;KiPAc>E+IB;pq2POlP<|i{2CZ6=Tfn$x_fdZ!MgzUnaOkbk z`PTPR!*zzXj-iXk*R#o&5gk!Fby_$5EcCmtI}R zN?7MmC1~WH{2j?Iq?No`xIT$ucfLWN$!_MgEzRjCF;GfX!|)o{V#M~~UJ}*HBjr5UdD6_tf($gG1 z1fNn9y0jn^#!hvq)scB5R`wc zv1f`XtF11b_|(b+oI2|DwVe~J{q@9+hc)8apZYdG+RbcBajuQ#i+2hEgTY->+#Ir8aP)b&7MUKE^^wAr}s|z@y^=NKr|;Wdjbb zqbbqtGJ{1=F?X?~7^hICRuM@-E7q#*szg4u9yo0h=U3V=8m36fnTkX>VtT8!4q86o z^$ujTMRncq95!FV;6XFld8Na!^VN;;J8^7xHwHALRr+GwO21V0ot~2aJy4RT&cuQI z_2z)#AaJxTsuB|}9V{#KV;Lw2(wv~qEfCadILZnfoLck(-lbZ6=@W6KLK?P@Bp7_2C@+2nK6`2YUcJ7<+;A`J*CW`%B~r$+Zr*buT%C88OI{k|egE;@E0aj$0^Qo6c+ zEyl0^x( z2xh8-AG)t$$AA-SPh~g_jl4sW8PmqL)QHy-15YVX{wKu8!oozSMU=v%3ZMSR=>E;I zZRd#ONp1?f9;C(Y7h-Q*Z}XWIgrD##rWhVK0E{A~zUWjmz~kpF#6d5hw|8oaZJkTE zF{)-i<;R>N_Eog^*UR0yC<74B%E4>uu19&|nN;P+GYC@6uTPAr`x-t-=l`OmhRJ=_}IejQWvY>^3}JxFT);pv1gR-`*C8- zm;06eZilApRoeIHfaHSMK=toOfKt|oOmUtRRiEycO!a)>ALz@T7&m?Pu0olBhqZ=Z z8RP6@D046N;xAb@;pXRN#Ml`yB(WY*u!eQ%6%5q`se-D?l7L5}^bR(OAAnge!K?ZQ ze1W9f7GW+fx^OOhCdv6>Ffna(Zb)*1tdAC{lgZ&}&5jj$|6uF@4Yn}(38O=w&#B+o z{ZOLUUGAixs;|eAnc_mEp7n?v0n2g5t zUVBid7j6i&za=@&Y%X3WvFw5;iTKKWlN%WfR@YFS2YYo0W8}tft4ukYmNrnM7-Uoj z^TJ$DrMHhwad#Il1f)hRJXmB>;P>v?dD50mX;3L05pZ)!J+O(RgZkfGFiI{tQ>b9Z zI{!+8$QOOX(O?dmf_jsUp`+QqbGy0)2E|z=C?SkoEyH48_*9MhR8dqdBs-HQ(*$G7 zc+Sg)m10wB@vL|Zv$dlR#tyY>j~jwiyhI5gcDOv>&rD}DmMS#bse>wcm?bc)*yvlu zH^u~i6#>*PgU(bUOFB(e9%M&tZ4HP_F?O7=#z!_Lc^%UO=S*@@YL&75 zixn;d@>3*3ZQEw|Js4a212Gl}G5w>f0RLj(d5}nn>PmaakX4_hxy zcVdUq;t+_T#)Y7ovewp5U8IqN%B`DUo|P>)X^7<#ugTWl;@CH`{Lw^oU8h-8%4CT;6B+NG&VZ=4)%4yoNDN?0G&Pg4QJO7iG>GRMG!?v%`N;4c5FT0A6x&(mDUOU+2O(%Pi7*F`yqU^SLh zJ>v^^oPDEp7_aO!ml!+=uLnDZGYg0nb{0TG3?QyH0j9NqugrA%Eb2Z}1f(i5$_g5(D`3Si%0$6heLt)4CNIOj6+6 zG@_A9yt|o=JqX%@PVwWSIvNR_xwVtObOgefiox*GRy8xt;CpcQ7(j&c>q@@IYlvqn zY_3Jc2R!KN+t1_dJ4ybj57JGg2Tu+TgHc!9a>LTK8RgRUZQjcM46`MKIO30>P8{O& zV>P=ERJ`mV(y@X1_3vz4%JC~H@)f$x^bgJbHQRu0;cv?6;t#}ze{R4K5U)5JfBR)* z(Bbt2GlJq1-*#D>g4CBpnq-=gnW*$Dfljz?zp_eurIQMRi0^e>wvO4%wgcIL zWv?cmrr$;#CzD3Vs69G|KOI!L6MynFw%Yte2xGC4LD{x{d)E%+loWGSfoxH3%Uy7}+nUgOj$C=rU^8DHKOs6|9cWzBMq^9q9q3;3A|0 zf?k~<)AgW7KKY4i$qtB2w38TTaD`r4{M8Vw7^H_y^Y;rtlnIi5KVxq#sc$-+dtW`_ z2(XS38A!JBC1T(RdeJ52P{zi+4b=t?W#?j#ov6y`W0G%`-t2UN`9p3l8fVKr;2=46 zj6D9VIukvvijrXj*18FAelcKB()R$)IL=B796wTYTzbLnySb2rY87&&2%NgGN6a;D z+7&&KbD`qGW+R;Lf|>$qKzllCN!QZVb#>2p`ItaNL%xikw+ zFa1XDfgVh|M=G~F9s+n#v81BC{{A*rqWPlsLLdPn!_ zyW~#Sm-m4>q|Vl<`X@CWaIa*Rx?3^U2oS}23J|{Pf<}!b z=7Akef7lSH8Y&o2hAr|l*I8?ruJ<<{=NG&|1s3!0m$z5vchKzqJ?l6m4dPIShd?E< zUYnY(VJsb4mrpY9$_W@`_APo7!Ubll6?r&%32`R~8dVlqU!yLDsPnnxuC#}czD>Vx z)t$MpDq944b4sMjaMzX3#W3vgX0IQibkaBEm<~$u_Sa{5$ldu5MRdxdE5-031J3&@d2xN?_OKwi-mf1Gv z@fR@(Fa~7RBo6HRIOeJ#)D3!1rMa4~?(@i=*u*NM2=8}+GnfPb28hufehwZp-OU<( zTNlfHJiIX+-Bzv+jn;kEE>5uo&M_QS3m|1{-@`bcYY(2Aqy_1k9=P#-noVGx4XpJq zmt~YI@bR?jM^v#Xc`vN4F9BcKv75|nNnp_^n%WE$Dt<6sWu{Wo>YSD8QppBWlzRor z1@C~%X8jwy`=GDZh4IrE8ZKO48M$LBF(0wr1A{B#XSzQDYMOyIVGNRe&G~j?(j$}0 zBl2K&pHC46nwPlWwvRie@-_M@bhpuqOSjRudQFQXa5>f)W!`=;lx$b{u=7c)(o)R2 z!Av&Y@;Dj{vDOUI)@$yQ$d$APm+6dAj7uyj=$uV)%!w6x6W*+ajA^W7ViNXhm%Op1 z`^Kv75maUO;3UiJQkk{rGKTm;Tooi>@!L@cXD(Gfg_bHU%J#Z;Z0W?nGD|AU`B$6X1OBv2PP&ToCXPB0q zWz(s~l$KM28n_pA3a%zuXLg<77>=ZLu%!ig$=^EGl>?KXZ6C~#kZ!y{k*V#&@(?hF zZZ?+cRLWgE)!KNMxMP_eRwTA8??p6S2QJUx%W?XC-rfo8OT*APenSOAy_<9y+xkOG zn*#b&OGVmAL6y9OAglZ?COW}sePnz<48GO@qQLhYRetqU()W7_dFGhQ6IlSK)k;Ac znuP;hwY#S_wTVPnj;(sp=Zd3M8H?AX3}S2M%+AM(r5ReX9gmYj)ro+13t@jzVl>j9 z>DtSUNOj?*Y!mdk75Zkc76>3~LkFhmIg9w3K z^)N9R4jl#}g0e?4`ncuh?7zc(U>+A?NW0?K^RY=a1`nrCk}wjW{^P$kEX%xOBdZMT(BT` z69~7c2<@*nLxzKDNGcL8NhQarRFc@?jSD9vCZ{|o%a=O}t_TNOD!~RP_w#oKYHQ@3 z$e;lq)D@EO*b$1Qsrx;e0Xz?Z-hxq}rl=~cCLSi=558qjLIo&VbjZIIx>w*Kbf;}7 zco@luBBReJkp}^g2`QuSs~?x3OHh7Fsozm}tQEulc=WngErZml2B9-6PJ38g3mhHv zh4Ap}?Sq5KWwRU@!hk_QID(yxSU{BB&>2OAG|EPT$KT@Q_yz@3dypNJo;c@eQqGKo z(@q6jite@uSP-Ug&r=*=$T%c|Fxq+H}I-TPmi}K9u*c9vT}>ms|NmE#3T2S58gN=Ae-!9POT;u~U#sZr^?xDw%yZ%|!PI;=NN^h}1BZ(ZR;9hW?I zt}Ed{rfwy9budoY4-B}V4~rQsy9P)ygs3`wz|w|!-Ta{IxL9KaTPDT8O&!9xj`3%^ zfE(WXz;)IJkI{qTF1X|>3_hH)?sCNW^|4-UH;Tg<*gOO)Qyhr1>gyew%3}9!U~QgO zQvCQZKB4>bq=~VeQ}!~2OY7*4F90TMnu@d2aG~a|bwt(PPv#hIZPnymmR z>;}WG%SfjsW4f|D{L+#eH{xidKnut?iROAxLwjy*Vtn-;o0)owL+bQ{EOHcKyD zolpH>J>CSS2+DXP$G+UJI}rbR0`*`Bxnfv}?_vcpNF&Z8-GDUWxZy{S%Yed74@ed;p) zw1oAY>M;S^@{8e*;*juH3^~Ushs>ibiw+e`(cCbetg`9%Basn zw?OG%NR8bB+}D`}*38Ko{303*=CsYt30QGFbP-_4yx0bh5AoV_;0F4Inp&?{ZUwC>%T1FT2X9eL5&P3in_y(3iHr44(TP#LpdCZVGPJ$00q$K=SI=wS_!W@{}{#Iar|>x zk`qa$p6s|}8<{z0ZfOh!-NA)ezl6MXumZ4-LF!m+2YyP!;t>bVovQ?0-r^CxWdugt zzO2KR9Zp*yVZg^`FMVY(I{KJgBN+pRJa`=}2*y}S?RgHuLtyxPv?!$HQiL&JA;i!f zSj-4noOiq)mmUr;)~@kF)nMa|Ps1MVC-4-B5hL@m^vT(7B=WGxhXB*>a({pXKRb~q zhqn$+NpUg0Oa_*D6UqtSU9`gIu3ouXGpl{K->K()-y0&Qg|ES2>72FLG?rT7wwrMn zP_WFb$~`p{a{Zw5Haqh~O9`&OlDK8DuiLQ{Bv^^M9TT}JMgiBSyc@QE@8BH?O$hOE zqp3oALzb0DNNeJCrDl%lJw8`(ckF`h?T=lMx5539XxN=z^=_pG%gM^ znfD}-;9X?U(nIs+!{Km@jJ7E0(Zwqg(hlidt0}n_&lm$*v^QBmR?ai4k?8j(A>&;t zQ)rj@g%p!>DP{(@Vct}PNK=)&GAs9d#Vy{Za64VVy?D#(x_6Cgr|MGOu#cQ)G%m@KgTrY{p@yo=SnKl9%2@^*Om@>E=$R#Zbl&Cbw@%#~Vq9!%|+F6Ywg z=nas0&21!0Z{?QTq!aE*HIERwQ~UUGIG5gp{#@?fz#nprW(FQrT)y7P_y){2+Y^AQ zOcJc!t#KtI6jGK-UTP@XB)97Y5y{a-S)pz4mS^ZW_r}kI{6fI9)3Q!p$^@8nf-^}0 zE=nmAlu_zHN^#CoPsT!KZs@e(Ol;EVE|Dw3i!aNycj~pbU)5pWRe9}EkqPc((`!CL zW@pv{(M<7L;_~knTbZ76fB_Cm!H;q~E?~kT&VvfR|5C_5q?q$J3EYV!)+{8TeWMk| zAy)LX+-rG_v;O*ZxSedI%@RJfzXkfjijMIf<>(n3W%(zvC0cYpV3;Xj#-ces=C?h% z&aDm4r_6|GN{Db&?vMT$`>WplHeh@yf4|vQlsDa0ps-Ogln72fI^v^3JO}#)nJkvT za7M1{ieM8K$dGzY7NvU^&0W^n? zBggB)SeZFu6~clon&1-p(SzF;<|OgNteg%GS}+l5b%`JYabE(4de}oftz2lPpdWe2 z;E%2O`HE6A7Te8O@!Pu=ZPQ?dNTJ{v47_n9&jXEEia6W`J47)@N6-N-=h}h`oK;Y7=vs6Y>Ay(TPh(iZqonULKxHZYRd& zs+ZDWTmdZ4h&P86LfO*q_SU9SheEfUf}uzWjXdl^3teF7fOO;)r236_x#HoDBj9E( zkyC3&Lc#J!DQKf0OP6*wCSL0FmLH6{6|hC#W+aD4*n{r!sq!{A&+I zCJtywc5Rdz00_aX4fjU=42&^o9IgmN8CQZ$dWJqk0U}H+pi3dxjdtUw1WT?%y`w52 zK?ZU}VQ#nQmxu9POK0VslX9*C#YvKue1^4L;b3I2upH0|!oA>jv|JjscD;h!C;*HM zbs3m!tZ10f-4iVl2bXF*%@x^ksP!&)C8zc=II6Xrg!$dqxoV|*W%_JQzJ9XG-^gXE1#lOZ1rnV;y{h(Gg18sSviG`ll*mg zMw^>|Zf>jMs8JnaNX73XCqS(kA6v6^SGJbeA|5viMH^T7waY* zbkTS$XPR>y!Bz7#x`R|rMCUY^ovsUgcxy%jlc=Q!jFBHUF77r@_*?}ZDJz8c@YSSF zd*;W>asnBCbRqKv;Sd`RReN7m#Q=IT7oVMfeqLRHDJln`}9MntP!xz zfmK_GL3>cIlW#lqskCdgf*it7z-NBCKChVbJuvT0ZW(5n)$Y%Wx*(8#j3V9_F_bMb z?$wn z#l$l$p<56*5>7udDuIlthUt$JNBI3iePi>lYRc3$x{gi6g8-^(!X`l?uTQu#bhpR6 zi(V4CUkW0Qbh6H2n0Za(NMZ2ox_HH>Z`kFz;yv0k>9cb;@n1LKcEXEIG1!8We4?;e z?(QeGOb4c!(QL^>1x4Gt;9&~xX0^uz)jo42+mk%?V#j(>gl2(CUBj89$+Dyb#(Fjx z(h0=~!N%IOy`@wtY;dnTXMjBOaW`jG+wOtX+Rme%Cfw{Nu-Yl3nUp}a?K-^ML)LJ9 zIJ%`pygo*7;&IGo0^EvxkQ$8(Z)6_c8;|5^ON1%C-$u5{%QPuUsHLgiJ?-R=6w)i- z8Tj+*tTw8ih_x%8k(}*d&dm^rQJ0zU8BGuI^>+GjAI(A#0evE@ja}R%tCk3G9N)gQ zd;z2X*ev3k`LD{4zetdOc`*OKNk9I^OYr{2RPg?WQoaL$|0e$U3$^%n@yEY#mH+ej zWGIsA`$38rtd^IMntFSCq)D{}st#Kb~R^AG;w?@25yG~XK9 z|HdT7Z=93ie=+Glw>00AzW=cd|5zFm9s@fo&A0f#PXE8Nrf;3)zg*M5#WVl!(-i;g zK4?W)zOUO~H`D(arQ)v}`9CKh%&h;z1myqUOvS0={eqwntHpzkGiO9)(Ng)JsTG`c zD(9EO+xz2$%7^C{r4aUu!5N$PV^m%nAMKgzD`UKIG0HHFbu_cb9)`PAYi=V}@p=ce zW)FpXUj!W;2Ocf6%;-Z$<@H(Y_fw^1Z!RCtrxw-cyX|f@h5DmzT%^_>#_GdLU_#~= zN2s7|EvBs^sSzZ>==^dZN z+&}wR75F^e9&hK6foHVKU_5q+Q0GQ&@T>(`6%-%If4bd?zfVzhn@zKzwCtI!>X90F zIA1!Pvd@*FRMk$e#YsNA;*;JeFiMia%&Om{5am91nmKi<+Q`}vRj^(HpHD49C@D-m za5E<@sT}+u*Rnf*jKfaZdbpNq6-8~8kuaW$F&y*-ttzu7{XSu`%qDUp>UK9M+14w4 zU>v{2INWP6RX@)?5$as%EXe6=-M+MnplX^(+YEK#hUwGEts1Q;L)NZY)3)3K7cD8bU7|%pIIG%Y`f9Rh3N@}#!Z^vw zOC^M%2{A+NUV>g7!6Ch$mY-oLj%dkgg`r4|WuS9I6f`5m=S7EyV%mLuU7{&1CfgNx z!}rLTHQm0P1%&OF22l8)c(Z10zNJMOy|1EF9WBjh#F?5D9j5o!7hIJ19{Jwav0X{h z%j2MAo0OEDqQj7ZZ_8zf0i zP7XNawKCKYdx%X$=xavqBe+=`_Y>>HVL89rDC9A-GUioyB_j9(5Ujp=FqT`z6Msa1 zu#D3F>F2ghiF5q;uhi`M=DfEQdtY4Hw*x($3SkW+i=5@NRUzPy_Ta@jyU67e4TR!J z4+Ta$9!sVwr5lVElqpdSoP&U7sCMVXZqB^Y{`v&EQ|W>4pTea87J4PorbFPoOCCVE z^3SYKCHUYnHI5tQS)=E&^wiF*M9*dNCXQZekN>292%Q5fB3XksED+p_F!nObz@~xh z?K_KSz~vFLv3F)PHgzx1;Wu3h(4B$zu<06%)bZ;wAYB;uXH^@Jl^`+8h0AEdFrX?syF6CT>ug;OD;Ht^a7}g^A#8QD%U6~VqmrK6`#h+(w+~% zM!V17RXZ6Jp!Y62ZLx2fly_KuRTcNcVpdUBC%|Y(Dz}-m;KSL5CuPooI3{;`n(eQl z9hdipXB7bjMJm?j!EE`ZGR4DW2sY6urz1LZiR18lrJ2F;N#6qn<&m6nwY$U-_Q7iq zZpK2!VWPTp3FhnPN-nMj$<)uYu9pwdLTl1p&|^tJ>|6qrMZzWw*iq6n@Fh%yYFX9x zvXVp`ruM0T4{^=GvZU+zl@hb%%JL584V++rdHA|D`_nLoNFQWmx$}$RK}A|ZU|}>C95qbo}|oHt&^Si|!WNu-nB$@eMlXf<~Lg`9@yB2}TrM0*cH$7Lk2kRpe~!u`l5R z;9+&KsTTn+AI<T>c$V_$|G?aNi4?fadu&8B@k)dI#k24r`7@Des=yb!4CNFmSk z-S<#S__C2?tOsV-0JGjf)9wl3?_v_2JkdvhhVsuU1&WbV8}sOq-$P zZXrpCw%nv*5hhpGNhhCW#>-iJBUgOdyW;X;1-9i2Ywz`@dRvtPqy;NMug8ske$~T6CNb8y zfbA25Qb*VkIN=GNw-b;jrQFd1lnv5DQdFUfN+OMTIGs@F(~n?Nu*B z4SrMIQB4v7urOm&AYL?h)a6uPGsAf1YUz(ONl%ix1@gl^`MP+E0s^19XT}p2dKaqW zF9Jm5=d1oy2eLk1Y6ymRfyCFmD8Jxh=g0}i&Ory zBVjODjlq4~S@Xg|9aw8vOgWn7Ts@zVsIqh+A^ffpEj_-Qy=<$w(Ogs>`JAtuQg@56 zAYoD#JiOqgKJh*4d`2<5?I_$uXu1x-+0h88w}-h7k}QrZOBbbgj2`RQ)3j{3P*C?Z zw~edM;%K!RJfd1iFf#l)>ll-sVSPC#aKpQpTGbyNz4bYW!&7(>1^6;Z;WY*#6#euJ=P7J~1CV|^n&yi^&U zZddnQLuyILaLhn@(+x1Fn}^jo%P4bv#ehvDfti|c9%S#+NK8||X0<@Z?6=q~a}?j9 zh=}EpiGLDSVD~aN*rTTXBM89pGv{E6NnE@WCm%Lp(x zKU-Ze;&}!C4&()FcfYxgM#6ht5u;ULqk*w14^2GVxH?!{^*&Z!f>Kk-*+#!AH@zEC zW=uhNg(`ltb}pL7pW_=q9H(+ft%^v$x(|ZtX%Vy956%)V&Jj_3Z=UyOu_K7%aUv)` zIwGNcW9znmI>46-zLA1!w68CDH%J}kmenlQY{H#ygr@#UC~ zBfNeSY-3L+LuDD%I~~d!_*MCFqLJE?Rg^LlKxb0x>@;-}KA%#J(k}YBA6;dwS6#+b zk9BdRcb%tMO?s<>(3mgp?Qdl7E0ja~xv!clCczm{XyQhpD2UHdQ6hR;Ngg%g!769` zn`sA0O(s2ZtW<i^jfIvS)QQ>ql2Z{m!|zsg%B;_ml@=f$@3?2;8!84q zp){BU55oHwavp2>6FAG&mL#G4#d`<}1;X1}bfn_wl^yysWby%~Kk6gG#5R%ZF4xbl zP`8vxDj=qqJS}R);#AYpf!3I^2C>5(ir`XawShnd>6wf|@9~f#gO4&FJj}_Ck)Y4U zgyfTBWcmxR`)CpzVJJ-Nm5f7J$h8SXb%(VeJz6vB`Dy$=qgwLHa$!!D*(p^=6ZJkcD$?b(}F z-=~VkVJW3-7eVjY>MHp!T^SA{sj;U{}9=qRhXQ@`(sl`m0 zj3>tXTjW+0G8tPmMvQVE{)u(NxBk5`*? zvpt~9AQ}!zxOTX3&Wb_>cAb_?)Zi;49}5~OvBp34!Dq}y_GU7&l!tzR$Pe_2sJz?e zR?#NGKG<~)ov_G=nmktD>p$I7k(OI80+j!ZXk(SVefe^5lNWcO>ZenXszc}-Nz>)#|lH6aR%~JOWqx%e|L>QlZX5nln-kmIYbO8w6S^yHq>kgsqPurbmv>`Z8muS@ysN z&7ct0r;DC!VUxw;vkBgyvyvH&vC`I|a!b(kZdV4jrEo3pVdOMcZj?feEu+Z4zl zqG^TfuA=n0w#a}GkK~uyqa~@WN2|L$>8g5$fLdtuGhV)xeUA>Oft-zVerl+An=|E1 z=3$e9)zCo>iFK5KzIDmifBe8ZkKE63cICva>5=7ygNq|H?W61G0gXC?jEb{M5`_WF zSO`2+Wuwcpbtq~_J3i<8o7$JG^zR; zoOH-+$#@2gOLqD4qx~ZdH#*bO+LPUptT;D4+(+pVrDn-BP?I}VzlR}N-%*ZXpkRuA z(s@jmFEvHH<2sz&JaF*P*ad94KKH<>{0qio8fBXhWa`+9{@&-9JltYr zw$;}Xt+gJkYJsr+hIl9d$k=6zi^EdU81%Loly3^ngUpg+5;4Q3?EUfFSFJ1~9_Wq4 zLmWu?W!4xjiU5NsgWFXxfsXk(N?lR52un8Gi0gEY6=aBDcv(VcUVW3l$yDxv?E5Rh zh3IPvmkg>~QMreh+mgd%iA=il08=BtEx=4h}0-+j8+TjzY^>$-!v_Z+d#2E12i3S*8qyE)J;BEkos{&^%YU zEm@HzC)6OK0`4vN3tDhy5Dx?kv%pd{orLvSPn-P!*`Xm{uF+|5pBPk0xSP-59C?VY z&|<+rEoH>f-IbrDJRWCZ)pEo2@+KB+luR`l(=QDA`%@qv2{6~89Ux^1cnw8)@#bVD z0s@5^(YlhK6f_vdqUHYQk69~;S@pVUsGuyHCa|}Dq4}zNp@jOACtz-G?&RAiCrqr*!w_N=aU`s6(oshAKnR ziR+@R`8(~pgkiK5)B_z1|$9AH6ITk@PI)hM9&9GrA zU;8OH(eU5zGxq1(TJa=3B*#o}d!7VPzMgk{yx-0V)AG+)#16vf#E})H$AhkOP@SE} zU7pd4mugfF61C{w&x5FwlhleKW>3n>^tdsQj!*Ws>Z^Ui^IM0M_WhDUFC(+HThC5QD zO_?zk^WqrodlZVs{2MXx{G-fE^c?kN>wT|?;;*=+zHyo*lxZe;QDu5=_rTF092gF2FmX4&o`4y2Wk}#-$fKr4H2CRzNvOx_Y>5tOcFW1q zwpq8R>W?f^T>`QU?qtgQD>cVdUr4Z)XGy<%B?W^MOZp z;T*g^T?4gavNf6dzm$rR`oR^QDXO6XuA3MtBpb(g2!&#P6k{x8NvkSf1gL9%>{x=2 ztQgNwKf;GY}l*9dqU+c?FxTc_Gv69eu2i z!N`K>fz8mCIMt&M1?-OU+cJ@vV>)tIi{s*-jiSW6yU{0{>A&>#i1V2D4T$q{eOHo9 zx?yj!`wVwCYv<76KeKgu#}!kP;#PG0sf<7Ej2D@YxJhz)mJv%DFwHs`R~&8Gv(zJg zRti;2^hY$oZ^8I7`$;`QoxjwQ!WMuy^0(xoj`cR&MkfYgxv1SpsUkIuA-O;L=Z_LX zjdz?0a}TK<{!tLdq<^y(yGuq=)0zWp>~u~w9%6|))*JQG!dNvH)A02VP9@gT8UoHj zydej2=k%&&K#0z7MWsvPj3q1uW~%MC1!eTXIZsL+4H_|;Zsei1)Z#pck?xAuNy|pm z7q)Z5n)x(2TwJS{o6f}rY90kObkdd&w~Ng<(Ic7P&1$YwxX9``1~<`fdZXy$g+Y9eX7LvFi>~6LJ4^DDZDpvXG0>an+}_Af+1opZdf(+ zb1s_NB`cU6Jcc{KKxuH>zu5MEo!Uua&}Uq_g#EnkA^UsR7^%@%BXv)mDJhFHmyIUmUAnh5ac>Race&#+mO{m z$O1#-G1qa?zP+5L`=AW!qkT%jqh9jPfN8qOi0bR&?won{T;|wMGbwc3vTvs1{U1!l z&EHG~b=Sm8OsLW~Q(^b7Oof$tA&DpgPrWVuH&c<6VzMph>A&OiwO=?)ML4Hm$mc?% zPwtZtR0pD4B+9xOzc1`)ystIr&^7rqk%aJ1rlRj3OvPz++6wMJnF@o`qKv~9e+TtJ zPn2#~Luk;@YAw!gK+WpMa@K+OT>cO>u`&jy&Wwne}*pL1Gw7 z2GMljUWb$v^n_>N8ru(}M-$>k!R#W$_HgY->~bIA{WeG9<~gj*97*K;bHS`-%HMsr zyHm8hCU>yHgJmmKa$Rz?!-#~+G>g7Zpx4U3F%?IT z4MW=qcMCGoKkh0O8#k>JJ1Fa8pjgrfP!&bRWZ=he_RAmwf~r{BmYUG>hRxw*lg(&2 z=a*u&i0CpbJ&X@Z>c|JAig+LNTXVFx1O+bVBRuMxXh=~qUHh#r-|`}G5*90q-f_;g zJ-Sv=PB+>iYgEj%rmaWgXbK-T6F-U5u#lE>eC!6mo5kYLuJsooSGUKzQ0mEDMx!~M;(U`7>iUQpOEd8xkdkxK?)aKNL z*zruQz?$0FPR>YL=82?aupO}m1yGkkagB_?2V z18^DyQGYvpcNdt0FNnO^LpZuWgzC`-U_b;~k|xgKINX9Q5- z!gkcmteOq^TXoJSA2QCozw}x2n3ID@epH5HyHwaA(W0#R{_uTyk;q)}FK$`;Wu?+0 zGt`m?^DyXjNXA%3L?^KKeY&&QPhC~s5l=oSTcUjKgZI^bluX{QWIP|od8Ns`UoKG| zDTG<|xhj>%!E5(`H%!|?J#&^CBhJUY5}XCzKp#Mn`7{?9X08i{d$w%ee(pbbuF<5F z!1htM361FCYTSO+)-0>EO~lZq(8_XIhN{{9GQ`ow;@^a}HgVu?{lec04YB(^z~Re& zs>Xh$=kuds{Qem3?e?Dc>rM2sTw*sZ1+ZMz^&ZF{h^HM*Vmu-+q!*Xv&YPtezXo%XdaKBhy$2+UF{oeIp2grt9D)R z!JlHR9>8iNe%)yLnT)l5u&1(%F&z9XDB(ypEP7TD>W+YM2a6X-tCrfchg zbxEY>yCdz0cgH=r&$E&glo)vpEPZgARXxZMhI<%lI&)xd7Km)KMgPdt8o0g~{#}ak@ zObAaC$k|{iQ9u?~$3R?6LoHU=p!zz_2T&>l*iSBhhOC}UU^C=$*p0$g=a%%s=~Y&3 zktSwhRc3k#c2D-Lb9{VIUGWC0@^(t(NwPzA+l*}afMQgg7sHd=N7TSKh{hk5^md7{ z5mv#>x~FIVHo%u9DX!@Eh`V!-Cff`GowQb`T&4!gWO^AkbY9)dKpW2|OmTOJNfQf^ zdwn*1{x}I0M~sNGmn7S}`*6IMbMM>V_q ze>ZvPxV2+zz4xwmgA2u^&L+hP|BkL?DP|9+&ehC{Y@v2e#o>aqvqH=9*~DN#&eh;T zRjQ#|NObdQ&DS&w?Uy`+v}MK{;A1&WVM)1z>lg^LMXob`B2!*TgU$8ZQqkb3f);;1 zmzz?!e$}ZiesVpilA0@Xm2Q#%#E5>e#6s8|P@=HHS2=OklMD%>Y}YXmWvoH>6VF(y zRN5@bH~!wCGm(jz-vM!XtC6qZqroL|DBCfwMZR@9x)8bxyN5srKP?>xaF#u-aLEo; zhy8QLt%<75im0!nJ3l5WYA@9_z@tF~&clH8pmsPqO6?MWh@NN}uMdxJtzhN`&Bj=n zc{I;(qOK2Uj*qvzqMo8b!JrnRpy*LGqD`MEs_InIF;jzlrjh} zrdSM$b_IXYI^Kd^5w(7-6?g%2m~s*p5i_z|c1{I>AQEb9Ke6*K=3~q^wj~bj;;}+) zWE)$f(t;1mSnxHS${G_S=pzX!1L9-M)#qAKFaH0O2CE;Wwtbb0*b zet)`XOoiON!x?+QN!#8RGz7c7nRK}so}Xa~JCN7iPBS&&si=ovZh}O{bO5nvNZ8iHwJy@Y z4PC%qFe&@m!2SK#mq0b7aFNuik@CU4&ekXZ@ZF-h0NL`SmxP|Ue*ixUA|Q7bE3B04 zAAY6ySgt(lJS#E@;yVWR~;F5AOqoAU&evU4+xp0?A9 z@t}*5WJ4%6bBZ z4gYyt4{(L{V~0xL>CMkZF-9Sj1a<9ZzvT&GJkzO5zWF>X>6a~cX@iK< zm8F}-jQeMrzz?Gv8R;y8BUCV-T@@80uSIAB;DbpI^;)!PKV9`M23e+ zvJ?UnOK(lZX&O&ttZh2w1>l@Y)>uvP>7ghUC<8-hixWjL@x^5+>xAsuKSCo#R$H4e zsWLb*xMzK@KHRHzBMk zSFo0}v1aEtFKX@#gT228Mw}E>v3+vA_ITiA&GWTS-%5O>W6Yl0k_<`Gg5gOy6Pi|0 zMr+F*eirIQO*9M?S(`m5$i4)b^*UXFoP+uBkcChOmxfQQ1xCg!(y{T0T zG!)ni7<>u?eFl%^P^~A!g+8I(V3S{JNrAV^KR(KBBH8md(y z3%s?N_1=HnC!!yO> z&YFCUVaVbaW9zQNj;7MF!;qeU*e6L^nQu-38_BKQg!1QVe58HZecKM5Fm4{kZOg?- z71pm8$np3{5hHsrz2I7J;`dot4tq|LRI0h4!XD_2#~1>1t=ww0GAJ~yvvI2rdDTB7 zdm>833&iHDbEl0vLSTy$d18K8$^sJ$BEwi)f7aF*h|#xb#qD&C>dII~hSWXf(d+p9 zs|N<~fU(#n7Ja0|L;NM-s$Q!tz?QKNfwe@!E~?9aZma&fIS>z@!PcYwtUTf-jc-Fg z-^qNWy!6T z3ei{_=&cdqjqpn=D_EW;GZ*vV9!e!GWr5yL!K} z(PXxey-oWKli@Qmw9Tc^!>xr72T&PR+cYPm$)muG@gky1_J*!X5J$w8dNY+p^_9K;v4Qlc?8$i|n7SMplBs8SgL=`uOei zVcW<3TSi`Mix3@o(^jH^e*oTbXGpo-s?}hQ?zHfFec|gzNiJ%Y-22!2v-;pNd7Q;^ zDyL~Ta-JGWm?A#SFVrguU~@_2Zonwrisyrsbq&Njz(4_mQ2G+bsE6Cpy?Xt5+IO4*$P8{Q)Ew_ zTG)8ei47QP8cpsGifs_$g`jK=Dc${A$a_i0l%nh{aaAF*`l9%%6sl(!`t+tSM29Dv z1dfACBUMuYmlA*VHvoGQTRhh+5}o$ahlR)U_xr+9J8X&N2A6Q#0>ok%!Z?dhXbU9g3I^5T0mk!3<2_Fm!^4nMOgrv}C zNpR)y&NEcy_zjCuImD{4NV;mc(dB8pgyD4Qf#mwC39KzjZ9PgI2JJR5w6IfpU)Vj4 z2TkBpn$oaoPG}6|D&Hg_a-5ANuhuQD?zr>j>sXu5SzJz7N3kH2WrMdKqDw9_C^CDJ z9|@{iC30*qiHqWw0Jm#?UAc@L^_h-DMKBz}yC$u5~>TxK5IEm-S}N zWouAh9sv+*IankDUXgRTT`Ce(k4+8?FLS;9h3Huz1{M~#Z+6-HY>wqb(yTYj9CVZV z=@J4XV-Tcp3_Sck%y!KD_>8hnzhG?c&%zPV3|S_%fb6wXBs}a zL^Kp3ACaQqo!!yYWX64}iLu8yr3)9WFJ7!KzrN@0()$7Q|nTk0<{dQorOyb2yZoIjL zvnLckdin;)L~uzXAG=WdkDui9$tt)f&XVK}=QgVPb$Gq0Yo_SGgScR}Aj(Pce?lg7 z8j$|>uTGXokL@O55PbYo<~Y!CcuweVt%kWLQ+res=D<}sAM-Zy>2~Hi%+BWnZgyBS z0H}c~*x=UBz$1>4+GzFi+_d&k34%Oh>vx2eZZ?VrmoGhIbQqYb60xBdVQ-Ky-OFB2 zz0-Io(@|4gC6iSF6!b_PbG+PgCj7?M*fhZ6G{$*b@@~Hp;kzo{i5cs#hHGfWdmH|e zJ8A&lgjyb_YF`m+U#D#YCph5S$iy1^R;><>ZykhR=x+x7 z8@YHcHa=MhS(!VIol~qgKOKF7ozfG7TPj=N{(>WqN7BovZ%^xEV!P*<1}E@BDsYGo z2OXywtS)!`S%OjkfsUuWrFo>xIdOAj82t0R+S=%bXC{*ZqLpFy=mqtN(TH4?l`si7 zX>(lsBHr*VtpXoWCc)&IG@LA4N-@q|kk)5lA=uTGQNA4sKx(4HaG7f#s%-DHTUJsM zV_6B&OAFB}{~7ag?$N3sGJQ=2Z=SHE#E(1(fdC8O(RT?@p}<=T#A^Qbi&O$t96742 zJc+%VJ*DC$T+GU}Jp)k+*ctMLA^YmUX1;d4A+-b5$cGrq`?!O~W;G9!r?^gJhSr3$4=bMfJ%|h&Qvoi|w37pGC!04T!;L3&cV*>7xbySi-g*7`;kez3 z=byICyM-w-@HVpc(~4-_`g5jU0s1)K9l1{|xG=)Q(fg8yIPN)>msp~Qk}xY`R3Yi` zAZvuMX4nB*7+y>P*qLRy;o)9cbeb?=tWpK(`o>Cl+o<0~r*66S8}9Yva5O4IB5@99 zL5*hIzq$)TQh?v1!I)APMErrerho>GnY?}M{CFZ4jh>lJ2RHptfic&tJ=GCrF&q6* zDh(>>(F*kE2~g-;D`!}L(!12?vzS)q6@?@)(fhyL#YD0NDC3#`=?2VDHLr!lu9ZG56D3sGU7Y_tbNs%xsN&_k`zU+ANM z1a@72KqW!{gE!?WhvgL-`7~hDgGvDIBT0e!`OC09{4=m;Ss#2S~9IDi}L%#uKIn4AdG%R?mbnJfT# z>H`iJcY7wrEw19)rYFtYhqLS|?3`*MR)~>Q+jZJY+|ApEwF`ivU8rc}VekYk)!t6Z z_x=G!@tf?hqOyNpxZNLEYN9&4S>YT?>M-Y(d(HY#Ps&j2KR!xjA?sy;3c>7n4M3X_%S6 z@G+W|%kbVZuZB97oJc6+y;-`PFAlQ5qBnX#6MH5lS_G_#eoQhSvhsa`X53AYJEcNfpW!q^E=< zGs%A?JKAm;p;>~-w;?|K0rJXSsRrHPqzg6q-b{p~g4?+Pox6lDI$pMz7O&IoD@3nY zgZUSpbBg7eb!gBB5KHZJ)Bvph7)PAu;m_Ey^u$zq<9djwuVN-63-dZh-iJvR9{CnN z^t-Q*7eZHId~d$89JH|%0&h&exjLFD>m2a2RyOuS%4>N8+ z%$b*W8rW(;0{v6$SO+G(^HoWP?=#UXDdC%{?RjrDqsVuU3~pj(o=PNPtfD@`DEk&W zYRgpGEmTHc4$!HlFe0V=+(~zN$FOT2Q&{h72)f)}L@yn7OgCQE(qlRH7c`G;j#qX{ zUPexbDl#k=&V8&WqTC%yDjTD3qKy9%J4~B`+x3i=6XWt~Agh^XAQnbW{Hs=GO{4|) z#RjsTblQ);{O^okr&zKo+efPT73Y7WcUJJugcG4*R|R{nLD3whx{#qzdv8CSUM|YS z%jC$+m~FVV&_z5nRTq)Sn4$F-mct_9iiooM@*nWSNOt6rD}&J06|r zLxfiyn1MWc(a24i@m!H zYOLQEe2=?pU>xVyVUBaOQ|jk`DQ?!n!qad&r@#_jSv`^=nu=AJXPr|RCRnwow^ z0!jX4WmN@MzU%X2*v2_@>R~D@X7CgBJZ(HQY+4AIg&XTcDzG^Z563X6wJAWAjff2w zqQ!s#FQMtr&qgXI;A3Lte-oRKQ=h+wD(w`xEBwC8(W((lmFb*hx8j*+gR+7eoYG_2(!s=Y=JLMpF@l%zipDWAB^GUHfVq!K~H|kr$ddpz@uyqxz;2U4hM3D ze=v^*4qkVLEc$^8w&KM5LyhnfKVFR}&iQ1QN1J*{%=m6wFmgS_KfISiQY!~#a~azs zdB$1*WEHiS(PT=fM)>Vl57yN;=wB^?awN3X<@@R!}ZR0 zq_&66Oh5@+ZE-c{W`Z7`t~cktcR~HZwfK}dH1Q>7_N_5&yb>&xlNeOn)kuZ9ruqvH z;MJ*Gk40idA;kuO?(2ri+Oy!iW|{U*brd9yNlb6f3fqjUTUtqJ7D?75riIn8{jSh z0}F8^qV zR=E2oN|UiwDT5Tn|yBgrjLlOlk2}$ zVvj(k`N-=Hj8cJeIDnt*1j?Q>>+{y$KJMp~|G?y^_!h@i3REnDFA-weA-?PgE35{| z@n4PXz=GBSr?MJf$67PeOI$KJ34J1p({5+)`EuF_hYp9qkE9Z@OBS7?akA#VKt)^+ zakc@<{Z-ky2(eEcr0)Ls$A1{v!E#Vehb+QXH)u7(fkd?PEzF;GV~`gzK2yQNAV%h^ zx0Nyoo$+}p-QOcSEDk8VHQ}6^*$Hc@o6Xaflw(J=91frgdDh~+m`gIow4-{%0|cCW znJu34vY`j=8b_-YwWjyTqy~zA#A8LMSg!`%$#M?pSacS-L!dkpd2ZHbddzp@v73hB zpE{}0GJUK;YPS78A-m_}JOvZ-c>J30WDAt0gb2-dpR!;dNX;I6V2hc=m9ZBv8KtFj z#birNUBph|HwrzX=;f-)ExncllFDU68W4U)W^joG4Yh>me1YCA1BQmya|dCt3HcN5 z;SRz@U%KRs;)_X>Yj}Kc+pM*O&Q;+&r%GHs%KmzdvU{dR`ywAhU7$mDw%W*{S+aFl zscjmDDJdF_YR|KBxk1?Jsh0ZZjO;IME_a2$)aW$k#h{T$#s~$I!x78NDp6j%ZtW}$ z6~jnR6+J|*bP4t-`d>t^IYM~IhjtsPkr!v(vg|7Ypa({n#(t)_PWM-y=Fv&)r~dA& z)Uq25wSb%iI9uP+e}lD&K`-A1?+MbA=_GI_cxm2V9qNX)U!R_nvB~+hQqkmH_|i|d z3EBRk?xeaI>a+x#RT}>FRpksmPYu0k<~0zF8Y>HrNx>tr{5f9<0QEUh1iQM{Fj39& zNW!$747uNxkjMq=mP?P1Zr9!*4T*vMN1|eEC|h`hIPXw6V%^#9$Z?S1!Y&W|oR}n& zJ@)g|J*zD*T+6RceKNv`KoGL=Bl-f11X7gxMjMn;Cq%V0n z6%%4?(A>Jvm-G@AwZ&b#KGwzEbv#E)Jqxc7YNVy!%Eg=wdj)n*Msoc$K?)I0OgD-| zbn7O2t`a+%CbU*Dsw}1OlZG) z*y|9Y%2y3yZ&Q@t)%MKmxsegbfh^oEw12rS71wpS_8FcaeWxU;S zPu_}_weQqHK7D)u+k2G2qgcKMKR+uBIy-7~mUB0L@foJd6fA(|N8=#$ZuBDKMyO`S z2+nPWt*N%UMuePeFucRd#2nhLQHGt7sv);ll7*}y-nYnk_YxlG06Dev;fbpw&m?kiMPwWdos={w)j=`6~QL}JDtz&)fe5bOk zb$aiRp0{ClWx#*0I-RgZx`J<^acl)I!2pJ#Jh?&F1N~9qS!nUO?tZ%5);+3ux22k& zu=RM7ZI`S1blwc5pCycySJel1&WA_6>@<_juNaB?32v)V^Ue?ND};N@ku(f9-qobF z)1`ep8Z~Qhjp7HMm`?m!g?CD#`E|BipM4pmg|SmWjBOoiV`bL^HSa<(x8=24d-suK zXe@PwJ2Kf&xCXt>RPvR#)up0}C5-jE&ymi5|!Zj7)BWNVjsp}074GpY+?j|>6lrDbP~oC(RriUS1)Ocrvmsg zj{?KEnLWGux~~=q@8f#0>r@rmHv8!-gL>gqr|?m5o_)vNNR!bUun7=l2S5z-yn`*3 zaAe3hxj9&^fs7S>OG@6<=32;>*i_L`$(A?rbkXJyYmM2f&V=W^oI9P9-@a2Q=KBQ> z=aRUCV3VOQa>mO+`&pC=PO~ufNzhK04U1WD`ow-HZ(n!oHd^-}lv-H9^VO5;>Lp)F zVV1Q(phcKx33(MQoj*j3U0C&-Kl;^Wb%-_Am4?^WiG)h%t^9JL$g$ojZ0TEEM<#xz z-h;Ng@^Q%yV#LV%rR0@q(W5zfyc^WOsnik z`hmbmbgrumzw|amPEOk#_g6_KF)`c6P(K?UBYl27A1(v?AxW;ZAyH54QMn zy`&5KY9ISOum|%Lq+NUey%YoFXtB;RPFhBn~?u@SWbB)%m^3upf4ByQh=s81Ea&W$;jxAiZp-RRU}(SQ%Nr!&@U*ampTl z$LzjfGOtG&zgs-dnR!-+F2^JI&%h7V*@>10!`_2@mNsPZ$_f_*#c3>Om*=nYUxMhw z%FKWOsI=S^5s<8V5=!(1cpw=gu-fQmF1zi50KpcBT!9pBYcsy&8h<{3p$yT2Qj4si zju{)>A-dUGO6ce3_=Fk)Jjtu`N3vUS?3cqyu{uW~^T@kDkO6H}k>0M9Q17;EaXmwQ z9xFE%!6zf=>?ni%6?HwG5;|b=N8!ZKkk#5!u8WwmFm^mH2G0ehU%|2FdXMwpL&Ar` z4D^?7r!LB_b+B6N@e!}2t37w29a=UgIZ!ugD(hFU8lR{=S%`TKxm6lnpEgs4=bV>y zTi11vnFC-PYso9v=nY1f}7vQ>ihG4|+79soLYfxX(y;ryY~&1Gc^Qu}5$242Fp zPxWdqQyY%&xdHxf{3oz#zmX(J7|%JKJnbA-PszW@*>HMPkwueV?o$k zLE~W~nnzzQI*rCo;*!o;UPI1t_sTU4DzzyDP}ZiM-a9i?uKeyK-ln73(k%67s0&Acn*qj`=^j-6Qr;_-Oo)<6>q_+S z{u%bdqbb6vj=X8 zu|6bev?y_=(bUg|gyqcG_*DQvbJ&&X>&lS9DM{5`&N;NN5xQOAo}oVP9bPEmf0bViO#l8Io|p1&t;CRDv+e9 zBWg^r6VPsZ6M%=}*duXEs)_*Y7j{QlOV~WJl9It*Q1Eh@K#1nqbre_#G$`1$ODL%Q zaHdRdrVV64!TeQ})wM!nxSw+#h3xht^eYDQ7z7g=ISNHTRy)H!f!|Dx8q-FHwv-tm z{0V^gLE3Of+7sv4E4xvjlDl9L)K0X6g@=z>9Y=(*%>KKNEw?=wKO^5l5VZ`Bs^SMC zW_ND<<8wL8jT$R9*8UgWeSk_%PYE1)7>M2)f7$VjjqtwV%GMZ8KcNil*T#Ax8|Mo5 zxZXCE{A-95t^2;@YeCg7;JG@6#=@Ypq5+bQ;I$*F?A7wcur@YEi2IR@+I~2ggvhj5 zUgaCfgc^yJ{=cU|rx+WEUG`p~6gCO61@LLF9#X=fDLfy(f2(C{?`7Z{*nWRJjA7{V zCS}g==9pK0Z|L|Mo5g=@1xxq+#@sqoXbP=oIju22b6`ESR8U;*nJSWF_iCk^TjC~FIG zeVx)YTI=@yNa9!KX=xU-YCKnACb=pZpKS#Xi|ig62o(;iM)fMRcF5qbW5jS{YWcl3 zte(bMD7j3@`!F?a+90CEy63ij%gXn>V7Wm%z9UruCaZF|?3b#q=&uG=jFFyHY2eB#+o~wi|fMnTR>vT`+afN8UAn#N0nN4Pd7>>&RVgrg*{am-_U6zSimY6nF??+%6|!TK=4Ufu50j1ieZ$gFC|k-$6Da-)Zx_-V9x37sB@<+1zi5Q1Q}v z&Db%^btn1yZ-j+3kq)HLWH7WO!XDl~q>J0#L(7&r zE;r7HR#RY={{DP{a%xd})&T?4MM#ypDVHBwVl*gQ-bYIN=rLt2 zfVY61k+G1v(gu@ecaNAMGdM_$oD->5Xs9{>^JtOb+rN0`Z&pw^Qfo#51`rymsawu z8I^%sPJ^ShMT~frEL>mP8|u_z{N38a$`iOrLZyCM^mzMwED+4_ zrY|MI&`f!1a2r|xmTGI8?8f1TEJQX&39~oyWy-UUhTu3A0#)$nFK}0u2is2VrGktl zxiIo;rweIYAqROafND`$2CTFPWIS5$q2*P*9Y$itL4q0@!D!Sb0s01?)sf)n4JMXRxP#dmP z$xV}VDI0zc^|PZ5Q0FzYUo9)7#V_h?l&DeMGjS@m3dIR%`EWRLlQ#*0OK6&u>}@f9 z%4}g#SOYLFIKsX>a4&Q@O(psbX(IYUgW$eMMBAOpu*Ab7(6_)|oW@8>D3_-{)EFaH z+!ow_IDBK1G40NH$n>4vYjS5+i6y;wiIyIL0LLP{M`Fm)E_c-2t$%vUN`%I$S~#Bi za?MGvZK8Z&%TL0VeIU<{<)WuHdq1X9e=zAz#^8nd@TbfeHsN*D}{uB@<(w5X7kO zJNg-0Dr=l@ck{W>dhRK>i_)Z;J07X%@w>8CudBmNgwEo>RsF7|j`ySql4sUzdy+{6 z1VQwuxDKM@JWk|2IZeL|ZU-D9+KJ>Nu})A`SyR2XFSBHcTF?{n2s2&Hg-=RYO(=MW zXkPqoEdG9duK+wjpC!DbHM9wrh?kHor`v}}_O`U$Aui#ARhq$E`o0oIblgfDc((QJ zg-KUdqa97+-GF>~AsA`K4X`Fs`QWQ<*&AQ!XB|GJ1QVG^@stsw*djbW`aVeeJ3;xC zUkge5ex|wSE7}X$>$Yzv58yU{zLj}TQ*V)=v*71RrdRrjdoH-|y#z6pWkFljs~bhA z;x3X}w))(%(rN-o5i+YX0T1b zYtDwpDQY=!`@?!xf(A8DklSSH%oEz%@r-7rZKDG?>doUX=^b5Q7pEWMS%p{onOXCj zH-bp*dufHPt^Q*>Op9Kg)+^g^n7iU34UFH5!VK7u97HR@+X@8n51A{>t^7>w8M~py z?I-iI%AxKbK5BOnnFd=G9nU@v7hi0@@O|~Iuh=3s92=pS(?ThV02jl64*bkrp8}(N za;~sq3~_p@;#QOELjAR7wC#F!!iaTXlkH8KI?*=z#YykkagV60)trL+LhU1#&7IFq z5r%!v>^AUdIcH3ss&igd?Vry#yTU2Ee3oMLyFL!}4+`_l;lB&bkQ;(sMj%saO-`l7 zigiz1GO~3&gu5(oqT?@3XCOhY`EHYOreK_9wiZ1ERZ6=gxePPN;jL5H4+u6chURBR z5^LK*>|wgG6YYTKiQ!r(lYF-q1=NeVI$|@VCiDUja6zw%3HeTfW;~*kmG|qD}sVn@zXW@r7ya(FFd)}X6 zyTsbCFPpP}?+&1;iKmh2f=h&a&20j>oqkK8o!mxAI-HxuQc+#@<-^1N+ z+CF7Kdn|z4d}SGaQJ*gKDcJW%!npYIAGt#2%BxmFN#WkWj zVl)Og%{Oq=4-IR)k0a!7+EK4SCxfNW6iYP$yZAed)@kegDxfYBxA!>nxn!uvok?y{UY!t^jskrOq9Zvbefq^S#9#wQfv!M*p84X z^obD|)J&c#A@H8V+yIE2B!nIq7m!M)<)sYj`U&mn4&dIfqOx~rNAR@P6(hz*vlX61 z>*Iyvhz-FXks}fL7Dt%q@)T`Sv3c*Z2-Xh0QC)rkY!_Q3%n3`n>Cf{p12zON_aUuK zacUDR#LHpR)vLu1^kN$4y^qON9wxeRgN3}W#(HUsV#zS!d( zhcgV4JQ)@8wlo-pI=0<%wIUxIS;05aj+k5z4CjQT2FkN3lS7=-;E$%dKh)>(fPEog zZ8y-dgJ4B-!$zfALML*@5PwxlQln1SUbH+2lXpo-AVouq=`~dku0VUc%wpnzRqp*B zc$iVs-`!YP@||^JtHg5C#Nfxt+*`=2<*{`ERIlqtIM)Q>S*DMx{ z5lvf^J<^Cw%B#z#vT}W69;*N_T!n(As?w9vsv&j#u{QJl`@6Qt(AfR zDLZ<1GBQaCl!0aBg#tkgPHkd%FuivPBX`CY&J&q-8C>dV5U16`!k`{BlzlfUCVEXq zZP(YqFB;Dyr0feS5-4)ExJ0avC_7-|U!^i-_*ZouTG`#gXBH5rR(uT#R+pYO|Z`?x=ctI zvPtBmB&2m5Wj~ry; zA>?PB7`yp69P?;};MS7S!6`W0wjZKN_$;j+sSjpPB51uvS8Ybp9-P3co_zQUe-pAi z`F7(l58vqP%Ri=w^|tKse(mD8*x~uo%A;LvOVIe+i3N&Q_{}nvr0{L|<>Ktst6|;6 zwP_u1`J?Xj8@Yw(JlfuV`6C3@CR=f}`P*aN>HOXKL5$7I^%<_3USV`~5cgdwVbH`ewJ(-RpaXmEsI-Sa5(hT8v6N zZ<~V<#pCbzYQL_xnhxA7ZZs)D9>{7}ZKBU=;o!xd`NjdhtsP+)@J(s67n?FY$VK zT?*%`0&}2#ekYiv&&w`KaTK7OXk`|1`>XnJAZ)Ywm|#Hdn-z~7b-5)|$$_yL2%B|} z#!9C?Lzv;yUiDIWh@2SH2U;HXM#>=$o-Q-6CkC>hD^2InaAOD{y$+f2A()`1dtcu- z;r#Y|=0_MHQdU4Mq;&0wpL8XZ>0n@lEnl3@$~`Zf9#6NZuzh0v*52t<4UY7S`|#nb z@k#35QhHQlz!tfBt+6m8q3=~cK8I~k+s>CV*yX&a;N}-9aK(Ocx{jQk+1SGazX=U= zZI8?awg-Z7267&4=pP1#2;?gXnJ{8o)3gn@ATo~bLr;(%(1=VY+{ zxMvX~4~ilUjEgfBR`~IjGnD1c=ud-Z+*rb@!IA|_c_X@wQZC-tdvD2x_#W{rZvmwZy^4F%I}C!a zp3w_l`JhTj!p0OCPfM}OwZ0$C(;&e`4r=tAdz;(#KZDtZ%7Vbx2VIcpn!v>xb{{S5 z=c%ZmA%R_MUt+7KoafWi=%|y(C1YTYtO59a68PvRq`IJT9-`_cneEkjniLy1Fe>v% z#)TZM&ooS35y9DM#c(8&C^;%de$CFhdfI^e01=vQP9sI-sO2`d*5-&%TVSHp!P7bY zR_CEaWRM03sv`-_g|k1o)AxC>rUIis2N^5`unns*S}Lu4{KoAmRN%MvoYL%RI9GIX z@I1pFD0#Kx^u|6-WvOkE(g(WRe3yVTK8s=QKD7JN&OXeJt9fJlqi^fmMld<Z8^NkZuj7Muo_vPZToFT^bnb1=gHJhkY)=O;fXX=^j zJT*5NQ=8gk&$Xlv)UZB2hy$omdHeT(OfqW#{CS-oKo7GrFCV0L-N?An10fM7=fnIu zIQMlQK@21Yqo+|JiwxB@31p>oVLeaP$(%3L@!R4Fw1UkRJ;D^$=))RrTu+V`M}o-? zxrgwwOM!Z2MZVxf15{=D$O)H%O^v?A>n{bp*Ks~5M#Y&#dh6HWR|pc(<08o@zdXw} zR%vo|Fe3b?Ew6#k2M5zDA}>s=$eJzQx`eHW&%L*(e1P5gAhyA3=a;OJsBpCKMHzjs ze3R5_iM3-nmlyiPBV8US(9Ht@@Ka`z;2t+as}S=KVDmo=d`N$|n|ppLAm>!lC-)-a zROE&umikJSE{(;X6HX++YZ3Mo-GdzGc9gYMmR%kii}SlQ(o0qfkTQoLo3WHSk-m~u z2a(wVX|~wlpn;*wC@;UnTQzL(93s0^11(7fK|I&*m7eJtLP;#fPkIPVPx}y&gse7r zOlT;G876A93|;;*t?2n}e{LFIkZBAvDHKtoT3VJf(*9Ed1hqyS7z1rtN-Eshk1un0 zV9^$Vo=AWetUz>`)`Cdl^MFD-YZXRi8K^qNE|E5$nG2OHx|D*iP^$D1>e-^m(|9?B`e?RYk3RhWKSpQo2-^x{14#vMy+5eSt^|O&> z`p+BLzqjo_FU898*Mt9Cj?2pN*~)&>?f-g?`{s%SxgPQ+A&Hte0 ze^B#3sQDk%{10mW2Q~kLn*Tw~|Dfi7Q1d^i`5)B$4{H7gHUEQ}|3S_Fpyq#2^FOHh zAJqI0YW@c`|AU(UABCFB`!vutIpa?Ab?wk!%0f?NK18|}NVc4bNXZZv2GhVMLrpvR z6NAPUy*+U8@m0h6q1D#Z+to?|X|1#Hy>WVL6+)|7&RzSUi&ZI-&CF0dHAyN|xigW4 z$!_5{_nM!Ep+;UXq6udH5R_O(4}Tz&XF=$S+D0Z%2wr^sXdl5&^#3+`slxmvE!$Iw zlbUk_2_>ZP`C8^WY$Q&e_bX#4ZdU*`TQn^`MJGRfUL*r-p!3XEAn0aj*xS6!k8=cC8ClQn4q3P#huLBGKdrrZ}MLL_9 z!Hefm4Dz+sN0Cja5)659{=10ml=Xvyd!L50Dtrd@`j@slVU95?U!T{nJ{#4LVaCsG z`nFZc=@;9_RXFeUkJ}P%C*;8|7{%f&+_>wbA+r-Gh{b*EeKM2IAK$v2F57l5ZhoOl znry;1@^4ok^D}U)kB5`y>htYfv>b6D?)5##`?S0py3enBZNJnOXy_>&jRQ)ca7B^O z_=rPTdHOrs+gk7iWM0JEA$6+t5m+SOrcT{(MJ0$3hgE9D;~fET1k9IT5#)Nh?if+u z#{25pUVmG(EcZ+BtvMs|GwfQhfImz4G38^3Fzus?ex9GnK8R|Y(&T!&*_JNr+M>12 zx9#7xp`&DeaXbRWLobB8fXHS(^cWs1h`(bi!dlqxM-?>at863vUJbc@<-iw$j%WT% z^NQr{Ben~HKrU~@mofIFdK{1@(!|p(&?$by*K5p}T;|bj+MVzo$EP3PS0$cweW~;P zun*1)DF!6EPW#VbHXW00BYC$|<4l6+wLT9Rj#__2s)%Q?U~)ka3sZ zjV<6uFVqnxC?m3Mi}AJhXMx*?dGfgIktULDPirYa!C)Q0G1w@lC2LT=o0m{a*Gk6n z-ak0WN8rC}|7BaDxGPu4VUF!uzf<4_oRhr-I_uaApHqmpy3H>)ieXTi*nBWS4%J}? z80ZCG)cf`QJCmB=6z;RH*b*6;}M$W>Pz# z6Il@4XU<$ZAB5XwVhCg}WVq7V1tkll);?b@4=wRUY3y`p7$E719q7m3tUK3Oq)yPd z1(5#0(bH7;VDx?ze(Y1rAhR4MXDi}o=QfH_4GstUKYlV?qw5Lyea5EjX=?f;0kEAXF?49Y z;}|i7N@UL=>0pPinQ}4!4@D<5HGC2+$+~dECwqSUBYV;>gr*|%s4=3vavx8Z1Cj_5 z+B4)OPha|oKz}HwXIA*1MUFb!+tEt9Cu&GZ|4Pp4SJEO#nSEYR0ue1gN^G*x-bYzV zasM4S>+(FaJ3D)OEL~q8kD<7_;1Dlj=D6?gbrM!BT3RxNX4agAJ#X1CsaBrXD;D#` zOR!tz_tTm1eKRMlM`fjLrfhszy#Xw6c2tvS`H3GcBwt+3ymTD=?KFha9Y9wUe^Fm2 z!?vwdk%YQraGcg+eNJ#9Y*L-0Ty6UL8@`A+*~keJ+*G$;&gVclh1YR`5X%RF3Z3&P z0!`5QixyvHaIwhDY5EO>@ad?R?hCHv!fQviOJ5F z`!kbphvn}y{?rSsnQ3I!W?E#%Q{|hg`+v@ov{lLHM;JP3j!gm(x8v2 z-8$=9*l3Ibnya7ZCYR=CBPb2B2KuNgRhs{fAyo5B`LUH5DCS4wMGrR5VO38eri{Ea7KQYs51jOjrRN%HF?qI=a{ z=jk$TqpE^z389n0#lHA>4Ja_oe#e@*W_g@sBj&h(EV6{07~%`Z`M0tpXOr$oHluim zMh|o@2@_ceqcZ0Bz@@VOrN-|+b&^`~^fYI<>1qL-%NWj|we>>oKKZ1CS_mUM^wD)H zxc>5rCCx!)rS$+mcI)lCsumxE^?X>Kl9um%Fj6lG?*S=$@H|Ha4Wwbu;La)+V9d){Y(T%W^6zaG}>7wF10G{GaVSY|REkh{THR=1M7INk|v#YAc z@v_E}uLL7m(TJ6#ITI~aqdSJY$*l(nN04*M!^`^I;1{I9KX!@HE}ZK4%wUAjLvVtq z)iJ@S5I!q&Wh9f;6ZA8SaDq)=&Sj3_U=BwP&7-=4%rFr2VzK2%t%foLFI5j>!*b!J zge!z36CopciWg}RShyXdbSSeC(q0XOfgm51$_kQz9&FYy_d4z%K1l_P%iv{G`Io@x z9tH{~vmVktbKeT5%3ICS5)CZ`EsXdmgj~5^!_2(O#zMJOGCEdd!}Zn-Qq*igpx32) zgZVOUVxrsRX`(U7DPDLX(*u>Yar0{6HT!!O7j_#oc&D%=cod$ScjVrg=Dz!qfd z`AV6p6C7vjZGuegJkcZNStuXzV|dI{(g&&mPEdNINDi}I^O1^~5%v}G-R)w^gSw0)vzYFL8&isDPwfn1v{g;_vmcP2{|FmNM z`2$nLhhzLU!g);rG+~Wo7@XGiGODqv!k=`CS$!CiefLEdF=G zR>{=ZNt>CIm7e2s3p4*^34aF3pG$nE!7TI~|B~~|!ou=jF7!|N`u}kW^S|fp|IPX3 zWMgIg7Zc|H=KP)ts_D{EfWUDgo`HOY`pUVRL}I3vE-^a#{6O?n>*=BPokSp3!0+2g z6)}IOCWGGk)az`>arx@;0KtvS?RmPznB+%P3x-yxnT2s@NA}F?tW#!kqEfbPOu}2z zUA6q|X`gy=$gXOUDen7MUr*PMtM`*uI;Tf3XWbN^)M~f5zVbF#*UL`|_sYj-3|8OU z_htO|%c`WaeC0$toE&S@Tr&G%X8~_lSNaAJx^CXgu7}ZYSeX`1^6sbSBE?VVml@;h z>+$3D_Q}r_hsV>*ol)He0?N0E_lnVRs_lBtqH$;jJ)f7}(RLFm(c{(X zb+5Z9YH#ny-^Zh1UaizccJ@0dP4AAqlWqFRyM1q#PWTq3XD;_?1Mlh(@D0a{l{U^6 zPct_rC+H7rEI=yf2 zANz)y5HHnA(lJ%I*z6e(BGi&q*aYEZwZw3Y#{d-&fIDSrtK_UMxAxn3w$f20bjO+1 z=e`3le)|OpQHOec^My^de{+6Gy^+-vD~?IrJF`g{MA;;j?JFmXC0YF~ zktx6lO{sbmH6K>v>XG!CZ&S2(29LwQQn-?pKqYKH#ktb5YiYB9=u)ZKbd5%<&H4npi~EpbCVyYQh$L1e8u|RN>)h>7Pb33(>G;>->RsZ zJobEyzpzGqSYWH(?guc@`WM_4QsqQ#STXUjK5|VQJWS7D8c$M6*Z&w0osPlV_1Zxx z^s|hqcN+d6zG`VI1cq#Bzvyvmrv(+VUQ><(>^l`gst!WX0@m^^n?j}VPTF{ z`R5p`<`|1k$_bH0k=AjQCf;F!aSf}jfeFkU5AcWNfm=gYdzvr$@%S%(%j_4i)rrZ4 zpgFAU18!fQuJ^Cw{Boarxk>b+!)u65AEK0NBg%OyENX+>n{U zk8UEBfJtU(1<6@1bJ`H~Os<`P({+ITOqy-D-v$X0h6oiuSa!uO90$op&d?Z#jS@mB!s9(+E48l ztdJ=~5}7!cv8Eh2RbGgAbL|1=tn9yuGVSxaXbt5&Up{LKTFhu6 z0brB^@oi%n15ER#J3vKG4ZXe%Z}oQT_VN8R7BF;O_m{K_5J-<6nu6I|7bZqWNtg5X zvQ36*oYwGmLo$A_5D?u???)+Fa%C5sRMLVVW%U&L@&oQCv`dNar^L{%*?Y4fSd5&! zIDdoxPA?Kot>#6?Xrd-4Aidoj3zaYh5#C1sO&)wCQj{mZO2%w2=xZU;wpgxEZ*N5xX7@=dx0>tMp{Ve9=~&Y^_w+TWV6EDGClBoAwrvh5eM$41BHZAp8KTqcj%!fb z*7zeolT*dioblHY;4CUOqyq3jHfjeUv}|)-7E*8;8d_gV4bj6<`CN-(Nr3M=uQ4?5 zoK4CL*=;X6E2+ded4{xWGq2pg;Uv4pTb?R7$~DZDaC2qGudR1=3@(;z`=6;JFwxec zv3n?pkiz?_Ol#PxY(9@Xh~z^KX-_-@EJ+DWp84F-X^P?2hS5tR5ZN1kd0qEU?4|@( zVe75c{1iPX0Lj30@5W2M!#CX|h10~N1&V9WOap4iP|jtf4W{|gFh(K8|1vkz%}#1= zT2RC0YWDf}u%b~yDaiq(xJ^R?^q@wQX^BD2qkj%*bPA`QQK{phW^w2kc8w?E(WA_K z+E+MzgyEH9@LSVInOWLFV9`j6T_)Sq>P8Wy{bB5S$xVTv&~!40IyanKTnQJUV}Cy8 zr{A@*(5x{H#!?j$!Lezy|6qPOKM$aw>i}fVs3c73xEzCK@N6W%VyVd4{0HWjX~0=? zf044%{06shM_KrDH!B-uH}po8V^Z&5WStZc;QyY%u`iA~zv$HM$s}wh3qe&H34vXo03rlm6{nDs$>t3T4 zj3HF)XkhO}@u4;br_&p*9cd9ycH9c2wPM_3RlQYS=f=DH#aC4QE=nSVZ#S*%s84=i zgV0jdo@Sh1A~$|}85y)tyrqnvS~Nl+nO%REjUB!)2@J2)s-Zvf5rMRy-uUZKxi~XE zWHR)%(f3$p7?fHu`v&C6+MPh!7dHoP!`Qn=InV}0B^g{nf|V=?^G^hszf&;{>+OFD z`XxSzoWJ8-V;sM8a~;a~sgAwb1q0S-cgj}cikk?J5ILg$Z3-HB0(=A7RCLu^2Z4HR zlrFeRF}yf2&!f^Z@oaca9@#!7--fgYcl(PJvL3DErhs|xB?mFh6x5o(Wc;px)38?CSYd=?L{R z#2#+|D`I|CC5+_NAqmO#8Fu$z5V*OVgj>*!DkY}5s|z^Hq>iu?L|qf8`#@+Zr@~6C zB66Zx)~cl#b|0En({JchF0y_g+XJ`MuY9N7$wSbkC+HBu2xm|KjR0VVlVZmg8WuV6Y|92NxkO*HN_IPTQ%vNl*UML-tBf^3gIW zyZZH-{Dt(k?9?jPw!vt(lha1Bp-}_bK%qGaMxM=qD=`oMPJ*t^n$L>dR{DUy^9#LN zblp&nN80U;3#jh?DD%tX*&$DEaxiCUs2ENj4vr3^P{TSj8|S8*)tj&BQYs3s_>H4A zm8*~|Tp40a6<+zN4Dq;@62PT43sR&F=e|gJTWLHVpqP}N`93x>m}szKAuK{Z)qfCn*QQCFgBC?r)b$&JIe;5IPK9?T^V{ZRtB*Q7Iqw%&c1Y zi>%wOP?jP3OL?g^gHQS3=eh0$tHx8zi)kyl48!>r(gdPwH1`Y0O&jxwXV;h%@ zjIV5=-UT&3tkCB!IBKP7av6ou0U`ZA-t}4V!%Rgh2Zw}}YXwrx^>csDK`28 zM#`pgd3htQ=fPpZ{+d%^RvlMqRc2H{i%cA!a?iXDZJzFCadGAB8Wh?I;|_w;+~=qk zyk1D@?c_fHkeFJ3v--_f3R1-9$oaBpSoV{FQH3cNgoJ~`AYBsX{xyd`(gi9b;ko!} zAMpbuhb!s;VN0d1-`@B*_(Srj+jwP~^@`wcg*;jk8&R`d@u59&d+vOAT^2$3HIRE9 z^#qe5M_ee)VZw9-c@}OHPzi|*vvXj1U;<-@%gr%Q1;64Z!zRi6WV%Bd*QHV0pB7@8 z`YDYso7wgK%Qc9*TaB%ZN67N9=eE6ZtaJjy>K+KWza@07P8VIzG1-&+rIULsSxnXm zV%8o4&rdVkMR*_ogRPH#fTN9dYR%Kpm`%STO?jrUij!DoX6Ga-gkeP72^P>fSj5E0 z4lq1dd?Ktx2$0!Lui8M`I;Sm?wL+C3<<#e)C(Rf#D+5I9FUp|}DmKbr7Byvmxes7H zkP(L_&_Q8LMen- zM+Gr4m0Q&?pkVf(w&qFn_M9fqtlx1)nMnP%#v{R(oHM{u`MlD30+enw+GsmR0fjq- zZ7RR=W!VjUb>WQLRFYxVTdhKKM*s6ZU^Ub=o z1HszhBjz~(oeyjVN`?nv9Y163zBMc@0Vg`^dsx0Cue&Lm>dN6Wk_q|ClW!ot$J6SA zmlfdyyxEC7#m7R8;?gz>dOW^dbC5cn{PtRZ-he>=u^|)E=Fjxk*o}j&lA;g9RHAcJ zG=xl}g}O3X9}n-(2{^irnZhuoK(lR{OnEwjKC+uU6Df8XQ-GWP{c6vs@Cn2jpZWoJAXt zTl2+CCs54UUBS%p_07K+mT6PbNm(7Vdh15QvSe=%~ECnhMiy-JfrrbLH4*G7^a1 z1BkRH3~C1ayas$qz5rv{qLmbYl!XHj{rS>Mk)BkJQnt(YF<~XsrbKCBLbJ8ln|-7M z+`U+KHf;uErN%*#e2}5=@8InjE|{8$4s@$oXID4;KQNZQTnLCiR=q<4jeC=+RiVip zjGp~ABrXBq5Yn6jm5e7wR9K1t!;B>9^2iN9daA5hki^vpyK7C5wBs{Ga0C7y^4>By zj%M2yv=}Uw#jF-HGc&Wr7POd|nVFdxEXiVKW@cHE#mr2W^yKe5=iT#W?wxrj?!-h) z%%9Gz%$LlXiCI6wV&7m|>(V48-%zL8^ zam=nXIl5)qkG1on`q&WWvp6?0dmCdu1m>=&HQ!lL=U7!NV#t@W!4ZmDb> zH;|0bbN(@BmZ4gB7CMEObPJ~KJw?f{)kdBQXkvmCYRk*h-Hvg3v)Y1|_DK^bb4UIe z8Oy?)fN}KAK?n(OX~>PTCQ@j0BMU;W+fOVqKSJ?1`9bF&hR9=~KL36-0``vldQI1` zgs-}kmbYu>e|y>)vmqFPd(=xfFbTf-p<2e=aJ?i?eZ+-fEQ?};p6$WImt(I+c=rB$ zbpBpxyOhJDWx=?o_x1MVOde*@g4D@x<%FD4c}VN!7u>hDcN2)w(1*->PXDtUHKFH= zmWZGm>%A6>H*!>ZMF4V_|2$aXF9v6_Cp{gIw`~{WDD;JguX`#|eB~EfKNP$zO+)I1 zeuBhvP5`zL=|-4NV2+a}Zc(tQt(fD@ZR%g}=$5I}HMCb0yqguy2v|ap%*Ux-we5%$ zGJf}pN=Wl1OVJBy{hYy2GbfYAp=T_B?vlBk9bd5+T33inpia=`NYwdhav2V?0H1M| zL=ABvn1@&g4OX{MT8%UDE44(#G}5RA^z=MgV8%@C1xN2bkrz>rBG1)fPq((5aHSD7 zWWoc@2ZA3H2X044s#sFq`)gV4i+XbNh0}uqE{AWNksFQ)Vc%!>a#gR+_AlmH=p;U7 z)#X7N5FZi-K_Nbb=-tG$_}HwU{Q}FYwA{Oju#0M6Q>Mk5f1j_h&}E3{-)FOCrYj5H7ZsOlg1L5Keuo}Pp>eL@vMPCLMp)!`rJ^Idj zAWgT|+w%IlkDTcxq?bDPqyf#Q=@dLF$jx&gM=T zY{n2B3)g)X396gld*@s@Csg}YIP6w`xJ6$ zzxU2`TaU@%7FE}by{{|!N@c}7ny9QJbm)}jTiV`YtWkUoX5XTEAoz?3t;`4DxW?If za)-WdPNEs>U5RMxo1Y*wrJDO`-ugyWx_cwACRnY>T5p|h&%yv~c8abQnoN5aZi*{8 zS1{z(w-J)RCOcn3Av7G?i6GvE(O;RhSwO9~9x_Sfq7{xg1FtOT@hSO7V+VDvZ+{fv zaa{D%Ubm0FtKv4qPwI|hiSY4_a_=ga*I+Is0C%;IT>=wimme9_;lC>AAJLYfnjUn` zPb6l0?<+qG1`pseHbh&u3!8jBi@mpgowUU)&Qvy$Vet>0&pX~HE^sO9<0TsRIG^Hg zdaibA5b~fY7_4M2+Bx7&ZlyS&U)n`?SZ-SSF`a|)yetja?j!b5GGk|ds;NSU>#$~+ znB07j7+q+keB>HRA%Ejgq`kIbV`zy$ck=QwO`AIRO8Aezc&a7y>-GK{U8o-UyJ}EEB2U zBA&3xXIR)Fg1kNUo-8Y4w3Wh1!lGcOC-jS%44x26Lyyn~aVY<|30$O%jWR^Gg>PkDPR$`9ZathF2v9pAzyh&YjAoHr}){<`PFmmOwlfm&n5=9&_^eGbWnv0 zsT_AB>o}|O%gXZPmhevcBL+DK8aslpmy@Z#+Aq?fI~2*}W_;tIT~}0`ceZOOE+MSYI`%=oW**?B_XrIjms2=FKNC+!+e+D2H$hEm(e=`$!YT z^v}bS3UE9P+sr5#%qkoD$=n@hN1E-tD!z3Q2eHkISL|R$Lxn}kOP9iu{LZcy$nm;j zR3$QHUCuy>*2-Z*?&cM588uXNdWd-bRLMpsqCggWT6V>g*Nx=#YC~$h4C4AkP{5uf z-+{i$xk6oS7vtyG-7oJk=>5qZEY{VC1Kuz`k2x0zXYIKWm2hs!OLrmk-;3SJH;UbL zOnY*8<0Znek1DD8f58CfIRY0B(3~3Q?+vFIjEF`#E|-}s+Lw(<`xmrgU=fX@f~tAU zq^3sOxis2V@6NARdaXXWd{cieLNhhwti{km!nAx7bR9{Gyisi?8P_grdDEdFzTSW_GMtYT(8bMW(iA;S;hQnAHu zzeT9TR3t-+W;Pd&Q+f+SMA~kHPfca|5dQ0c2mqtW6z1#igr9g6AckKO!$N$$=exTt zh7O;9j>`+B@^-t1c(*uCcm%s-QaNSvS8u{r?845`gYAL%9Mb;CG7TY0jk4%O8|~z5 zhFJTi)(oJr=v>qy#>6RvjS-aZo}`OUhcGEi%vwt&?(;24*9AkdmE< zT^AsZ*MGOl9BtL$@w;y!pg^=IT%)I-UsY>}-#u_e6~OP?YWKmN%T}@39Ks=^Wz5dF z;EOlg{wn5VIwI0K!qQ@P!Kz%}8QEYn$uX(Ess}t>mReM)4Q6~_PnG$f34Z}Sz|xsghg!cw0E;fze%9r|3oDDKD5 zaT4dHV($i_n$@As*SvG9!lXLYZJh|_pPd*w!XW}@;P*pg7-6ie-P5o1xOAsEPw74I zOe}dYpE{XX2N`K|w*gA(ewp#PF1w3U)6%#fFUOSz-jb^AJt&63Yy*CsNJL;O0@@w* z#k9p+wW->m}n|S$ilari=vD%9A7(0rYqwFU;R%#xX)7e{}Yr|=C6iJVVk0_pG zE@|E4{+i|Kaw-V+hXhe-9}v-!mDDc%3oG%S>cx_ch%wsTB_;!0^8k>Enwpu3Nh;@S zNpg_5>1m*497kYrbR{zsh4?{@j%15cOOi;r!Ob{)tyHxdD{UV01qLZs)MibWCLv1m2u%&H8-MzIb1Kf={V$~5{Yen}D0_!rpj2U+=G2>TB{H?P0(x7Bo#kl%DTAM1M?L?=aq3vg>04K(if zd47ZT%#NA=;8(m^Snc!iuaaG=D0$|rN1_#6N-ZfSh~DbWH}3Hm7QLxiMHq7adAH@G z*2VAn7zxZ+*w4$qEcSWoVYsHsV-dnczV5dYh_D{QbV|TeYa0x7yZnx`Sk=19ozoQ< zfvd$vq)EEWKID!aZ5*XQb8ch3GCyR{vB6g0mR$Azsz@9TF$P1d@ha>*VADnYEbr{a z6k_n1ob6D!Jv6}Cyo(i}YTNC8#zqx@ftzJB;7B0WliVW<_D*mgg~g_ioqA-&;M9ax z03uoI=MYWueM*<4HV6@34pokafN&?_x2Yql{cO`qBmW%-05IZTypJCLh~#Uq#u*?= zc&DSP1J|`$Z$iV$^EHSRw=SiwrysH|!uu#3!{W+2FkzVH6KOOzfjN%>ncWN@qY|VB zIC(KOjQh>i^87fQKOC-(kj&;LJ_{&ZaY3Q$y@z_2IBa;1qJ2hOCYC;eB?AF$$%0y6 z(>;V6J*Zv*Tbpy+$*-&{UlElaB5l1`aOLt)!2~r?@1WFE6u~^?+q3s{3}%G&52+3$ z<{;ZoEco#EG^|@@4B4&U*KK6mhC2B+U%xMP%&0y_7Yzph_^UryCwD$=6SqJ~6hYS{ z!lO(&kRK>7d$6FQMS?=4l-O($Cy|W?#I5ET$pM_j!8&-P@CyZO+F}*V7mNT<@l*Mm zd-a2MhU;)8N4DuQK1$r^UkLEl)EM|Y=89&hxD{5g5xLljvB^)3K-!(6kGatz! zJqIMkXjk^;ol%*FdTU=?DhRvJPR7uAf)CGTUCdHHLydb4vW>c4q%&qGGLs7eN4&d; z@~{+wu1cKJVq<8QM?i73?3j;h)pKiyr?AjP6&@%cXw${@=-rYwOmLVg)^5$IZhuA> zXGOgjUw9C1!#^mcyK!B%=C%hSbnC~#fFKJuL?gTC_W1?JdVr46yf5f9IG^-yG4WRaye0g4rzZP>ajmF6dUOkWSJeOvN2{zD8 zP>;~-GB&~}{LP!$>bZ$!brakr2%j&NOVKZq;>-e0X9)9Dy2?A6%&FY(wP#~7{j(?# z*MX_&{OXJS>79c=$>s~V(M@yGF+}I3{Na`RDox))4p$;chl>hWKk3paL|Y8J?LDz4 zSh4G$iheD)J}8w6H4?i{yF4aNy-BT=S$@n;$3?|@}vRN^X)YO^J) zx|~)QG!Z62$5wSSmZL3Mfb#wiEb=TWuGccX`5mnRYS>+?BUvK1U0xs&h85K>$@tKo|M9`oqK(r0F zoyt{Hoy>VNB>)=T&I^7K#S2A~w6;>=jK`rL!gs$5p|$iZjTPyXPR#=q>Z(jy@=-=elJ0<5Q!MR zVZX-T6J0;@FB8(=#IwrN*>_pL6!Q@!EYt@BnIGZJ^;>fZz#pli_HvpS|5-y@SrV?7Y?lkK2zQl6F9C1 zwPUU0v6FUMc(o~d1ZLtBLznvFAm=a*gQ$~((*+>hs3cNi2NXt-$9Y%d>@74ZL;YkF zuT*3~7YQ&ZENj1%Q0-SkfnS7~%)H7q-BtOmP-;lSnx9uY$7`_ebr0 z@5Z>X>ZQ&mjXB@o8<>f~WmD^;BX_gxdde8XfeLF^kVYl_k!lmc5hM(UUCnLGSO#pt2+h8B*+uVR>hC$hzYI%9>P3`v(;`N0&QH_JlcVcJ?Y4bW{Zx=#C zW+R<#yl5plvn}r75rFLjph5O$yP_jMpfQ3V;>?gQl^+XoMUbAsY8XLVo~i@OHJ|pG zioSh*G)iqD2FP+cW0nY)R84*8FO|`#TJlZmj(DA*E1NpSWwLbp<8L-o4!riBrA_&P zpAZv4IPnWL2Z6e+l3-`RwW8#>Ro;7iFY?K8c-2W+bNsME(Yr0H0?g2aB8Xh-z*<8+mBMK zR>N9l0$c*<2r|<+cc272x}jR@XTW#qMzsqnNcu-}r=>08Yc-Xm@42v{gMiWW^1QjB zMY9RjBR()#erq-}y-f)Sb&7Tk&2dyhK92Y0Qk0+oNQ7N67E6*R`7hP_;~7JexeIgQ z6TM!1_;)b1s{6QAdbM{|)RJ_A$4s)a*l0Y8LXeD&^|96a%RJgKo3KJ$DP34cv0O#- zmBe+QoXo-`KkKrIMl(bxj*-?8dB4qO=_M1nA+I@9)%GK$oY@Wqt`k6>kLL`JWVk(E z?pati?zp_Ygph<|Jdh*`8o>>a-? zH>FC0uHY@<4Qz(?%C2*oP?XN9ulF1dKj$HfoQwL1(>kt{ z^|Z;^$)?9N?>ee3qoS;+&qpRpQnjc7UlNPBVpMv zntr(?OmlK}Gej44<|?-rln9Y<;P zhGW%9)?lDyGLzRmB2ksS_~=TMGiO-L8#M^cOUiIeU9#wp$;Q%YkTl^AqsuyjD|0g4 zv(DljtEju5z{Xk>q@AU#w@;Ytd<}Pmb*fyfB9r%~%p`)Y%f>YCBM~xezL@vsxza>jE?J`F(|M{DH;@tq zcXu)S-J@P3FMr)HyIKqE80J9B?Rajwl)$ot~O3^4@g@NcO1;NUd+p=a~f=^1PHU6 z9F5QUhy~}Z$2*47JWwgOk)E7B8E0AK>B^juRy?KWTCTZtTN(vNlG|;3&{I*#X`qquG{_Kk1SJ85~U`Io^=~R>$2I(cF zIRxN=i%TzZ(2DY%x6lCJb*Ax?T$*)DU47(%_H%H7*u9tcGh0G{8#n3$6=H6Z@El(Y zxOKAH?OrQpV7RS~Th)RzL^OL>ZW4!wBVAnjJ&@dm#(9l$lLpCXpGdXO{1v!MG5aJ} zg2`Ft*r`k>7Hyt2RUa8wpmD(LWDpoY$+U5V2Y^bTValOJ0*E(Fnq>43GZx;gOEKDi z%tg~GYTi)Rl#4W9+!@kGYomN1nG4^>k5`dK{hCRW7k=bcPtAiJ;?B6**SXN~r6`^_ zZ<_@2Ydco?!Zod4uzkf*v)_>P+mgo*&mTsHS(W#loz2R#7mAwyM7Zqr<@X zE3iFCz+ZuB8~qhn6L-|dV6lDsmcIhiw&rA-=R6ozIo38dOs!-6xIzw;`V|fg@s2Zp z2xlQ4F<7f6BfJ#g&zjA1N`~;NB)dnpS&*KrbFttRn<^f_v0XTvT#zFYqi(; zqr(jExkZi9V@J#o=eT&J*7RVee|l1u$pYsSk;)2~`OL&R)5-4>{n46N2?5vxFAlW(?GmuLz*is!agFFrcbs7E)0 z^+0RE9Kv#+#Zi@X9W;Zk36ilGkhkV8tlm`(XSd?=20!*5Ig@8_uA9=&TW=gc>1EoO ziea8ngbOxJ`yEs1iaV>RfsgYcPAHL12$)CH@6Ch53Q0s*I>>{<5)fvo#U1twG1y<4wL(DEikK{=*uSUF`WzAI?25KaD(XqPE`jNZZV#PL!S7c#CVCQ6vgmF@f!c-Bbfw830Wbiv+#_Wy4IK?xK~r3tC(1>#v=8fNAskc-n5Yfzfj#uPWz zaA(><(-Wh{8xh~7g5wsl;9X3ZgqXQ;DwlRGF*Vj#;L8kReU21)xn`1j}^5TIbUb#rD+)}wbR*rG|azSS*QdiJ#?LKcI2c#v8DI zg?~X_0=iNw$zeQ3o!)U52^CVLb$F*3h9(vo?l)lk3NM_K<%_eRZ%1p1yd~g&ySo|M zg)@*N`0etZ#@ywuwL5Ac7gYTA^met%Kdb9g^7Jyr@ALcRfOB2#F7p?phC?WAP5Z$L z$$~?=RoyAZvIMI}NPC@=?Qn?Whmx5G!FDX-QMIVrwayPrwTpwXM{(nBbrT$)7YLba z6+WJBz$*Qhw^{rhECR{5APFbF$bi26Xo)*-<1*Y3FC?e?Y!fcL5XaDIT43o-ErJvN zY@SQ9alf;tlSBx<_8eQBRzII9f%+WR8u7i$OSe**2J8Zx-G*8pdTX~t@Pk>CfZCEd zR%?rvahA=lexsMJ9i^p@?ih*?vqjS>x4v$2+)9kXFc0FGF9YbuON{Z8eN0UA&CiS9 z=~u$M2x(V*y+polP~d7xJ8!>pO$iw~Gt1N*N!xZza{h)l4Bnb1l`v!*F%&zFg^OE> zPko|V=el7nca-uUi6devcZ6lmg=f5Djj*R1_chJ}0c4HBmCh0|)j68`#UaF{{pd@i zU(6dH;Yw^#4`CudVmZz%5;kOF1qgd^5fDdE;$uC}Jt1jGa-~Kbdxp{+%(?i;G4fy> z1T3s@@BSF(N<>WlPIiQpCe6iBHTuPenkmI86*R=D^rjv`d9xb9DSNg@;T-Q;^)HwF z^dFW5p?Nv-(nx@_)GmgzQBJE23NovV<$ha@^Ek9rt1mz5qVk;@73&em&SDXmPgoKV z>txdnQ$0)4;GoH9Ss8J8h^tLGKY73&0QPIi?}laJI++np zrb^@V1otWo8%4{FtFzp~IAv``sMyg$IoaGSvtnsbFw(={U6%E?-P82rF z93e^1XM5x~BZ=qead5HB*AnHsENu<{Y!|Ogy>VM>D-WlAn?>$9aXmJq2La?KlTaJU zneuI!wuXm0)>&gPP>=*8ZfIET#yO)<{OQ45U{gQUkoy!lEBX{$3Iq7}A0x+ygrNqJ znLQ~PJ^fVsr;!W7w?4C+>{^`x`b2QPafz5}F|xR)ARz06Vq$w4GCKCxB4l~v>ofLd zM8l!_$Q&V2exx+6fmDcu9sG)bfd&+NY+)Z6jx?8u)HA`3QI*Az9r%`K&UT<-Zo$(= zR^lf#4rbR+P{9CUSP5L1WUx7#i}b9cY^oK%Jl_XziiNE8v!hCM0-1hlk(u0#4QBg< zz%oUGb`xHCEIh4Au=xDP#F?6blE`!CaAW7wrr5#*$~uFtph6NpH%H4W5J?TsYtxl& zu=v{N$l@P-hqf+*_VHp%=s7h&^ef2%s|fHt?FC~;tA-wn$|zR5dMi!@3G;1K@r}y- zn);W$$6GGCQz?pO-}l82sjy%V*7666=$NIK$4iNg&WD()s-c6Ws~AAO!+JRsnRs{8 z)S&=I?FAxc%cp~#hn$!$9&g^*^P2mHp+}5|=QoVW_uns7P@uca+ssKdUp6U;M@tRH z#Un$ftwVI9A0L-?x)Lwm58hwxf4lMgis3JU!v7?v&-1N?VBULj=eGG*bNZcz_`tU0 z`}3A!mzOwRr;qph{mT#m@bU5@#>eB;jHmhiTm1l6->hjq1wSD5*3|-;R4RQYQgN_i zWD0Wtst~9FlnoH`y4-7(m^KOcd27q`Q`%EtdQL<+H}1xFzL@WTIrG?o&jKyy>bv|Eh#)xMZuKA}zL_U+7e8*#ea^w~pdLZq;iq4`Xgr#&id6$Qpucnw!OD;c+F_LxJ`kFEw>p+6JF@t!j0Z3%QrZLwa=Ho)F zk042|!!II)p7Sk4L~7MuhWwC6~=}SU&&L9_{e|dWT}ir%e7B&3(7ao4;=)>@sRC} zr1QFDvYg<^d3tk>Ec#?V;*!)pr^fNhhgEnV_75?|EvRKZx{{*+4JPDZL{xKJw#@>D z(=p_D>XBd^5V)=`g$*a@0oi%b6^w`d1(iBcpi zGpF^^B$@W?ckNAMv+DfpE#>`wTM>l2<3kQ3RTj%Xnf;go4p(Y7p3sl?fRf@O<1Ltm&vtMM?ONk4>I_y_sZ|eGTZ)}t^aQ%PF;i6? zLtoYc^c0O*TmgXMdJpL8;`IQ3)>nM8ilc{aq6rFP6;Ly=9+N997f^_SbazC7#my^Q zS#^i?07r|_=KM4-NGz~4);;{66@rN)#{p_O^H6aVPK&u${lt8Pfb(idM$suSCLpQ> zlFKa*A#E8VP#HZez?)Q6eE}(TXWVxQ-;eVPo;n0-YQcivIv8lj73Xg@u!>*ErHQZ%WC*L+aD(Xeg z;ti7=)=lATfeXtmML%Cn^)U()^6Qdx;^1D?RFKGd_+YMl=*SML~ zh1}KOW%IHR(v1Bmg!X{ad538*~m&@Wd^VQcqP$!Ry}n&eeG&M3wZ*Lv_fz_lQ?Z9n3SS3 zA!n2c#cWVMMZ$O<2-CSz#Kv4(W1PSV%~nG{NwTJFg<6h7MiddFMv$P;KV*?Q;%ZY- zlhJM1&at@c1!U5}HT$i~gk=-W>fDqTSj@Lbl=^Wswofs@lsvjN{RE%TnA+jBNod|q zM7_w&(56nb0=~K=wC1mqEe@~?GJjl@#(oJrkQ*b|ITRW73ruV^E%lN*Q6{Jv)-uK~ z_S?+yBbNRd%eh8OT1RATm1%*?>O0IiBbFx_>h^j}A$?@%{HcK+^HxBj;1OIEIT{$o z)H!R^2xS=|#f+p8%)n7L?qx=phA`tIVMsx=WQMdrGVH1EGQE@~SM_5gnW8MzOg6qs zi(Q5_t1zu|k!l(0t{a8CMG_B?)si}bHmIurHW+4Fu1h1s1P9Sc*+sEB!L%ADu+?xj z!KTA&W6!3ayP7cWsH@Ydfw_E*2aIX@-l(hSY2#7dVHcS)=hAO~9tj4m20s@0<9dvo zNS|v)*~0?MKELDR;~8zb*xNZdxq787zZ{?C~%D9b302h)2jgf6*+@W1RoZZ&bz z68BW42T$~f9%(pI)kd)=wuw!YxRa$Cg}|7yx$bsf4+8QiqIRxoHre^NI1#9o6X z-1_mvbTi}7Y2!abf}N<7B+n-#jGlu`1Kad0XpWMDtPuVfiIgx8HKRTSHharsDp@n? z;8&li9x#a>@#xFKiwLScf8$$U$VahP!54a?NCo!9V%(xZNE?m_w3fN{7SL26n73ET zLB;mwN2}o$yVqyZ+96|0Pg}!V^pkfbt5dWAO8SkgU$9qq_ZUXQljCcr-lB^dfP_j` z9UBOSOIB^~_(e)~k^d$`KTW;O=R-$IyRG0uXG#0GE#j-E-IDO(d$OBRw^#x}+!H!M zV^I;eGa^Yz!UjQK$($f+Wi1((cQtz^!{{+Hat}nl!|STBQBs>)13yoZ9l%y(EN}0E z>l;CC$XbFJ%r>Zc#ThUKJd$H%f?!k%Qp`Ka+kI=a@} zVCENs46Z`Kq(e7L_c-H7D>u3f|3bk9oo$0TM~h<#WP5y^(ZU|uV?`E=<^;&58umqX zv1M$UV%p)CnhHVe_JdqL>e^UHRJu_&nrBOR^f?cAt0+>6*?LY0$+~z&=3y>I5bi6lM2^TQg_{_t;&9>4@WOmLCiHFEu;&&2i~v3l%F%iN*hZ7qYp z47IvZQXEdbnuClWng@TCY5C^GI-IVz2kl7}idjF>>S6IH0lb7@t*{C+LeJP5Z3k+X zh1`>A>(x26Bo2iA=_|i9`VjXlZJ^Hv#%)SFem2{asJ2J0c_oDDoPL)t)$VbWGyGM9 za@>96Eo}69e=x7G!cCXFx1g2BlysvL7N#&V{&I|Ur7#kTh-l2Cg0jOL8Vj8i`AA_f zC``)Chmz(dOiIM40rO!PDQ{~0>yM$k7>^3m&gXzMb`=y9kB|@h@y9pdKK#cpY{PzG zQpOs&KaL}-j6OUv?VMy`Atv<)$`Xv{1h)zAGor|G0d+J)T4B)1o;F7 zr3X3cdDg2~%@~XeHR>rlq&U4_=`_7hM=si9~q52Jm0a?d9kZAdLRIB|}?PxX}0=k|EL zdY^tGL86wx`s2$}Dl^!Q4#;S5D~^7F%Tx-~Ko>x|U511jRiIEnLG_DIg-+}j$t58J zC1pgWKuqwvX27Bd3lor(0ha<2|FQVf@X`Li8h%)~rNSkKjQoq?KP-4;AV>QQ|LO5> zSAQBl+W+D4KV0qUGb!Mcf&GF0Cnk>q3X0z~;oq2;h>3x&xg^A(q(py$i*^HuS5uL3 zhk(EYE2G8%g1{}b0S73rR$0=gTVnoBl*p*@Z^3^2-B@)b%gw2w8nQkazuz+-NR|is ze_@kUP|J&)+%JCb?LfAj~3j$0=yyp2uO` zs`F9GPykHrQet44rQs51A{ea0(*$EO7VR7+;M~^=TjOj3W(((&e4kAFU_U*3}8mdTB&c9NXBB1 z2L)>vu=@Kj7@bMsHfu!)JRJ*EaGiDuT%nM~2j3qDWluJXF*xN1UVbP+Ne;+N&Ky5YmVp7+D)O7-`@ z5<%LOIO%WWTNh8=K@tG(y~rSKTG;iszaa(eEjGxt(*vtXpmI#Q=K6SCxeZ`ZFS0P( zd!#2590D-g_dd#-0|th!C0Zx@XygFCjV1<0#IWzyi=kmH?x_~7N8B=#CP3S|J08x1 zFpbZ0ooeTwf#VaW`t}#N#uZ-F2P1c)aBr*;3^kBplzc-739GjZcwTHr^43PcU=v6n zumv^XMSGXW3ZC8a-iNZ37-ED7^gJ?^p;$iN60Q!$iV69nTi*9_*kkR`%;MAfv~eunN8BLKYunR<&Fx<7neUw7J^sS_gbWDbCo%UP$bdS1!9xt5I8%ST2?CK47;=H-%t*DUgmw6^vwKUDT z)vu@+>Xv!tR6UKqXz(JUK4E1@c#B7CD`z-TjsfydJn(dRdcXa?If0^O{jC}NzMXSY zps9rqgW5YBD#N>{Kb|<$nv+!Fw5U6!>s>TD#L#{`xlkr z59+Fai=T`2Z>}#DH(Mim1$`4EK0bIOYr~IIga0e|e__e`e~+K*ulf2HWJVSiItDgE zW@dJ}54l@L77jXw5B98&f|ccei#Lm%gN>2pKPTw=Yfb(Ws1Itc50&MALVc(Vv$FlS zq5dq@e*(q8Ovn5`kr-L%SULXNNPkl6|BS@M!S*ln$HdA0|HSAL`d1Ir4911;>9O2+i^zY-)eew*3?8A6fAasDCRf z{!6I;AV2$Gp#IK^e<1x^S@B;Y{l}j5uSoyQioc=$y{!1Jp#Ivi{xvK9UMi-4WW_(A z{;jO|FQNYIUw=ah|9_46?ytT2@7VuIe8&4@)Q)hGy z>GH2f8CAUX8mHG|I|ozb8Yfpb-w_;^!*Vtrr)a%@y|rg=tWO9e#;Kw-)ziO)m42 z>aus9mQPyn$x``P#-2cyYGpf%J}=GKx?g&u_!w0d3zt#L*J$^}lkB+@%_i=qn8LL( zBpF7>`sX#=wf zXQ$^g?bb6(NRqJi#Fv*)#sQ%_3XH|D`OhbB4SNb&qMBKf?Xxt+TV}scu2o6R=;AG} zT0AyWqej*-H8b3%whGbGBYr7aQoBv9@Gxs*F=cOCwrr{_@zGHUIwe^*g>!v-$Xrj8 z&Y&eWOB$zIeXc+-GACur+fS0nw_08n?it@N92)d5AZEd)QbDUVQ)EDyio}cC4wGnj zK}IvMo31onnF{SyImgH>qh+wAHaTDk()BkC2S}EkR2oUuSO&W_#lW#4zF&8Fs$|~P zHzb)e6YyS9G=fY_ShMV@Si#!XH$r|nBAv7B@GbkE)%zyR*wxyMOPZ}q-(~uEcf(Ib z;#1&zm(Y_U_jBqq?FJovm-HB7hzWgVgzV|Ybb|%_jgHlR4OTr2=oOflISl5vUX zew4AVMJ6uO=iZ^Scs2qdaa(&=b~6jF5`7`_)gZljWN*9f!DxekZbP!=i9l|RF<1E| z6`{jj1>903Gqk|i8?hZB;7^Vwj7+mZnNfpDnb8f!I9h)yfYOi#Tl5_AefA3kZ>j7} zR>AlA4CqfvSq{tmrUei80S;zeW*uIJ8hYAIMaUPRWUKNkE}^}U8Zx3KrGDylUsVb4 zT7`uqXH@{GG z7JNRWaeJB_tfQa&>W9WH4F!u;q9=^g3dU$gg2NVK{;iCK^wc$;$N!mW4&OI@9|~4j zcGk`Df>_+2s8Ot$2#uJP=G;9*WI!OLwB~b`VUbP!*9cwAX1!$twnUW9RWKziJhG4- zRc&KGvJ~XDpL%{aGU#KB0Y8w!-SY7)S^7W~rELXryhDXUW|$G~KiybDd^1PL7-r|b z^N$rk$6P^S;t-q0V~2yuD_|B%>%9;gHnrJu$@b>HOpOkfkQ|Ry)cY!(s>TCYy(*SK zI$X_w#|#W&u!cSpF=g425WkkLI2O(lF{Cc=g&gq1znkZ=u0LzC>b9_4rD8%85fo6P zLJq#zs$~C<2P@ZZV?<=GY#2twPAWqDa%uT0FxVV6Ej=#6bizvf6ab zD`0*qD0M+bgIFv3xfB>}4P@z_$Iuz8 z5+IQYculn7ck~6L_bZOj7uFSnWMa40T;c3_jikVVJgDkBbrOYJRe?+524uDcNVzan zbmkZlQTzKi5SC+Oyie;`)1}jvee#^q-Lf0@+awsip=Sc{xO2oHSnCAA=+dhYk=bX` zioe&@_?!A1%7j4$*xc=ErC=&13I<*xv<>?lD$rsB1nY7G2FN??+9xw?5Ut~(wr59f zP!lGKVR{Z#@=e`^Ff|iD*~zdq!0_tASnp!#^o9v_vr0@~7@)%=dFeep37vG1i_B?O ztF2f~jJsHjPwrYgPi&cHT zn=%6f`xX^3g}=@R?wAsmHqMF8`-S{zI|Xf8(E~R~)i@(OW2HXbPXhALScMAu8~x+2 zXKjgAM8z|lL74jzrHJhX3URO^E~j0;>q5}#+(XP`yFM3Uc<_NBSa*b!p8_!mhNb@1 zi7c#-hSSce01YoWbNk4y^WEje@Z%F3RhfLuYb-}AMLkaNL<$Dy`q>cGXjaf&JrzUQ zT#xbJ{#Z7++7t{ua1T|TRa8-+i?gWU@gXnSV#ETmozN^MqV z3(@%$i+(C<-L2wcWD4)2Oa!Pm{CeSWIGY!B_4@b#-eJp@z3dC+)JygC4 z25gg0(@Ig2A>Frv4sQNS<2B!q(Z7WSW1?+vPq6|G>woaWG`-4bm4QOp>p8iptK?hQ z%TSJ9jy9@QVcM6Nmx0Kw+)LHOVt`YDoSG5V)2bA*M3n7g5BoCxh!zXyy-Ga}973_O z5<%B&1i^TDsWd#_sp-2y(3e%;{oo0B? zYlDs7YqeYED|y6_kjkf!;u2Hk^tCYFqhb22_H-`{s^Ic|Kh=9D+wdr&Nb>0!7-54! z=A;jawfHhnQ5?NI&%RIdt{!qfgZkV;VVJJU((!0q!>xOIr>SeF5VEPR=pXo+zzU07 zCd`hCUGGKztsEo_bgLUds;~&$g|e9Q7PP>{Onkp5a@$O7fc5-HWE zt*fCtPIPG!UUaqRJZJ|!TfwK|4#TF(MlmwDpItKCrU&O|LgTyZf%N}>M;Z*LtG*VFF%MsR|=1{vH3 z_uwRXw$yCH?eT{d9eM zR`-0G&i>|rcX}0}fG3>A2I4prH12#;i?-~e5*RV~!Rk;b!8P*Ljn;Nj4mM)%Cu+fq zmr)S728_HkdlfHt?iW*yZ95{vUXn7jAu%!Em-)^$lTvE!Hq6PHLy6HQyk|N}EUZEE zajJ>co?nI~2i|b}>&YQ>3g|^}C544V!4u5L z&btU9gQtP&F9}I*aNvmx)uK>Q7j=cWX)P7PxLdp2(O%0=nje`Q1c^#9ZsG;lMFT|~ zU%QUKCVl)FQ7=U!#tGHi$JeQkYI;XV+KavQlQ!6|tp^WQk%m$Fo2d61BwDp{oK~zm znap6JbqjZL=C1rmTE(Q-u}+=ud8*nT{^g0{TxLVdBp@6}RCFu3d#U2{JAd-?;tzX< z2=UTAEY(8EEkibHS(555<5@c8P|N+g*eK~W{D!l&^K;xS4f;x?Ne&;o8rek6%nXE8 zj_iK2824iIwCS&i@RFRo=HYi#IMLxJSr5Kej0gBAf5#-1(-M_>i%9xel3XwuEb6t) zBe`k6(twRd43YiXvg^dzgZK#T#Z*Lym@#|@WNEW6-ksu1D@gZ=FxOM5t)&XsSPtar z!j`5o-Bb`Dk-FV;MR)&Ro<#LCw5ZU9Vuwz)gbMrhWYzU+5ewQVYPiHu+@O<&Z58Xk zN7XSZQEII(Lhs*(SsQT!Ew4KU1G9W49duAW4o7qD0s}wFS&!bYm?dl+`37{N!Uj-O*&xgrEVk9WDS{Fyd@_CM88WNXv&{+jW_i=i8v6 zt!OKmeyc=r$QdDYmHMBVE}%3>P}DYZbQCX~Sf=k*FXbHDN;{jJC6D>!SicH~Z0Z|XQ76xOoup{i zZnZ4+18s(|`?Mx=@WnI|_OKl$S7JK#y%BM45A5-3i(#%miWO4hZi&#A5b1GIxa79S zl7%*URor876EpePyMB!9nb@8jZvOJf_elAn-(o86Hoxc?TP?}d*2UztE7#$5y5fql z7R9EfW3yReDmfW8l_viT6?7@xt;LyfMHuYRP7gP{PE8@fA&t$)5k#MzTq#N>fj+qyfsU)M)*^fp}OHV)3gPXnZ z*1Cg1xvYyEsbwAo)mCr$5UwUsBe){E)vQPI(nMd44oAq?n!NCHE%z?OqXbNETeh?=l@cWTdC zjJY_oX6oT8$SZ{d2uo$Bx(5Q74T)471POWXt$Bb;ek4da43Z|Cag%MliezFAp&M*= zio+0leIq8XB;9}xEktJ)&x#&)QM}dL&HW$W-7YaMQO!vg zGio<@j@nRe3hxDtP|DPZJ}q0s{t`dwDI$67?89Jg4iN(DI^g)|XuPZ~aA864gVMSU zWWSoS7_Cfs>zrfZ7Fm8AtJu!DBf-(b&ZRs#HP^T;m~vzEbx0#_?4(5{KS;vgI^!BR zc@&u^7!W@B>n5b{pW{bLN+C5FXc+AKiEs{wkaC+oju4fr7;&W9;j8H8uCyza8;_&! zLsr@YMMtIR4dG0t^c#Yh1J5A#fRG0ifWrVEyGpP$uKgO3zQ<$FeGW^(boTPmU_XO2 zKF8kCU(lVYI6o^UNaKdEX3-bHY$O9V*j#9?fJMHgsg^ zjks7}aO7TAPk58b&@P1poum4KW;5eaqX}=k`#P?Iy%3w{_oManU7>ifdi@{$$~OjWRbC{EgfV1g`8NZ;X^s0tn*r{#!(C zX!VzYyhp@2#t`E6|I#A#pc zmoAeT^xZUF-ImEFr`JWoL{FNP%W+vDFoix@nh%9G1zH`c>il`(W-%4$Poeerq1h24 zNL(C}OH~|lc7J=?od)T4%_Q{o0gK?R7Z@Om;4!=nqb`r3ucj*3lCDKbq51<=9{O3c~D4`LZYXp5jp$m_yP$Hz%$f7xWq4Jrre+P>Z`AZrn? z3zIyJe9(P~`kwclZ{NP+uCb{gr}db$VcciM`Qa`#dEj8>aRFJPkJ0PzFoL zSC{Z?AvtWuL!tMmk^##f_m>ogPs*mI)@)(y@Nut3W5kq6)t&DWx zN!A5PfzGny-nW>ddW!O(WWBQTV=QF`5gm3Z|3U?y;U=vRj7JVw#e3-X)>lBfr8=aYZg~MvdLo zntj&yIH-0^-e@m&$csiV@S)uB@d^x^xBj)|)QEMnp(oCfLLz~``hSco)n=K@sOfPw z#hFG_cR{rx!#p=WYf-Q51F#BZgXl-OXj7K8-&5kQ1@VwcJn(;hpGO|Gk&bNSYbqZ2<%MbwM_ki>iCUoUEu+i=!A?ma|)s{V@t%C@X?3!P}p06ON>u z_w(Dc@Ocxva(|a5Cq7xmN&oysGUd+E(AzC7YTZ8|Eh_T9nqk6+WJ54$=x2*z0UOzq zU{^qLF)IUQbr+1*=+pLSiS?+rtdM^tmApCUw3~U=!G;rG9WK9SgnDWSo;7(Z(L3u8 z>Bo5Umxc<&lF0jkb|OrWZ|2)1bjaMNKQ_{@MC7B|P=UL0d-!TfK`i0O z^p)P7OiB^a;%ms}C$krUc?PBg`xOa_fRC`*~uxw9Ygy zQ$!ffCIMyeust7pn~Zy^N4D@6zpZiUZ@NLzzeEqec1e`P0?a27Jt6uQOR1WAh)N|$Z%5Tle&-(Vus*mGC zSIOwjWzXOHQg)sTgo*LNvLdjS`-1Z|WHN3fseXi{B)MPtT;~x!*?h#%WS}U=fz4>x zYlkYxzbTSAjg*_0CE=QNC2h94!vIF3_1FP69J^jZ)-lA~A#&vqt=QCJ!gE|^;7@Mz zRd^QT@gHWXlOD#duqlq1*y^j&Uj=gl1-yy;(`l?i%41NPy9_4boeilOD*ae&9k0~O z&lsgQuZ&w3To%cCTC}0dwII1z3LleABY{v_dX|ayusfKp*OvisjFx2n_rZWu-CpGv zYGh;NpVD!5%~S(X`=kAB;d@@`XQ>R7JLMx4KQk|)_ygHbIoD?N*sFy{Q?u92mz78z z2c~7XN9z+)oIg?b{B=9EgA4)qo!VBnQn!-0jcH><| z>1wm;sXm6w5H6a;av%omF|)8ypCjsTK8zesNLz#n$WXc9bR6@lz9RHHA4uC2a5oF5 z()KTe@l|T{25tY!Fz}<7Jl8-V-;Mm`GPskVqU#+^6K(GBMVk;rSYBsvI+5bt%-SS; z*b&6NfBwN&Z%;+YY$Bp{3Lvw@e?CcKo`tUz;t*#Dckz}8$%})uc@eM-z~uBum%A?GvrB`UDKLjJ7sXKsRr27shqDo zHd`Jxv{jdnBC;1p>V2dk^=pv3x7G1aV>1$)EgKDaGH)A#fg!o4JHCNc3Ih{bXM)-H zPp9FDja)t6i93!oeXo%YT|c$tcs{M^1?y}I$p=}! z(8*OrS0b8KR$~W=n+Ik-Uw9pk{^D2C-7(*}$Ns<%M{f$A)=eIkf*sk4YAqetxaGF6 zkMH*PhJ8Rm2JHlHcL*R9msM|fS$$F^iu|Gj-Lk{x%gaU-k^Sh_kEG)?Ahff@8GcFS zClDprD>lyT%57OLRoQL95o2oT3a25DCiGL8SH|P;GjC1^Jt<~a&GfR(P@qHCeEJdh z-1AGHov1YhRKv>I*EK9x*kwi9ixC}0JPs?FDTBWT zcQepx$MgNH@{7F>z}*0edk@~%gfQ^=%}%i8Y)O-ZD$^nTk|uPN3B7!ERW# zNB9*iHO8Kde@k|ge1>~~$CuDsRQW$YnkVl~ydm-S z{9kV4merDfva`UdR{!0Fhk^HTVM$8DLqzk<@tx4N9E$NKpA0F+fDaw&Mz+Ge)75G| z3V(V7`vz1a-Oj3e)RgnJO0)2U%9&HW54(&5k$?534j z?~jwdXFW@c4^k`U7w_WSH!}WuNG$MhxVM`VX{4~d5Kq-MAR04}*IfSTG5RQ=LBQVU zH!1Z)aV53aT{o_V^^a~QgWnEf3xOa9w&tu>)~*DzTD|`jk_-w=NI;5;9zII-_nZrUtG81NpwXNzJ2c2)M-l7oeGK(U4{C6I zc+~psk5KLBkt~|(gzK{&+xGeisJ$RZuDpY5KyDTX7*Y7mi5c5VLdzOUI3 z#i~fPV*cw%WVBT=W19Xw)!v>5ouyUa zE}){#@|)zN`5Atc;DM-pfkPGvn81tIPoh&4$SDYu>kI^!Z!>l}J>}e%9oYT`<-0#e z3PF%n%={svwr1R@)L(Fa#nyV`@8l*}>eK*`F#k;LES#0U{od#y_56VU?R}Jun}(W3 zhnJeEF)R_Q_K?q9QlL`#_N4jFsuaCDFl|~od}j@z+v0E&Ns>>%bF$B9vUlH#;Zj+S z6ZLFO29f<-{A8z1ww9FDVfsRYiW#f}M|pIb|X`8oRfXImK=%JdpJt@XTQoIwQ{H zaFC_Y1z<@OcrY;XLAKo(Pt9Y6+=&nG>{?2#WWJ$Daw$@ux7nbH2?~|@a!l&JUMZD7 zp6oxHxcC}Rl_;D_{?$>Wdb#^XgBp~gDVI{9yc%br-`z3D1VyN%jaNnd@JI;ra%_pZr)LL&d1CjnqAe$UUXp{`zmjN>5p#ZCrJz12uH zRLJ9R6RQ;_ow-%R2DJ>`k4Ha9BSjle7SXe8oy6wHmMfR9FZ-S*ZqysRYcp96Yv-7B z6aiRB!`QvLpU6|l#Ck&~TF`iQ59SS=*Eh7+%o(&TYFB>iIH~2R=$oU}61tb`$@4}X zVXD^Bbr2*pk%?HYK>W(D2-h`7LbE@X_BGO)#h{@RejnS9sgj@+<0^XoI~A76^X{cP zn_9(rx{*pnkSJs}7+*T`my`Of-a>f!RQ=556p5oueN01+pOV48t70$z)@gI$#RprH z*5lABJd5>>&q7!%gA)q%*I!+pdNHDur)hAp>dM$F zv_u0`b6A;$$2Gl*_xI1s|h0BYN(iSy5^AQ)M%SOjC?M9HF7|Tva)VN4__+b_0=_wAl=R zerWE!IIEZ!LlNgNhZx;F#S)T{1UhsG_oTw!{(t~A;}WkS5yi6MY_g!lJWPVYX}CGI7smpu+9^mB!D-yu(# z`51t`n$NN$>;b=zAsX4o5^f=nT<}8;VGw5qGp<3zv3Dy$b1%k9z4c?#WBVEPx)*r)tAR|J-V=f6 zrKvpZ0O|e%(OK&lBwOScLC{H+4r43J+0mx{!-OW6PvUpmE$)jCC{TDidoa z%qJ5bR?ee24#|gLQ~V29!R7G#$M=`z!3Sacbn<}E6DacsVD?yxa*!-M89P|3G`EBq zjpiUwU=d6eyKWqa5LE$*Zs;}Y5tP9G0iZmzA+@C#H*#JM@v#>%Em=HpHX1l0Vp>Jv zsogyd{an&k%HihZU1033viyrgD4gGp$Np=ONTca(OaB*fp2^$Q1E1xR~>RifLsQ#^EGs^#;T5m}dQW_p4N1`^?e@mHzxx;=c4gpJ!$< zj4$&;hyTd@WPpvY6fpn2hAm25KOT6w?ME-(SU5=W6ZxEyFjpS)BGza|yyiKGvGLH1 zndr8q@weoUB%SLcYQOLg+eA2-^c@0!c4j=pVY;Hc&LsQ>7~{qB2(uo_CpnhLUgPWF zI^0{6<#FOe`AP%Aqc@A-_pdDSK3xkTOTAU31dS|p;#maX`a{~ki|jI2?J=`;|3 zsJ26vMnYb03I6`-Tje`?E#R|N7zX(luFx(XnFJ>2CxR>EUa=*f8H0I5d$Tk%p{in| zPs=|K%6?4DaR#*TYYb=(j@HN{OHOZ^X5|1$NN5_x96=4Z!%Ba49?N)Y1i7j#j`vVb&-4q%DUQZ3$Z$tY zx%`}-!(6Gnp`xZrh-ODg(;nPwTRRTjiLI{sd^L^9!8#+k!rLiJrQ>_d>~Y>M2v=v` zPUlQ|W`xgHqR>`Jr=$nDOt+%Hu*C<|(MNv+)lU<@9I09DU@Jt@-}WbK`IxRC?ib#6a4cny!Xz{V0=Ti}B$nvUPy{MKO!!X!l9`U^`nJ97#)9gL(k_(?G)L}~Fw z{_D=Z&EKfs{htQ8i#5-kh{k&)FMjL@eDXLy;G*8_`^d6y1Vi_W&4I!XpL;!UEP&Aa zPvX0^4xGGD%>Ad?GD4@vQ3mfCOmuzziJsP> zqKdgDfC*Hvr}$`>{)q#@4kC+XDtY(JBhsY5Nm_P(^;wjdcPynBU^NqaD%ofg{y<1b zYY}8iINO4m$6otO1c&~26Sv3MoP#0;H?gWXk&Q}BkP_msBUMh$2N@)qJ)JebxLRUpd6N>=EgAr%|W*d1tkl-~L1q zA&|U7mCg*S3?1PG4&v@`47unGZZ(!UTHj8>CjE`eb%anXCs( z0h(D24M;IWkHgYZ{PT@KQtlau;oWuBCPRvpZ&K~omE4q*+K)H~z(+pTh==rxM7#6~ zq}RPR8wjIiC4MUo+6fqTNa4fdU;5*cmQ;IFeNZglljr<*zPw!}w1c&LyVk4CDjmwP z3LTGeL5X+!4=d4#x#fqLOb?gbRsd|;r|0J3mzyDg4vu2s+$iqz;iJ-k-4Y~KXX!R~4eb+i_h1)8dO+h24|E_l0 z<4XRE>wNU2saxIThy`B6`Q;S}LQI%|Wali%D<*96h%uQIDSK)^&x+Pvi~r_+7-(;@MSrVED5%DcAAmEkoL0Ez1C@J5u5p9U&s*8Q}CZ7Z^D z;-^n4_H_xsa(21sB~ZHChWFF#E?4V@5!do|+1k%)y1K>n3q9m9so~L^p*HRAxB^+( zIUm*Sv55jz**+gh4C6f|R%+pi$^B9daM3H^ZL36kOzI%5_TJ*iw$CRi?g04N)r;ZO zIclhXKPq$8ytBh6tqP<5!1qOTXrjz0n*QRje?R*qs&$1Y4Z`r?*(DEDz?U=Lib+%| z#JSW0zb_K_Wg*01KS--z{wQvh;3>N7qki)(L0OxP8Z&8B1-~b4&=43oxZ+DOHYBiW zDpZd36GXS_qh1$3CFp(|;YU&6O}bmBjT)T<95r{PB3Qi~?HAvMObJe)nl0QIl726; zkxdd#e~fVlvWdjg*@NRFc!OoV%Hc){&E9hI%jU#Pm=Y{rNlPmq+|OpoFj;VclN?t0 zWs?0qF4%5qZ<7-MnPM{Mmy?Bl0SI9A8N|(X6%{JSEPeYzm25bQic4R5@yhpJf@9|7+#aK)DL+f3ZhuP8`BuJJ)t8P3PZYwlF;|={}ZDN(qPac1jTM zEor5N*;)a_Dig?SESW&bF1RgyFF*!WG2ro+(hau`?5$%*sw?&^?f zF%_rA(6$VO%jQ>$Vx2LgJr9cE@hMj&w^*7#$HaOl=6fEH>9-xl9SMr_TwI-zgxX*{h#H?pt)W@#;_qKH`3Uye|mj`nq z3JC8ja?i`WYL*{DNQS1pQ~cR7k8mjH%O#S!=V5wUpUQN4FUD?KXvs$x_^u*xO~1%c zHOi~YQDKP;U^~{8W*8q)6Nalw`Y`jPfYUR=_0YoGL6$e&-*@@aE^HkBIQE%_Dh(eaN$1H# z6>6i1%U{aPn9yjD@|65^c~AIA8|f}QvG63NM1ppC4;Nk#-9Sugibf$d5yd)L$hL-K{d~g4#ZsX)zg7npkflXYCb$x4g-tCe^ z25wr{GHHf-O$y)qKxO6viWyV92?f<)FA|t~I#iZ1!GxmWX9Xwb=&%s51_X)tM1@rj zNDnsx*2o5GC7_yBCYt2q5B7?JsgXiuE0VtE7Y9^)hk#~D(lr@|+AG0;H_cJ%{5o%i zNX?fCVoVh`!Y0EyqDptqC&NVE6}kE9l-6MciFhA2+Gc_o!5aapNdG$D4!f(z0q&iZ zq)?XwvOOnwrpi&UiGR>KqE6$ChIr!1Q?SioMkK!pP5;031LwpuVm*hwqznGN|=E{kNpS z-YZc5MgaO-5`BE#pk`B#EP~h%MdiKn#^~e+)K5~O*dWa}^xnwp+G@{B2_H@O=HF8x zot2Tz_*FH$)Pzl){Tk+T)8rIN6Z^86-gULIw+(H1tQ<{pPr^YNq{u{m5l1^dTKPlS zkn1Q_&0Uy2OTJv52rTSk*Kb=pa*CNZ@R5mJDu!|#I4PVWj(lvi^3yPV2Yb0ZyzJOq z*rFSBSTN?b0b3@riUQk+Ff@imKh1RM84Uur2$INn4lrTNmjky*n#H2!qCHU}bC^45O1qmBUeYs~ga8lBUbBc*QU=g@gIH($Y=?WV#t-0k+2(~n7E#M}j%L1$!#bfhkl+?(F zB*AptDT(NO1Aa-?8vsnF0}Em(>6{Cw`$aGbLV^x^HNp9m$wzk{(i8>h^NGgH5wUl2 zgr8HvCc#Wq+s51xSNjdv-Vl@Yu{`S3Fq59z_ zr|DJ2?ecG>#e?qtSQznjTf*CXYL;2q7GTsN=<>uEB3ZST z&o|xunES;32T7rda<6*EE5+uakdIG}k1ChS+*gq#3lwuSm_?u~b*i?_=j1}x5h-a0 z+VgQ9!8y(1a2jJNa~=`muA+R@ZHYa0-sY>FNItY#+KW1TSCfeiaKc0%0gF)3ysydJ z0XYA+@n1*ef&U!&e?3iPtLS4*rU}e{x0n`$N*14@)(?bDHDF^8=HeM=5Y>#qk zl5EI{;_?@A695wh$e>6{`KySDFdRz+@lKzqNfTy`oM+o5uZ z@e@=I=#pDOlIFo!)g&NZEFg&ZGuij;^+02U!mA7p$INd@zg)Mp-(gh8LfiGf3>Wfw z%6&^}0bwnWfV6aJmf_-SOXM3rll|doAe-tBw0Pa+n?em5JkU|4S+PPVp;4u2bB;e9 zX9Kz1lQ})ul_mC24B8TKfInrCU3kK&#HZ&`xCc|1`Hi?21wWuW@`vM>VKTX8 z5wa)LX|)e@iMW}k*r0SG&I6~QL0(c-npG!sIV4>gUHACIwIPJ&TQaCFmn8)(48iG6 zpg0tPV&;$<9E?db4INd7i*Ud)5y~lvh{rDUb-X6CflYAxbu=^y*ZX!gg8vukO+E~Y zZ`g#~=#t-(q+NltALvp~C(x?x*A3Qvgx3#O9u-*hzBASh3P09@b*zCxX4VE8E$U~V zs}-J8g&$V-ZgULQJIpyUobZMnfwhND@JciVYc&ay*_|BIgCZ5&mZ#N!ge_W8e}=jy z?f;O7K~;tfuF_=ytVrN3caWx?ywr}uG2drtsLb&y6OijY@mS6BLQJfE4IsTA-GKU{t z{PB?MlRWuS;$iQqcrE-~1b?gvnpf364#=%oFgiQPMEHg=qKO&sSmOz9hoxAGIr3nT zsAbVrXfy#lwt}`RNrtL)2c*Oy&%gO5drQC+z7Y#plnfD9-1-W#oq%b#LrFQ=Nx(F$ z6-~_8Cd@PpQtG*5wuzRE{8rVw2z`Oc;I=RMcxaqv%IL=#Bh3pUPSon?&a!vU`l?hu zco24>#57;A9|v+Fh~iG6o|xQ;pc_DhxAI$g_`ttcD3$<-QPC#u^UZUT-DhOP{E*cW zSss5to7%KT;Fnq!uL}?bm?K0f3&a5~YncXQM?+kko4^8wR9a?`L=R=;+NkssK=vJk zqwW|iz)L;lD5=4NX#ufC>;_XW0aB?F0MpEe6fvmv642{Uj|Bv^s5HDUiUl|{mgD#m zF;$YhR0<9fFH9Hl6s4AAu}G3yDKp#LQV9X zJcPG)c&-$^b2S4n&)vQ)W`IZ33D|MD1?)1TXObuM${|76Z32UrM3mp2KRY?y1g*T$ zJhF&k2oVp!G@d$|4AT#OlO`bvjErg!SvVR4{o4fIAx10165@?8gQ?o~;|Fst>;Qbd zF~=A)I8I*^KV3S21*8BN3NDGg+aPpHxq-JaVa-HwN|LzZm$VVlen#Is&dN4{`S4zJq zQ+sw0*+8{;BrjKZ?$4qu{mcqGsUqcoy;FLr{yVB$<71`T&ob|!+7;Z$sL&_JdF}NW=A1%x?Ezr&O z8N}mEtd?luoHWwJpgH@v4|L<2(C^I$(QApF2n9T{P2@%pB#IMaR@0cNVOrX>XXAT< z3(sd`p!iu}lk7QpLZY9DCXBeu+s*<*wfdR8C+{%jWO5O8zKN^gS&e3qy~(L#;#=>i zjLaV-UJ@o5L2GVWVj_f($lp^66jLBW_Rvt%4bE!lv7;_DO=(NHy zE+%+gMAH?(H}nN7A|MicVBPdv)3iG@C7T2H14zLV215O*Cl&6R{F7ffxz znbn>60TYu>I$8hxNpF_;GMKQX+8eT)#yVS~l)DFH4rxZLahM6EkeCR0s!iz>@Gm50t4N)B!M&rr9dcX!(P?&g(1Cl+1d(ANO z6XQLI34mB>0S>Ud@}T3QQUTiDb&)=4a>vDQ4B1E#1*Jts%mDv0_2MmHVKtI|*dmIS znFpcx7Jp$i^B70P`*>eTUW6kU!0ILtQD+Fi3ze)2{;~Sy!OYy%N+z)4wLaTMC!QG3 zFMD}8IC>Gq@DU4h({+&|BzP&tra;uFhOc1`DZ<@fNZ4RqxZ1B-KyPQ_&RJ*T#Y~%P z;=y*v?cvGux#{_(&G-J{{`%p(H0yZZ>|Q+0$7|%n!TCGnr_r)b(=~=*BDE-zH>bBBsQPnw9Sq7fo4zRj;L@YP!S`W0U;^!!% zwwKURn@M?$iJ}H~;gl_%=2b#ZVr9yBPbW*Dm$7W3qF;G`e9Cs6z^(yOP_U^DTY^@b`~Xa(z4?F?JoUB3okf%XSh3 zouw5)ofJTBqqXT~UaYy?(W$?N$@ho{&@sQo&sWG(A(K}wIU>-0SpH)=$SWGEBL|#; z_}CSzm5x%GXwsNSa=#y2Q-%FP-ZMxJ113LVMf6k!c^jby>oOFk7jD(WH@1YPF}+p! z_qRec0Fxg=>Rs7TR)XSh8twIU5O!KoC_i#T9Io4zrE!l~w$O-M4&L8OUfR}Qt zV%sv*E*DFz<{wSUJdZUw1tT@H43F|##=SwMyAkkZL-x)3MV>~XRu5krE~A?%pzS)3 zX0DRQN@ITOfH{G4FsJ87x9ZDY)~UiQa}LF^aOW~!J7?uFEMzqm!2B3TyF2;0CLs8c z62SFr;Di>^>y{q+$Ov$&7BfJI_@{0VBYF;1bC4poIZ&RN0rJ&7ztJ(@n%O-iAiD(4 zFjnN@-R;|;4v6rVrK?qyXlssU7U4v^t)vu!F)5_(wwCV?ZoGAs5xOosMEx%h7R)6k za2E{*3mdq*2+%AI(8NvL7!>W-4Ebb`I$_MCpnULU5dR{c00{G`Iv`#x_|rssAuuj} zQC8Fi6Elud%Mj`j;cT*BxY_2es7vNcI@*gg%c5k}Mbj*ia?zSP-^gcDsE%$jF*2WkRfdnenQ% z6>-wN^R%+Y0vd4#pD2L14d;GHAR*QfElGyBV5y_%RK|PU?p05Q&g1H?H01rXDFLwPxw+PRPcfxQ3bdx`1* z*}49O2K!G|*uVHod3d-vHWjXVgD-2 ze*k~}|6_&y>-PV{Gy6ZRBp?~^jWNXa28ZH&BTw;=v9t2L;i&#cSS^mXC3JcI_pGpg zJ>vgx#R+6*<{{&JgBr1Mk#WAc;d~<+{ZGAcaQvUV{NLGAtZx}}ax!yqkpbU0Xlxw+ zh2B2@3s}eb-+-(Cg;QeZ z=HUJx;*@Ty_qQ42ZE=o7)b*HXK78UqJN_VqAjGqsOlhv30U92DxFx@@@%B=;ru-8B zCBST`ibA+ki$#BR@@XdQpnT<^@AHN1{l8s7IqXY+J3!l=wx+-`oB5@5^B;lgpitt6r*KTD3<)PkEcW`w6L^!es2Z< z{aIfuaT0&he^45p_#wVFX``g#@$C&V0?Y4v879Z=EAhY)VwuwSh1}Ot)v9xM-`Rg1 zuccO~SJ9l(jH!Ul`z?)a6ghn}>do=T@QXZXu8`q^%eHD1N4zaY|9n^$rZf_mJ;C^K z#ALKSc2<<`6)c#xzpGCt~x<$Nj_G8uO&@ zT0L9&`vb9#LXHu$#PRj|(CkJaotU-c9D;^OwzZh_kB(2uBcnJl#VX`Dxez`r%|V6i zV;I-mL*cqDIWs8ZEJK26smXqMG{Y9J;R9i7?iJxfg{1|tW%K2W%)*m_;+kyNQcBsD z#W}20Ik~}2pbYOWiL^vi*U7_`n-vYXSfO~Y-nQeul-MPB&(ARH8TLl_9JvG11a8%90g}?96o8hBNNuo;? zCS0x?>7qMw`|1~p49u;HW)U5&`S?&mu`JQR!J6**;GaPC*PhwrUyM8(>?#TBY0KVl zCwrXo^Ww=>Ofd7PP-e@m8Y>6+Yb|#XJf2j{ZnkMJ<3BEzb}9LPxoY-6d+`*pDll#U z!It9Et1q2SNPQ@hM|4~UtJ|gpj+E}pJjEpDaUVtQA$4$n>=9H00rE_uGz~VyP4OG% zcGrO^`X9!I540cuzN1(n3t+dsqVn0!WacTlx`O>-VZVAKa6GT=0R6Uv7dTs~r!*DF zI3MGZZ;7F-6y7JA_s%uM;|j~>x_~Bhw|ISU>7ZK2O6!dr3k)uu(r2H? ztU~N24u%_5o1|lGxj))Ye*$>5`!Cq;h*hd;`zAte-Zc2L6${p+Gl2^ZQHhO+jdskwry70 zwpnT0wkmBqzpUF|e|@K;yW>vG#LPeY#Mukae&4muKC$t87PW!I@lbx2f?6??gJ6zgm|cN9!R|V#I1xe&QoKky zQtY*lRgJcOTQ#ukasaoMn%u2R3>FYQL>Cssx{pa=i!0gor<$CdHu{TJ4KJN0dL z=VZPmGqf15Q3AkZBlrFI6-MeR(&ak*coBsD1KNHQPG{!DL@ z+V}FT=PFtg`)pbhs5F`Crk+8 zD>tiTE4TeP@XVhL+@~@1)?`V5WBkbOh)7lfwK;%X7zE2$``P7Ycyu!^paw%{qdrOK zs0b(w0u z8Uu-Hq2P!#>RnXttROsa$)_iOb)>l*cRd(XiBn{Dp3Ow0sMBpG-mJF z>D0aU%3I4MRc7}X#hbD`;~_$opBc4X5GAQpdzR^@_<4A&S6t0XHJF;AGHC_FoLMMR z6MRnsOSGLHWiPmy&OZovymA!Uq4kp&^9vwo+X6g#=Z-dZZS`DQ zLL`3(1Fc?Ut78$z00~zwXk80CQoj-S<;~5JML*)zk{yv2cXOtg)Sku!t1ScadP6>v_2j24nRVD zlP0JxoYTme2bN9Rk!Nd@q2w@m=&9z1Kh1^*%qb4o&p;rf+hhzU!5~L}S3!+g7p#;( z$QB2wJjybByxZzoYk6i6 zJ zor9Z>ozzzFTdt&K7-)we$W&2^3sk~?9@Rb$W8cyG^)y1cUGCrqObtLafe1*mOXM!8eYPM4({r$fKn=Dz~^qV@%Lu{uPe4VQ=4 zML(s?-z`(3+wZ0ZAZrh#8&<_18C6@tG{b|=K1<)f7q3)f&PEq$yVw#LUaX7Mp@!I{ z`R9nrw_}udwBwXh>sYI5rIjv5OR?C6+EE zGP&TKp;Y&Ow)7`~EM@*|$ng*;T9mxCt02r28?M%u9tLYM@O1pU zw$@nI3{K!_e}U!jDQBX1!!P72oysG?*~^g56XjOr24ZXFKcvT-IA@Ye9`lNmq!9_w zmIuO6<|YWPr_+nhpbsT*iBY7Z(fwRg9mHUrI#jZB5o-pKBFjr(cej_t&$j!2zAB-k ze+{$9JmUnc?V>f4lUCY)nhAKC;hsFAH%>SgyBtH^nyt-=Av{HNItNLt&xiA-mJ&5Q zaX~}-!WiOFR1#=`G`-23&qZB*@|Ro1?VWLFeoHk(5#6lc;j-J?2qv(PpTbhnXY!bAhJNRhhcLjkmV{U z+D8+eBmty0V!n)~zfrP?Vk-IBj9 z?2ux>G-A`Id*pmdG%5s+{A|_Im@1~9LRJ*LbaKghxY^EF!2?#B#9={Ji?C45i0JD z^3aWk31Uh|SD2z5{J6O1SczK(thc&u8|Vjf2ji@5eiFHwg4D~lQg$8^pYvQgxW=Oe zC9i>GZT&HatLx5zdbu3Wd<++vT3Do3yqpbK_6uVcNAM_F3Z^AjcR>OxhJ_xW{9L{H zj`eQ*LNUaD1lI_9(mHBY>`mCFu!OOaEa4%Y%H~~`j3BU9gs_H?4QZ0sk%ydB=N)wI zhrGkV_l%(eKpKtObfUL_an0{yv>`@=ebjLnY|sUMXY{SOh6e0>sUl%`aaWemBWEo`C)Uy%QJ5~$*^i27R<`C9N*(_S0M6o~H zV94Sy_t}==3XmKqqpoFqN$7GKZqp0~S?4N}fuj3qIk8KRu7hD`Ef8!vF$sL$tiZm90( zBM%-=apixsj&nZ-&706VY8GSWvimta0pIeqlu8S;{YC>Q@ z^D;_Z@I>1b*HlBCrLbZi9BiRi$2pLavP|m+99&0pvd2XxtASG9VrdCZk!+n3lA=z$ zb^B$hLRtfG`Xmlu`Y;-%XzHn|WF%rnn~fe?!H=sQ$XLso`r$cj{-nW!7V@(y$6=St zYmqmS`0j2DXeR57#e|i9>6}{w<-j|jU*38X2MSl41IB~Ev397+%((QhY|szopdd(d zLV7nqP^*zBD{ye?u?u(?>WO8KBvp#(*nU!6k6mRch@}f9o0xZT%BwVg=HN##*b2Qk zf;hl93S}mjq=J&F7-ma*>h?4N=}I<5P>Zz3o5{F3-edDXSVOpG5V|V90QnvD!jq|j z6WbWMyi>s`FF;2mxd1Gf{t#ARD)p4 zK*x-jo6! zG$nNOA73TL7H*ch*o2lZzclOk>@5G-2rRpa<0z7^)bp{Pd zu16KFVO{uyLN!CGqN=eb;n6C;flU$wVKzwescC~RkapW4%q7GY%|*`qa=HIzy+`MU zq$bGw=#V;@9Us^1*^u`S#tzV6i&7pjIt=-p`%OImNcMWlANN!D^;oe`o{KiH9a11* z8fjDm{QgZM@3gk~37X3Db6N;qlKeB}oKn2`V!+@|cTlevZV0r$H6_7(E>SPJ{G9g} z$))Ee4>B06zOe=`_UaJE$aTP0xk?TlU9e^e$fzFXxrKphZy&qT?k-*!NUeBesOY31 z{_g47uPxiMkTUx3Mbb(efK8noHQe&RsJP|LphB7I1FMXppAC&iLpf;+8%#Hb4rkrw zcJ&L5O0r8)!kD;Qhs8e$sGAI_W2jq6cP5Xg3CEW4T$YWi#HZ8~+3*-=>qZ?-9P8E| zHiW48h?79A(YH#jO$gnT0Msu+PF15zJIz!d=rlri zuCZL#)R21ZjEK!JcAT-sM>Zz;oHByvO!H9cRImd}6fc4bQl-M}+GqE@nA-Y-F&2q1 z17oXyxMARVkf^f!ked< z2!rA3K##YwxEi*33oa z(J!dT&Jmh4#&S*6V*lOh)Hky3R>r+RlWzCWQD!Oo7_8LN8u&B02*r# z%4_X%O`wIg9U9RLdg!4Log?KHXV(~sl^0r72t`@lBk~k@?S;;nsi-99CCMkwfI&>UssQV1Mni?G zuv4p>2|I-1XaNH$8lTX)w7qTQIP26-4*V|r?QXh?*Gi{q26w!)?o9gR**&(1cI;)G zrEL)V@xqzv{wSFDaW3q+b|go6ZBoYPyaGh121~ku>6s_Np~)tKPi~r99G;ZVivz=j z6~r1l8=x`n2d*w5o#~44wYfj#Jlc&<*;OdYLgrqaVrhrA9h>9V;qPFXvG9we??3UU z8h*f>6wr%_T(R;}BlwJXq5jcSB7tvzffZRyGT@tZ;*kry+nJ3$2)e>fiKF6rT1lL_ zwPQDWLJ>@*PZ+L;#cJ-9mzAfowoW&fi!#M2da_u`TRUUZG^r*V#*Ux8`&GRmmi@xg`-Z|vMEiQg7& zmHI6V_bvUk+dn)aUsW*7_PVZGldR<901e@8ezZ4nrm*m4Y+p&F#qC;T!A2v*?$?X3 z{``DoQoJ+&G)^=)`pIHFEzM&2nW=W$=UNwQ53&QxQA07!u#GxSE`yL+cX$SWGN^hh z@#t-0z4?Ioc+U)o|(@Od|2q8Nd z-7l|)lfIMeI%?l3oIp|?szJc9H89j2?FFUiDy#>BUXvu-b#Fj1`GIQ1@dKH7Cpp3B z61}YCvoTaD!~mPt?Gr$Z8B$ zsJ3t@JLh{G#MRF4ll-d;<|hj*@A7l8I9r}U2PyGm6p5!bS?CGXRE#6AHqChRi$Q}@ z{s(X-3D!E`1kqySG7BDGEk&f%tB@`^aIaLh zhDQn32sN(bWXp0f&XgU4kCQr7gGoBLDiDF%f@ZB0=7BwJf5Z@|Iw}}YrXBJV_i0;% zzV8{@vSIF%C9osl0E#gq7mtYl%LA$!XaXdYFmtP9s$}t#Z&JB7q z!Z~J}HAN(PDM=>?8g({#Uz0wDn9G^eu8fzkp>4l^^{s`78haFaOKP<0aMz{Z`7rF! zW^VwI%&#xVF+G%$?az;ju-miHkLQOs-_M`Alo3m{q{P;UqSsyM-?y8gvmnfY&);E{ z?mTPEOrw={{c$EC?0Y`YbORjCd$-CgJ>*u}jn&LU-}e?~y%E*0jXk5;*G9?fK}`95 z{7sPvb@43*;#pbhQPqUGES=a&ZUQ(cq|e~X<5}!-9{@>6fiWPfCvjlk#xYlgpsvw- zsw~v~^`A!e#3xpnMESl7UBDy(FhG9p5#-{r&|j}Hw0E)ICBmD)(QoDH(dyh~@8Xn5 z;vB(IxBj4N>$@N4ckjXTkg_COGXOW)Pqz)Ow}rIhAp%wtsS79zQtI1udDU)h6L%CC=TJR0JXfeFTy9@bjTNpoyqvgi+ zmz6)F7WWh1Jutc?d7{@2Qr8N$jbN1OYbmfFlNp&@9#H_Z|9Fft(z?L?vU}JuQ>Zmm zrN4-{PFyYHq#FWlPE-vYye!&-Cwr`^58AV-w2Tr=oA)Qr+K7OVt zprxqRB=hjN$~LWUBLbfz!J=oQX{UuiS!hR~P~O=_7`@W?^ZPDPyi47TC%DdTW+nY> z+dic-ycaH|8Gz;qe5F3wjATmmWEtaG2g)WEex_OZX%4+wTv-JTsF7!Jr_kyzo2;&5 z9OIGH4)*jAAB7vI`U+r*)9r&9QnHO_D020ESYAS=@Xe+&y(;rJ+in!;n?+ONftg z+8&th5ks%^fG7#PM^#?Dl??-)!=52y+&hGyYFSMBd;%xt3(mgB3R4Y?EO zRL2svs6yCVxN-`x;%SGL?8XzMQT3vrJ;FGim6?7T&UEeNMWwm&QMC*C-3atU4Smm1 zA^#GF_y8RQMN|6$Iu-Mx`Znh(lk8_>HVz3vfg5sd7nKpo*{@3s#|C4mUyD)u5Lp+Ipf-dL0bk}RxeiUfs`K9DY#JlT;l2h zbwZGY+siGjPxtb6Px6a3EF*suj?A>nlj`c~a7k~qtafT{K_jGk5kqj9=xQdQq*?b$ zHN}`D)&w(`eC`HORf}dqOK^{ueevq(vN+Y0QG>#=5y+8?K3WpVVsr_}&LrdDD)}be zQV|GhJ(U5-Vo9vodr2sReWoD_r3VICZ;zC4kFt++VLeSpnJT*j7CFW49uIR}QPnh$ zf<;+~cQQuy5Ny=BPbuiXag?eV>nt`JY4($BiC@})^sK!SC_C1r z&oRmz4WX+M+7?U+86_Qb#fM&tU~uKjj;GFzBR|Uh^=-}5+q@WFw&s=Tj zy}x>2Pq+qZyfkUAJ)3$n7AGrQ!P~SpHe7Sx4eaoy@bv;f=`?Epj5DG?!QSQPV)jw9FJ{a> zES560@3IQB|6sectcm6oG(}cI42~F*SPOn=+$j@Qi;BT56o}*p6I;KZ#knuwWV$#Xco=(ZKM-QWET)^< zP&2i*0}>M0uC#nR{aA^7T#6lpcN#}$^y;On0mFn<`)W&D?Jz|M#hnRD z2^V=s$~@oLM#ztsySR=#({wF&ffm#3Nh5DQzsL>G`}(D}#1lqTuD)t}2|eT|Jb$Up zJ`NtFcU@Mk$pMdz@boJuvC3UO4e6xMJq&z3Q zf$8c5Dr{bSX1mV9bky<(IfU*vG5&sG>it==zT_uK+hZ`s_Fxx@j)TiFp4&pE7*_Or zCggsWUjrB4_tU*1JnGM@eOAjT!b9LS$$eu$;~0`jWX z;8^60;*TjMd@5QEAWuzcc`)C|7)-%%5Xid=A|Mg<1*Yl&EAenV{(f9N{JQdh`1<6m zRWQ)Lb#Y&Yn|ZB{tuGna@&;-Wyvq#Mp_xmdcjTA7&89V=;{bsc@Bt4Adf8(SvU(4m zB4CWL6WUoo4?6?CGzpGQzfx6=YKgsa+ZcFui5$Ll>PT#Y+Oy)Qw|RcdpQrz$mkS$) z45C20jRGbKJO!WBgIo{bR}gdG`&2JQ&m?80JrYfM!GIK-OSFvB8I!#h=%fHZ6nND~ zrp&TU&>5pY`lDI&FyxLB_k6i76JGOiBC;&57qOu8Ik=j_Njs0eMX^Fzd)q~mGpoo5 zYNIZWmE2vXfcA$7Ab~d7^Ek9~;KA?RHR9(6)M3oBe1Y{su4Ag_sx8UYv5M>+g?1%R z-fBxmB}4vjHv{S#f5tqVaOB^cS&4W12Y6tNCZ)`5ssZWbE9T?nVsl_)jy4^}TK(IM zRKKQI{Q{Jm_|=IM45cS%q9Ep0v%;LbiL{VXH{COxT^qW-Ny*2HU#zW%)wFm4&GqI>Q27Y)hM+RNw+nEh~XXlgQD@bf&e{4C;ZD=Ok;m(~SZZq^P z)__T=yUOT3=?#klfI(z5A=Z&X5B2Z@u{@~P^bbaO@o+VP&(GZ);nGq@cB&d;_pkE0 z(TPg-R2MTh5x{-SQs@)-=0+bUc1BC;5pk{jWYlq;g6$LQQtEmDKZ%jNRWhP^UaR_^ z8P&2tH4}kT#!9jjGbd?O$M{sv7EDWP(5~T95V}leUPAL6KQltyYahTW$g@_SnKeN% z4rO<&Sgde)#caG3412atxTnenbj|8p0dIM4=6SWGIRzL|-i5&Z#!ih|sup`9c~~e8 zBHS013MkU!ZGEk31gX+}6Fw8>q9yzf*j=<_G^%oQwi+cCKkCd?ybePA)foUZx6VJD z>IIRbO!}wKDjg6M<{!#fDOM*ERqmA?isvD?F>;DM79FgUPm3Iwk!+yS(kK>=S^Lx! zqD@?ScV1*-19*iCr^wPHy3i6RXgdsFmmy7q?o$Q}B)skeM0EfGzMmZGXeDZ3E1W5n z0*d&};xOW7mAM6Kfbnp??h@*p&dxdre*~Rp5&CbPHH70Ya`e9pc#hzI|FD_ul}TlG zUUTjEW6fN$w^5=B_(xsTJt$*fZQv&zRinaWg19p^EzEBHF5>C(wZs$vwb_m&z;d>^ z$M2+k5=X5JOeW7VCqX2IS6~g@$Yv%E?4ax;ETn0RjYl&9HBu0?tj*n!yO3QuP2R0Oi(R~fI;zU} zHIj8-eOW_`#d;>T9NVNL%W^Mifk%g?y|%rAxr2?lXnI!pY7L$yr@p?*zh6_4=`=h^ z_Vy8rO|L{Y1efJLKZ1GQfPhoDWnJxB@$Cd?WRxALTFXwCJyHnu`%}%Fjzu?%%-&^@ zj?_mTIq{cf*suKb(4?^g?ki09<4^=?N|L&&uhZ-;#b0;m78m8#rb+=!n{R>S-E@@Z zd^ZdB*8;%LHD61;u6VpRkLX}ILZa41L7Wc*TBH2)*_9tL4#7LXbbS?(R(KuFycy!E z!MaV(uFV{ey9%$>SEHSMr5IHuND%HltWU~b^N)#8fqk2O{Ec^{`!5D_1G-FWB4+Ca zGk~-Z7UQYJ<%TOV(Q&rHP|0G5eW8i%p6$jQwbke{AM}(*C;809sXFE&R81kB8Lc$% zpbN2M%Nm(C#!^5Fm!M>^Xlj`BZ961#ov8b&6`c;fJ92)oWx?aBtl%Dgj*qOcP&Y|} z+-Z{-!RsouTfyhV06Y|-K_Hxn>AzkWk1p{aPr;gg!>$ry;Q(7HQF|GW%3?Q)!@uP? z%p}eg+qKFD|Efu@@I`rj9ADwYI!VzTLrp@BOwqU7)?-;v#wxcRT5&CnVZl0Ne`-Qa z^H4b1%LfOpcXHRAK+BIcNf{FY6iags-p0!1)Ol`}l0i#+!BdW&2KL6V>!e2GR=$4# zbPIp^S_&3Hz40LlM61@Iy)zU{(Sfb58dP*3#4w-{YsYJhFf|FAB#czQGKc3Q=IBAE zdHEsa_8XmY^{|7W%}2*7$%04?D|l_B#_B*Ib5<1h08Ye;)d4*Q4-zMKQ27!hk6U>5 z%dDn7n=^*^b*d(HSPGeRetYxRBOsW|AFB~fP+MFk?AXEkT@_gCJKmHyveZNJ-m+@CDT-iHeM91>7v=1|LY zh@3O#x8?7ly1d$-0oYL0y76(@6bkR74hXNp*p^^(aOJN~N>Ht#sEC$g^@RLrZGCL% z5HEH+HB?J-*7`$%T%%;LTsrgB=7s98eF8w?k6(LV;fe%}tE5 zB^+#@Cf0geX6tZ8)Tj5ZWC;0@q?0mS-$Lqtyg&^GjcK=-QXh*CPd!FQH!YW5+g7Xs z|H7c;2XkGfx^HflRnom9XbrDT^S4q>+aN#p8 zn&_~32ccpdWTxdQ+*I1`?!N3YSoLuKy5H_!aqiYKU_TC|9C_ry* zRG^M-xuCK|IW(SSWFcUn3(>j@YQgE%t?T7+84jI-|8s8W2jsq&@5aeeQ2JOD2PNtZ zV?F8W+`x71l9F5&*XAx=m0+hB0#R?xsoN?q#aTus@iqwIO?n>tsWn~qXx1n~$I zuw3Y^iepK;%WOd1?1)LBFh5kDS7>ITox)i{7I@!(_$!Jn26(c~Y^BFF25J?^9nVmA zheMg$#(UL@0E=8XPlDnWM0qddTVLx!X_9~6DyFi|i`G)dL@V1}S>u=GO?^!x`1w46 zIx3s-^8I?Ji^mhf)|Q+nuYdRqIn>k|e6d!hV=7t>a2sF%vLq-tyOoZJDwcM-3~+4* z4u40-2PBnGsM9Ol=a+DFs%+QBZHebXW?H*mMlPS*H1dILt$Kd~2kas(`+vwJlc>A)9&Lh1G9=>^921SR}rtgb$>pTgBAeO=DQq;1OaC?YE zOkOOw8w~o98JAP`wzk&Xfw8K}gx?Pp!5bPf)vBFjuDUnmUC*yvx9yDJ9Nw2D}#GR28fP4sO^-E>q^Gv z9v-gfMS#`S%V~*Y!p{7TGN_0h5_+;aj~y2?h8Z)B9FUMe=N#Wj5jCsV@Shsd1fO6^J5eI~+afaK8(YGHziY*Okb3YfH0-Y@w?Rf%^Ds7QJt%_jycrXu^06@j^*vj{r4p-ft3(st zgQip2co}n1Nw((vQ>ELSvj|o`ouYA^^dSl2SlkTvF?pU@zj7^NXSZ*p$KAcdc$`YT zCUa{Z*Afv2c25Q34yCJAI6xcFQeQCD)NlhefYVeW2A_DvV@uScIXHMo(AHwcFOdra zZlg1n^Jnh{E>x&0A5CWj2MYZ}9lkEY^$8!deC_)&W)TBB;R?u{_innLc+I>Yu|+=D zW1UQiP(=>z>QVz36MH}7TZt=X#`rjn`J8Oz{79g*iV^O*7|U$#pJ$gi0;BE)!;&XF z`MW=J^KfRTpViea&R$aSww6_S?JF!TP@EOlX)dl!2#dO%BX=>kd?SFFgNBM8?GXie zja*p$3{$iO(TLI1Djab)2$#^}hxEk+8+PKrM+;khUYa6pT!Tb2qj)7dFUk zuXhe4Y3uD5t0H@Iu1$!!)~Aba?3th;ux0UnY^!;S=VE8-hq%8IsQfJF?rulSE+9+& z%nWiX#B458sqCKeFV;pd7g6Ez?dxRaeO!~hKp9K1?#Gx7&nBx0K-RzkeMu@w-} z$<0HkOJJ~)djbznHkGQ>F?u*X2EN=k$$CFy$9Q7KVB2eycZR-b!=l1%9Vl?*XA^t~iWVOZr4f7S{lB;2~N>PtGf z)Dj5bSohShudplLX6p|r47K$R1yD6nHDJ70aCJcudZ`7yRD<8?ARPY2pKt|9y$Yb; zM$zx0>ib(j8+QG-fN;W9Am}RMyTIT-T4W*o`CB0J-2(h?!FLNZ;pe|y#V23=_}-=Q zQVnpY1Hb#9YyDHdeXhs?n2uasO!v{cippMHKnp=Kt4zCtPi3a`s7+|09yW z$M_uy;J+v3KgIrEL}e=cf700ZH!0sOzIFbl(fH4AfbU(ZT~s~)o}s_V{`Xq{oYRlI zS`f_rD@0S^rXG|3>EhPsHTEA!GRe#zEq<{>3a6@xLj-e|-EKM)^(I{o~vJgi-zj zJo_h%@_*xO|1w6&`rl%d|2V*ZA`Jh(!zllelYdsG=lBawGSD;7vVZfFY;1pNN(Kg| zZ?^LPDR}sgy#JFF!#6d_^gSpXv~2i{46L-wjNfCz@PC8XWM%oUdCh-}>^})HGP2UL zG2=6TN5PEG$jU~`{{4lKg_fTAUkr(ngYmyn`a604S&4yxmg75nOw50?$IQ|1Np|1%}GX z#`IT&@q2WbzIFZ~`7fpJf71E?A4B~+zyEPA_jYi`es7MkB-M_rWeJZzbFE|c8Le7h7sTTXui> zzVNBY=x^YgNl3|94y_~pU_;$48dbNsgf)M;iCan%FT2|v`?PXyd+~B}e?J{KW8cb7 z`24zDQ02^)BevxEGiFZNvh3oOr+0d@ctUxW(&7W{y5)Pt zhqr%v8=k3qcJe#J7Pec>D95*S{G~rZHII6d=F`r{Gp?SLCF3WK4j(Lr5%p@=Qw?kl zT)lT9vE}|I8BCye^;s#!o2f==P5AXHP!b-9xLm0pcsy80`XIT6Qw&FzjgJTTxk=;a zxDD-MvL=P(w$}y1)S^u{x6h|nxh01WZ?b_8*UcT)SKHw9dd~9Q@81}3nC5>@L7$3P z-}ErtrLVFXb1dr}V|7M=M7_LeUYv?{Ip`Le3U#wmyI zuxOw-yL=o!KMO#M@V3<{MsGIP_0-6;ed?sIB@~-#byXpxnA*e3=WHdeD%6}OqnO}0 z9nFa!p*CNsxV5`=b+)L%E;}USi&SR&o!hwV=4s6}iW)>ie`)9DvALW%lolJjQTtV$uc;_cEB~`f+cmgI{d^DC;S zX#3v`xE(Wyv~rHZ9Op~Yb+PD5)XH$}wbe@w2Akc@*cKHFswRjv%#r49m|yK3DiZ0D z$}P_uJa!X92R6`ElO0FmbC8pTEy#Q1R~#9ppmYT>CG1+X#K}#uk&^N{3m2$m^FOCo zv)US02@{smMSg1KB2QqpM6u;glVO zix<5ipcvWDRGY0%D+iZt(6NhX>F=mcO_@jZL62gKDbiH`o=GxXg}$@F!?5~ZK+E@~ zl>N-yk}Bofx_D2)3Mf5e(`<}l4SC1R8issMs(94{P(_I|^drU4$Jw4klDk{iXZHH+ z$X8kXQxx!wERYVTH3v<%OGnoylO@cZuJx~|0}HLq-Z;@IjIvP8 za`7i|mIV*f)A-VKgIsXfCk=#A_6Ylcu+N;lR~YL~-gmZ{lu8jDv(U$c%Gg(tWi^;L z5LjdDaD^xJn_%q7_`>RuO;@+>tTl=JBwGfJ_Jr$Ykd99dhq@SbKBMu8_;BE!hL3FrEuVl%~#Wy8V?~8Z+0ilZh z%y){Qj{3p6=RJUM?d@BfNOr)csvOtIv4)Rn>8T%@3!F=4vhKWA96d&T@|{DSo}fJJ zG7h=Kl*-r;017#eYJIOouP(rj=6vQo-bU(sT5Y6=wm|xtb0V(bi9t2`3Y8Z4D_1Ei zY~geWl%7V*(w+~%R=UpK)jAmKG5gkEDD8WeRlWDjn$jV;%o?j(Wm&CgME+!NhH&=~ zP;nGMI90p9%ndcrO^NuUa7lqdVuaS_!EE{mu_VA`2-VT5q$9a>q;m(n^3UV?r5}Qc z=aQaqx4Xs@^}=frZN&YE$3%7Q6v{Wum0DN{mTjJ6TPq)=gVv@$XTS>UbM+LXEa*S5 z+lUyao;iRtRKujcjvh7SFsVfmWRPnNj7C+LUqr;7DZ$&DF}RNkOg7KzcJN z(WOTuM-goff{sCO8io-PJU$MPFTVcGz__OMoK><7%UxVRpvYn}g0H@ac$hpf;?hBZ z2>kkJ0t9Mc5QQbm#eg}<-bD0*T=6PThOkOVp4)4mE5^eTi)H&}jdhK=-W(wvM6Ns& zOpzbAFMJxNWo>{e5MY#mLBj-zZDVY1|AW&JaR!Kqb1@A|Ih8<6wD9AP;yceDpQx7* zDg%`$!?Im7m<)c0fleFUg#iQzv*RieJ(!sr#xW&6`yo4#B82x`|U4A>M^J5r%Yj$H#ydjjnyf&qm@9uta$5-84tMaGJRI`(^jX52{Nh%HEP-9pyB;<=tY zb_0exab#jsJPiH}_0Y*g0$fSyT*0?o0x>rP4xrZ_Rv43b%a<;w}vc)XB-(B>|-12L4 zy89T`uQD5;XOTw~lLh68K-3NxD`m{w1@DSx@BYlh2dx$e@WE>^^E(C_pGMQX;vq;^ z?bEfk+|lXru$QF*xX700Y!4pZK(8~7wysA$k{7J|qkdirs50%TY08ab^>{{?l_Nq6|K9{FJ>)~oH^ZEb91725n7m$pRE9qz$xq7 z%dsua2`_(*EdXgxt_XFoLLmlJ^5^LY(4SG@+MQ$cOZ$FTLKxuT;2$2aDRca>u*T#l z26@aZ&&D$@sYDvyvK9_e-IoU|OA&i#wyM&5=(iY7<_h{8!1Bnc?3yP+RKu8n4&apa z#4|i5yaq#9U})f^sk@(xPbx(UJ(`#?$`B8!JS(!O_U*7<*;)oeOp}r!@BBMpuY7Ml z`v84+UJ&ACO|KC30!2R%h4^VdHGpi678^p~UE%WQMDmwMd9Gn+3uD`@T@_H7?F_dG zp5*l52M53?b3-MEFO`ji3Zt^?anRm0t5I}F#Z_Wx&)P)A7HadQIY73~eK;E^GDgYu5PJpQZ zWW!h4kA$R1NO6A5gH8W|(2X2$8B}+&WF8=Y-uhXMKvZD;A<=qax~2%i9tTu$VxSOS zJ<3qT-cPlz+iAFxMOg;S{&StGA~+Mi6u9=tf2LVJevZ04K?)yetdSj);&H&JoC#N| zqX|LFY!FoY{OWf?TkLSm0GBYcz>PQ&fMv|jB&+BK7ezH*zX#Ffr{!lYQsl7Z3{g8M4dYtZikZF1rRv-r0VxU=}?^@Yg z50=nL6$p9oVW=o0Vtt6_80TBc^S%%Ap@mEu*5?P-#VfW)>^rf zfrp^nNMg3uv(!QVT!0x(0A99~%dCTo_6Gas0x-*|6k?+cf=2IFa1||FR>#3v^3gdQ zlHcv!-YjMiQ6hFQ*@wIj8(mwz%baets-h|$BJh!J9+nGK!Q=|v_u?%mI7$>$qa@akn3}fxv z+`G)q^FY?^Bz?kqzw~xF=k`Qt2sed79L}z4!wOUk@ed- z=<=V8ZnF)}3}pN`MBY{*V_22C#P9i5# z%aEHyU-rYQE%eLEn5!@@cePLQw9AQZ72uk)#f9R*-w^~m0=BD8IWXY&t!nmx{Wyar`6PE;8v5^5MI(001h z70nt=ABtketr;Swl!zNHNfBXYf)YXSy6tK?`FekUv+4pypjZqF-c=`XKsG5moj-GV zx5J+>>;g0IL!gl3W;ZKXZXOPhpQ==Eh_^j4^V-|rwhxv8IJb(``j=(NQ#jww^K-Zy z{xHG(B-v0$AsR0<+Ly*%D#=;7?CF9leH1uRRh{Xpxd(S`i4P$!Y zO>@|9#GNNtZKahkr!brvZjfQ-No0d;nIv zB0L*-!{p`wZ*aQFMqeT3B6Z3Kzf^5jK08u^>aE}wdICgpXx7Q1Q$_Jky$y6@4W`|J zU7Si|E!Hw#woJMds8HB~((e1LO)k4`{N^+rFnHI&D4-a-E(^pWX7{5@$|Bl}nI(4# zNyrhxkVc$7`xJnm2_~kBnDy!`pd_9@R0YU=RD4mP%s`sbQ1%x3SWg%Po6EV>IAet` zj3H!1jm>&6W2Bq)Li>&)5Hu!JKtf)FW|8(DZFp`!=L-&#t3p zM4r6aIjzcY$Wjb~wf{sJ3@0haceW@G@c38ur-3~dQ?pOK+63~L`^2gTS}cMFvZfo+ zE4#F4_oCJn8kix3j$Bnf%`J!${u^X)NsBgfT|6}!A$k`o#_g3zds zZh#jk>Kr^Y(mGZU5;$qzcT0txD&N|ss1uGOtDWORR@^0STM^ee#9T6W+{-XIm}1lp z3X7;eIOcEU`oD;K$KYCHk&%f<0)7DZ(+ z_Yu@poWHRX#Wx%BHcy2!W-b+&>-Ob<5y}gXt$%=klPqgIdLD$QU@K>3 zu|PE3yG-ZFa#qckgGLeolIxHr^N_EZDqj^X$dKi$5mJJ5<5LIcndry*!$iq7QA#IZ zzSC7>*@w5P$&syc?A{;%mlNpZ);fjjqs=y+&{vEZaBz3vAu5T-m{~SibvU~Y0~;n% zN=E$=0&~md!b1egzGd@EQV3K*R#LDwUJQjmrb4hP=OYOY_+j2;=L2xeLVR4IdKA<< z*}NLyrAKhG=$0?8cJJYj(~WcFroZ||P{3H+xx9fSiY`w^{km}^ZCC`{j3y;G%#wG< z^)66Wp5cCJIywKQe>$b7ul1k=9BNCGtgH$8r{CHOP`%^an>)Qr%>dAndy!y#A!FyL z3B;lHd4!!KoI&wXtCI`dN&(c!2^Qz{PhpuRIE|+B5^6;9@l3b?C31)f(~*a=Q*W{@ zlLwov-d*4(*_&~V9hoBH$7HhL(wn}rP-MKSXfxhs%Vs_pEqO)H5<@M0u|fw4|I~S} zVTS*z0Yxhz+2;P0h%UByfb?cBR0LBrn6lRn@?`|v=gWlMiKb?3QD@P9eax0eUbxTu z6>s;)L!6YH6DHBEP%2S)8OcHK(=TXF&I3-*A9JTFl=h=EXrB)PC__)bz`yDMQoi)f zOv_+jF)6z)E{;oR+C?iFw;nTjK8+6SULU^=<0@s;ZB#-Zo3>{If8nMKzBwK2Fl{2< zxSx&o=LlcRf#evmDK7#b`5?G{PKdh-QoIGuOH410&nz=E?ixj4K|DCTqYi_dhJ!1d67IaA|f+Jg*$Cl^Xjm5&~q zd+k_4?4xuGlB2wR#+)RtV7(4zmw)$l_ByQAEuOl0N=C7Fx) z0TJzm93)!{;cHZJvZ|`M>BO_DI2qZt(?MD_aEA#UIvcAc6IfvP5o*mXlCjbLLkeC* znolOWQg6~ywx*29qCPm~%xT@VKDCewu&y*-!bh(=&v{s%DWKLg zb*V7JwPgpP(as|(WA={+|zdmR<9CiGd9++2KPaTOJM{t^(+QQu7&+zP3{0mI;t?3FCcIJZ& z#;R37w!MUR#%^s$gmu$l^G(o2ti=s02e4*y*&$-M0}K@VH~ouk?^db(#GrY0 zoY#s5lz1VENoUYs_NtlhPtCDpG?S~^Fu3J5WM(G+=r-zO_~|GbzrE-^zt5v%xdob- z7%I&bR+SoLC@T6dhIhqUvHDxhP(o!P} zUab?8jwbLl+|lO>CZnL8>IiuT>Hg~4D;%UEoRK%=bD_~E_elt<1=TGQW$lRH6LvJ- z>kd6~PrFK?B;5Z!R`DnEHiFZi@shb~PK&Xccbu2Id#a&;Yd5-xcY~@MIdhEQ@b~oq zp#dGA!$%OW z6(e`6;RME!15RQ`2uoTX(SD&#ZTmJb#WE?Ibg$=7KV9ou`^rC%~zP3%4c#dZy zKIDrh*SYNGDbNKx23d3LsD0-=%x{mg5idjPV{nvU@=EDns9{jKwVL;yUY<{TYRw_4 zqs6t4HWZsEM1F*Gx{RhF4LB|$a(0CQpP!bSwL*?^`sHLCgKuhEF~LU8-nBKC#mUvg zV92bUFxcY5;;+*~7I?%3&q0D)^khZ^dwpk&rh^8r_)Uql`EB?PP(QXr89Cg;e9rUcM)c zbbYZ4x9yl-d_sNns`j#RDMBl*tMd2t5=G_M3Jm*fP(yacY&VgEL2ug&Dr=-{M0`n% zkW)zcwZ+rEQ3Z&LtND-=eSP?DpzAX?!F`Ui8T_DVl*|o>+3!0Z;1q$Dgqi$F*oi_7 zjF#QsZgv*#5!T?tB{&hjJ!-?W%mIx}4Ax=iUYL}O=aj@03sRl#sZ>0at8k8=$F z98e-%_={1L%>zoIW)bX=W#cQt&!{NzE+IEX#;vor+n8p`qubGJW)_Sctl~H>^6x(< zRN1wYqw#KD^e3Z)4>fxzuhiV{+JQ`%cj#PQ;H`t+*kFoZN|;(1nn+R`yX1c$WI@_L zj-8b;f^P}g#pT2uDL|Z~l_nC4J19}$B2z`so)&ZHY;5Ir@2fxUvG z32QC0&RrLe^zPVd`ndz}TtShP2iQSh#nq*TOmR^!t62PAKNw0GOCiN!5vpLLX84&C zg=Y=cT;J;5ausXcA7e+X$@_Vbu5(c3^YLbqbTozgxOe39`4qFNl=O#@7F4nN`T!gR z-1D9$F%cE=r&rF$q`KKilnefJPzgME@JKJTIm)x9TFY7JJ3pC6Iw1zY$=%NI=JFwTc`025JXsc?LaYz5IB^>{jelL zV5Z^0jF#d`oz6bFMc4hXE+h~?BJ~R~CfD7Ox}i~hXG|b%w#+bdW;rHhb&LckUIdER zbC|)}ozWYYx`*7>nV9_{=#!oSf8ryN>~k__+*g)l+Nzd2PMB)<`RR-L;6f_StEW=%%TCK%A>T ztUEVhRd%MAuH9CeYJ<-XLSu_0k(Gh{lPNzVV6HWd#*yCmaeD-;bP%>~xCVO@9qg)} z<4E_7@26#Tft)tc{F=CQUf+O z?Lwov#_@s65ktU~;RaV%y#z*Ddq!7S_s6g*D(AMQc0UDOopzL z^x|NNof=nKxL7ku@6G1k&U`kQa@u%xt^Eod}t_Ke*dYf)HatSSu zrC;PlY~+occD6|;S(uGKABcr88cd4BP}*sf_6qL4|xJ93awVkM@8tiu8c6|QS!)8^>vP$onCm z^e(*1BBhj*BE*K0DFY$XSLZE}^sH!qFMa|nqvzje^wHGA9@`QR%9Nam?Mb|-)Sj`u@S49oJJ`wEu3rw zu4rif4kq8SFC*tr-r5|t>l)Wz;6CF%#<)OhmXDmKblFOQWw)>XtJR59o;i*)fn_pg z^p_z?j(#<;kbOd9lJ1=0nJuln75PG?$HXO}qsyh)5nsD^d% zGbyiSB$sXiF-ZE#tEGANBpYK-uch~+sO?hF_KB`(ug}CMAZ3_3;A6k(d0Cp)$ZhIx z!q3t1bM!ZF#(E=zUb3G&TOo2BVrtMLlHXaJR!SqNz*URG} z#`re4U1@scCWAuDT)de^1I#Z<$9gbQv)Y{uKevKO+&3G6VW;$Ja2~lf!P@D-Kn%>< z>2rFivbOi>fF!`%0V(|tEs#V2Zt$RIe)hD+^$}X@`-vPw0;Svm8eMq;@P+==pPMCl z7PFFJP?w%M5yuuuHS(8+5LBz7!+qg~Y{K^su5QoU6dDQY^1Se|-AmGV>7h!ez34b0 zJ#?mnSnWBc08s()D%n9;jERv~z_C{-uST#PrU2uj^7pgztrm^55g2~s%VuuS&yX+R z80}|5mo7-^XOgdhwi*uP(G7Rc&pgQ%AuxuwYXd9g-7h(0WylZJSZZKebR zQL1x0ceW@~88O^qI(;}B!}&8)fWIvB;pu>qz~S2yB40TO02qG&R?QP49Nt$bWV5Qp zE5^3z6fu~RS#OWbuIU-G+U`IwL*OOWY&xrGMp ziApz7qSH1{7tNcIwl;W9D?JmXK`|(z?_zLWM@JLiMfiG2dUz8hSFLZ=Z5VMpAIIF- zCL*02y_A+Zj{4{0hXjkKfl2YK{m1l+l04wUpRb`}KI}2rEtc0NZL0TT92?7A?6wV- z2N@kPFrvOo5%@6FnX4PsF30fF7(!dZO~I9KvLY^44ms;c7M7Cb?!4`YHoP~?ZvuoQULcnh}2~7 z3L*k@%&$DR^LI}0(Vr7_BF78CLp~}`lL-kmopQb zNDaCl&xQdpwm#qtuS?$C1@@ps1B4$%(c`R)2>6wDXNH7==rA+J|54|$DR%SOLRT@4 zc@h?T6Ew3+VRT){l_0KX2#M7^SIZ!8-g3?ac$y?w;&2lfrwpgRM*!`?pnxTIu7!Iq z*sd-v)0Dm1Whx*O^8$$8kj2N3#nx}ade|EsXx31m=4vfVIvmJ0e}Q164@?0ZiC2I$ z2auyg zGH7@|aO@#fZc-_e%S*ce(M><7s|xLF>Ox;NpGU}heXd9wljS9k@6Zo4*tZPl_@OqR z$a`hhiT>d-Ly7e(#;pVZqVU~#lO;o>r|NLQw$Iw+^#cVJ$DKOVB-W+aQXW)NP_J+} zlcMFha44Ghp~cOVK3o@5w?5G@1w@Od&P&L@QPB;#9A=AR9#n!t*wO$>i4mImL(TlI zt;jmc&RLl%FC6G@c#?4`aZmoJXEp%f6F{}evHDsg(`9o7Iu(?BhR=Jq&V%7HRvBA0 z(2jxp9m6G&x79&7JzC;JIL^KC&yI2gQq;0LV1>IT`}*CJu`RZS&EVojx%x89;Y40k zjuJ#}_GBCjv6~-jVh1c><9pn+8$zH<=S#xls)cDY@Iw@?5wLczj&Y}{qb?FuQoHGJ1OzTKBb3KX$%iC zcm)s4ytCV{ZuvUl5EampXshx^NMq^%Qw=DU>x4`nS*?Qf`z=IM<^P~Vw=9t($Ige2 z$+y2h=0ZtgWk>+|j250iW1IbSFgZQmN$S5+NUcj(NAGmR+lw+Jt7mB6NzKv}Joddv zBbd}l1K;OKI(UL19ixf28>Q$=6yTuE{YbjK7}ULl|2)*kG-m(2!-Dma`#vsWgp>6m zbWn0S(-2TmC8*W1V^fUggo%^xPq~)EDCklU(gjh+relnR#bB6kpNoGt7sX|tRhBLN zW_&WFn_bP88lS^g zR^{ZIIJ#;d{0S%50bWK)GXe}r_@mGr?|vQf--Ai;%~r1tp#p+!J=5I+b%hggX8F0w zo?ed7m(ZtNT~#N|THHRbZP`fIpFGhX;uLz+zp_v)nZf(8o?w@mHGURp*A7XL#s~x1 z7TZ7I<+N#k%lH92w&@ddOq>y)nZTL}k&%4r-W}EILinb~=-fAG5U>z9-+m`~OeH-pJdi=UvO`AZ( zzDm!Huv#?{#&uY{As30afe-IWcSWa|c2@o1-fr%l6jdk``dPhFb7}WlU!hABDvdRk zvsWQSiQChH;n26Z%O=X)WML~D{1D_n1t8D!%bXPZqR{-PiZMkJHUd6KoUr2EOR?Gn zfk{JO&T_V+U)NCIH&7ZeQ_p!~Wp74N7KPji^)uP5x7#R^hqR@``;DIE7k5SDAr)xI zd(30qNz@)&@3oiD0G0|+OZMj_eJrpMf}iCGm=GApGLM)d)*m=TJdWe5qsq;)W^-rp zFtB_yz=05E?zxQzMHQ7oy)yI-waiNwveQ|%SWl2Jdnu_Rs!%O3HG}=_^b9!cqfFaO z-t4_BUVXLC{x4pG+Tx|HTVQMF_+Y(pb{0ja#De&~yhl)*FobR@JTek`JRbbtN6D-p z)G9lIG(>qmlE{I5Y;|4>LmLrC?WoPh!rY_8FHN6}H1@svoRaFWUXVsaID;1OMQS`E zG_KETw+zL5(Wfp~LVMnd;)9xB=tZoF1lkym@q1_96Wwy!k2ck`L-;>KFhz!@QZ4Gt z38dGm^E`RKczSKsC%9$Ao;tP*;F?lcCW7#I30E_W%8!E%I{GmR`ge>4{0GX8&9B@1 z(Ip)~X6;~llm-x~B!7OA-*-4vMz0Tt^U#@R2;^Xk&a`h`__#jI*;VNSKHlZy zsGk<(tmtTKb+*n=iS}*GP^^N?X2N2>>C?(M@M7uY=42FpZa*G~^>*(c*Ot^&kHyP~ zQI2#|8q|*N8bHxNA5X3i!wiu(^&qaN#p~?K>F+@ve+sK+EPj~hdvF)-Kj9V7i446jtuJErZi*~=qD+vjj*#WyH(bFG|wyggaqyoTjnQ%40|c`N4n zO4aR#KAy(bT4pm@N;x0I(^Exb4aHrwchNCh-gS&KU}-BZIowJrKtFGBw9;lt4ZY@R z5HVvEh(YcZXQ8SLssi#v+rM%S{h`0N(snEe6jc*Ykf+OD%cxf}{^6pJeQ@vM6YV{R z%6yO5aye28_=pilj{t>!+bycNe-F^3z>Q5Y+pm8ciLo^soLghedrRKhjF?3qqvXY8 zAwKHZ<6+CSRDHPjFqM))D2ek!@-eLjAqCQ&{OstDSE-1l`J8xK&#P{XggDL0EfsOQ zl~}^vSP`y41=0yybY^mcDDt38e|FBM-TBq!WI5uIbj)q!VS|qm&Jdh8lPEi>_KM9z zT}BA%F`KgaFP+?xkE_0rJ9%&U#H%4YLh-ZJb@UCT7m2<0LDh|K@i$xLPuvNhia{(Q zy=?X28KuGcvH>IZLa+z|j0im;e{LoB{7?-E^b)J!S2JL|3(c3yCRVnko!eDYn`msv$Lh{yo}F_m6ydV&+>co2id}(^(VPzT7|VvhWr`{?;y;B z#--o4u#GBa5^hSLHMwL;mf?;UmsiT5_-c!nX)eJg*%-b^1A~8(vY`E97LYUk=HW5{ z{rh1?FEW#QssK^A8^iqrTH~bH&E@G2lN#tExmZp(iMIPRt+YAJ4pPNs4m|80<nQya=f0ZRHY9&(azu=)MigLV76mS+tMDdQAqS%+P3kr1pf8LHo8g2d?(k3Ojze zEu^$N_a3z0>7^x?_&6EOoI_JPlOs+|hXx87)7@iN zR&i%A#l9Lz@7WPdpe^*HgT$Ge#*tM5!GyYtuUfLbZUZoKI9=fVlEu$}F8mQet z;)bO|K{p|VO~3iLjjj!Y>D)iAgx*9MJuK`snH*ZD9*eWg;gI2z%#;QwqG~ckjGa|( zlh*a)sLRd#!+;y#LV}D8O(=L+E87y>%j~8b=e#fl5P%Z{H>pb=dRryVU1fK}$mV7~ zzP+`*9z__g*E60a4P%nP)M9u?6SV6;XD8B%K-_&3~M^+TMXb%U; zBG+@bg+@U3&j<@oDZNgzZRLP;=Db;aOhd*fU2oA=aRLqpRDa?@1{GBQtyshiI7_ef zK;3E!2%ch8EI=#70Fcp))Zp3K_82o{=Rs+yc_3kizI|z_pf$G}dgPUa_!#~W^000F zX|ch!D1PlA>EX!y1TP53)0L3WVmnNrpR2}ba>nh$Y$3^Rn25IY>;0k6qCWo#%}flL8ds=nu+3Wi*NT5Qs2$*xNx$N#ESmDe+>~Ae~0_mkN|OF>UWOcv&iVb9efX|hW*pW ze>TjQAj?HSA47<%EB-6T;qpKrg}<(VO5bz8Q~y_~|FI1JEK4nkF88lAzgLOyzn1#X z%Kl>ozw`L^^}W3Rc}e4xAb}KsApJ5j{(q{SwVm$6;%Q^c=6Y|A22aZ&Q$L!{BwVZ~ z_8QXe^@`WU=e+A}MW z!JcMpK_kORxy`_-;&}E2j9nRG*2-b`h98x1U1+nfwAU|dh#6Uo27S(W_x#7H@d+BR z4`a6Rm^ z?+<>3tf2*Z(o6(6qSy}!IC z1bOKdTZ?K(H)8A&i4s&H70B7(-h#K;CRY|j25S)Pu|%7${`!`lxFdkX$vgNmr8PKg zu_A+b`b4HE=w&4W^f!zXK>sa9BBSR2h1kl#%k3|^z1Ih% zGm%lfxVQCbUv0(V@wS{ohQS~L+1Fq?BvX#zvSyVyW)bCQb!dQd&zJ8-`GD=Np;JAf zm#kEfv@F`%QS~(P(+lo)BX-DX9!IBIJkn3I`Hznx>8r(e-vAj+mDwO1oI~jMtK%m5bZL(K2U(H-T4q95Jmv71HRqZ?%o<&Sl| z;%J0cyqUh?3fc~$Zr z7UNKiNs9RW>$AaPkkm9l^*sTxNoHv-p%_|Y+&xh8Icl%NJEE_pX(SRXxw*Ptlxh_6 zO=uNM?Ybe-KqBUfN`@~Edh$81=Niyo&quWck8r3zY|$ojPqz+zCglOq%w{zvDc^>Iq4CM=R5%dqX1#dL)aTDHe1W=#sj3C(L;1%ese1{`N zX-O^{wBJr$Ehbs_DMv=Pir|(I@Y`f#&S1f&2R0r&0pSM zdx-gVAr1Dx^~o2B&ri);-CI|p!*$zcIUmgr(9bt}H;+qXd}o&#yo|5ObMpD^S+nxd z1|;XYDF)e781=X}1ByN-xPv4?=yWzH_DU(g^5m@V?VihjVt&Vi<_4AxD=n!Z<@9 z+Jh7gEidk0T3_U=wkx&38%;C^1MX|43rE-K?+5^VWxfhU1wcA5^KU*Ux`1bYsf zbV)L=CDfK7>w^YQ`#Qt_&xd` zQ)Kl2F$Fd5yK}>ENsF&76LuZjP~K04~>o8!iRGw^LKT=*UPAC3asGA!H9 z)c<>5S^fW>?nqU;uOsV<6Kn22lK!sbKgXW+>itM9k@)WYPWC%Ss3U3Zx9NA$-}ND! zeHSfW@!k0yn4tZ#qv?`h{=0|-)o=TM8{#bc+hF!P_V+CKQyJY2;nMHG|A(vpY@c`8 zI&@+|=H!ej=lp$c+V}O}8~bb3-`suh+jCF7ie$@PEG$ zO#W^dy8wMRj9mbYT>jbfUmK^N*C)JyU;vCsBk2DfzW=uf>_3Rv|0{f-^>6SVkM(aE zW4wPLyLjI~_g}z1>)-hPzv~|VZAJUH?(yFmv;PL{v;Ix@|Ic-g|0z5B-{>CyozlP2 z*#F-F`+u*(zeQ&HrpuXF@VQ|0K!;J&=H*EOX%jvZHw{kB?&7h$!oG-o9>y>ARn}xZibgD}H&LU^*=P8q|cQ?yqm4-`f0T_UYCpBPmff)jTxvIr_R(a`Lc~G1qrP zF~V>+R{v%X=79?cMTW{d#dx*jA!! zq76oxu3;{j;h-a*y|pE6;~P#RcVfp){|Z{HiG{fB=`ly9qh*2)jr(c){%q?sA<6!J zAY*q>wfZyB+rUTp;4tx84QuW&5S5nq+Vg!|!tB%5lhsJOE;0Z9qS?CZRV1m4$MeZCwA0G9Y;M9{Kms>?qpQ3JusEpQF>; z82Xo!h2-u_dU7TVoxqasbIcXB=EwE*9B&Nngo4vZNCqW$!cU)W&MvRJ$LGDF=AT!} z{TYnO$z@>%3@HtDh2?Jgv9k=Tvc3%!&J7O=l9pgO-LA`b@yyMm^2m0xYp;EW(7bkw zV#4)+*-QHqO8Dy2rJ)8&|Zm12)pQxGg^1LheVKzmLU#Xw67Wl&IV6G%Dvu}j+&4H}9T zPtmv1vvezqSDhnCB+YzRe!B?u!Bk7fr%9Jbs}Ep7)GnSjb*%S5p=q}cv!h^nXSDH zq{njX&1oK89WC(|zLcB{{LZixJom94i*k1;sSp!=4o+oQ;0b0dT$E+47#BiEf2G(9 zca=%)3Z^0J4Cb-Gz!1f_?D(2x{z6S;ol{wJtUJYN2a^_$Flf;Fa8oAg+BRk0AJeua zALWaUi?h`#QSzPv6<%^{tIH6#8lGw;+lp8%`L;}Cf% zoOv1L+@M^($t7bFeTf>07@KRwSMR0(C{J6Mm%sYrJKLI4?;EwLXqgF6E3V3~t!kIa z)1nu)?F}`?1bQ&e&VHL{w#T-SL5b62X0nXujOG~VNM3+VMBSz$lV)N<9%+;05$gm?zTYnVPjn&a6H^k-u!>Ax zOOGk{z~OI?4ofEMeHqMeis{@Ak4(pYG4~zWPa?LzO!&AkIcg6D;eEVPdwNzfSB+iE z0vCtR5EI0{ZjpbB_Kg#WRM1=Xy`CHNUPkI zGyO-!*m2bc#?w(p5t(BJD0pim3L?)n5Hq4)JAyhfhh6y1n2RK6s->hqC+e{#XQ$$u zlXeCGBt0&KdTUdW_m7wRYhS03(a;9mvV1B;a#QDyxE8Ll#_Bl;$OkVA1eQPSl+BwIv8F21BO0!bk6fdt7 zoM2y&FF4(Il(7G%b}@7cg2C%_*!yex<(H57;p3Rz<%A77<-E>Fw-BRnux!}R=E~zd zV^5y;l`1kBYzb9zX?T|BY3>FPIb(ES+ss|<`pi+%5}<7D_W@m07d;Za66g$_Y5;_8 zosEI6)un=ZYmlnY78!ELKF$>+f!AVllj3?8*Ry7b4u!*{i=$I7lJop~ zcESDQ*|c|E!-^)eOtJ_VEdE?_=k75onY_|@vg29gpp2*NFiO{>fg{luM-6r8b*&=s zClXqWlXwBc6Idss4ewfwJK(KA7J)ww@6Qdf5KnV0Mw8bPAPX4RrRLll(NFIf^64wt z&M?%L;3YNgkHbs2v=F}-%CfgwF+)*80nL1j6rMzQ4ewt>KR5V%j))lQMcZY>Kqj>U?= zFZ_s5^YjB81MO&W?(KN6)<&#MBZLD<>%qqRsFH(I;% zL(!NrwJLD|NGQB99HHb&h|+>`n3wkV+1#p%1S9T=IPjiJ;4hGm-g55~JJBOIt``>l z4@}u6)XF`9yO#9h{bTK>yNgaU#)bA$?pw;#Y)1VJ0Val8YaJ0Q{%ZyU2pxDBd8i3j zq8mdz*3Xah*8-X@Ow9F=$8{`cRyrpzU&$f?gX>SAD!Cc$S z^NRv*xwmpDchgRmg^i9xCyk#}hz-@1G!faV*^a%`ma-YCO@usKKK!(?T&|ALfmgVn zJGw0n#39gtjClFR6u4Y~G!(F-^u^TWW)7|DYV8nEhR-Yt=Lh~gB-C81bf;(Jt}}9h zAp%qf{d^5$j(Fa7!0mLA)Vyyfg7oeU{uRasn6SciqsYfJnT4{S4N4cxYLxR`k#Y3I zBhSELiSh4MxwsN;$KJMZ8yastP&Dzp9@=Daz-VYP$#5ITFbse#JW~09>X<1%Pf23uogb!__S-M-+L|-nkXj9Val~K3WIj%^9g)YoIxif6DnmJ1>)%IF(rZZ6WYiO zOz`ch=wpzbB2Av%&rdL-_!0kSC`}_kfDCB8fVw*LS_Y0imfC}CnncnXLqMErPWnj{ zpHsU+psMh@*%QzeP{eG^P^(y<2cPL|E6v^qxYr%%Jt@%pHOEutNEgs;B-ZVqWvGT> z2oDb6jQ~GH7)B}__J?%mySis(ul7{aQ|2BI;+@}0-d(pt8RrS(zpLtlx)#Et-gaAv z7gqI!eff8kDYzDf4`jpL=Ls8!n;vS?I;hjWp7mQ)*++2#PK_qV)?gfyD96tC>T|9F z)lqf}2{5cetu1YPAQqfS=eIrgY9GFm^b91fu|}pEi`F4G=u3IH+MFw@7{k7Q&Yt;x z9KnIRuwKrP36f-%oE1^B_TSv7tum}+rCzYiCmX2|k!grEMzJgT)YLMO^?9WmF^ykm z>)0EYw4YvU+`Z2)#con{wEEzsy|NDfV$uGb8l5(E)0_+3!Y{@4){D^Z#W;#VX$U!t zvyR~((=;&;>B5UCruIUzt6tU+O>N-LIt~cqVDRYf`*Xd7_ZZiUQKux^zSU1t8QA+n zX&MIs^VMg)w$q;r&E_x@`nYfT!C-Ea2}x>5C$>w5?j0sq_5d;{uxHPJ~679@?jA( zHt*Okg`Jx}T1{3xuw`bJFx>S-TH4815~$^^U`P?B*#e%$%NI`(m!fJntb8UfCxpeKdDDBmirr)k1WeS~bXo)U^D(}btW$Co}YM61-YN z!et3n8p@W_S@*TDj0Y5bzW1cyGbDT{Ojl?5Zu+wPMiafQ9_Qz^WVOd0qy1@-8a%_&_YGdUrFDDQD3CUsikKm%& z1H|~}MTJRdfnP(k)T+po|M98n(e4|5S zJaobffny)ne=Ic0mL|;*S&-GE+*jpJkvN(07m!zq&!RDs*d=pU*5PyAJoEGd%dCqU z$ZFHh9-ZhZu3@XLTOKJqs3rh~)TF#4>NYuCERSGy8gvj|-ZFaV=9<)~!??%q$#F%P z*?4tVo(80F9yX<=l%=jAO!$TO0KdOFWvk6)>F~Mlk$G!kJ5~KYu6-ZGK4zks)68{d zDT+n_TN(_mGTh1iB)nED>3@nRZOGHW_+{D&j;08vI>ZXD&Rcd0iLGEDMnPdwRv};8 zuWA{}jW$|()b)*hp{eT{v;br8w7=p4Fg+!yw#u`mQP$6egyqg!`&Kjn=dvi!)Rn`7 zk`bvmU$7zLp3B*lL<#l69+`mDZ*_YdvmZ|iny0BA-`c6dEv~m+vET+QMg6fa`G#K!4Kz|9gs?Ib)zK_Vqq6Ggk0f|!n2}^Osm68@q?f6Z^4^hk zH_Pdv1XEHrVo87KHLu3XS-&E#Q_jgUv5d;nF`t^p)mqIF^8yQ1*O1(FxI z`$4;+6l=K_)_2$$-tCVY2@`BbOFUOd+D)Of;zcF7{`4(>2aEM*xNbboD%0-=?Qy00 z!^^FFsgru_dr?mniZL=(BqK7;r=Kc7M1!m8JQWB+RhRY2N3wY;l%RlZ1c#)p2y03Z zs(Vc@a8Q#i8zJX1$6_e0NU~??o&bl(pp_*~6e%{W2#51&n7SWZUw}vCK5nl(<>2nH zzXi}{=fr{#!u-HMpalJD*<#m%YXZyGd%6 z#N~dgu@infUQjk9{;Z(B3)ZF93!$qK#!6rDP7tu&b|qfS@IP2Z{F4E-n`y&@mzT~DIjl4-gjSQHm)(Egpxj!7o{ffqnPRv~$g@9q zmiNgJ0@tg@MQFu6Yyu1b&5TftlB6O&_}fFlxL4b z5_M+gAtx7Et_r`8%uyN@%pW5qy|wAH7jye%T&m(OmGGT2&I9ZXM7Rch;*SrKFn#2k zO|&OA=h6$!BC$Q z{Tj-U8IS4KKmu@7{5Vxo{RR;2g32m6*Y(Rm&1ZmF+D>2C=cStLSX+bYBP2;9JEFb? zayIfIRi-l94h?nKoFX_?mDN^zaM|Ybhv2#ANxS+>E~>B{&+^Cc{FGy9*1`s=J6#Lk z$pkSxM&_EMowqv>n}_cxzBKISB{+P@P2gUh$*y95RSxQF{tAzCDBFUUVbR;`(`8oV z4=QRdmC4Qel4n(Le@**!0*;R2&_B=7b_1KG)F`;x{=nI%mufGQMLz>UARkhm5fQmr z2Ky99ch$=KwSL;SxBzug8;Q|AnHZh(q?hxpb$SQ;z`rIf)7 z{wn3wTa|x;9F{z2bPUvm@yVu3WBGrP_fA2g1YNsk+qP}nz1z06+qP}nwvFAkZQHi( zp8mgcCgP8nGcj{>=4P%cD|1CHk|Cv=?4ns*4=z|8pfk2f(xt; zlanPYiW8|Qm@M+D$X*cPpG3gsHzE8KZO*OWdZv>N)kVP~VRdv2II&_8qcg~4Q+l*bfT$;DlXp)RunlLL#Ewy>qzxat+U4msu=6I$MB6$^ z>4zFC1#&dke7v%)d}$&|Aq=+c%za|uDvAaLTSx4G5^H!g<`9$%wvaD3%m-~=OPOv{ zy0EU`FsLsA;a;~AG|}i7Fzg9)p&ac|a3w(r7Wi#wBY z9Le=ZjMNwyC?>%(0)3uVrK83{!`oL*5+r8L^4ToL9S5D3k5P9RarxRy+axDRG|7E}56dN|=(J5k`}uE__Zwv2iwiuku4+1he2!n|AKrf~I( zua-rBLbacD#H=DzR1*1HR;WUo(A4<%FwJA4(kGuLg4XkVYu_J~57N&)-)`>4hXA^E zrb7*#75wg^jPq=-%yajAP~T^9B1(&*j+#$5@-T%11l3%%#Wlr^MBagI@`&6-izuM`E zpy=^gjn(b>Ing~XE--_&6r3m12f2wvq|lt1O^*}poxWjU?RklCS>`~+Tb;{70N?c8 zBjrd%yUcDcc?qhPa!Ga>rI*FsBDWtBXkG~`%#I?`vI9FrcVi>m2Q3iAF;^nCv=?b? z5OsCLqEAcgZ-mRo(f|h6#FsY^jLY9$-`uP1sfy=q1c(LPtO!AcaEYaf8O-7}e0-3z zaLogWQ;;Xa1A12g2L6Xzcwx!R2dV!IaDe-MG|zg2xouyuX#d?CKwXIIQ(N_>Y3qN8bJVhTL67oU1hezjCTw?jiZr3ohvWpH zDv}uS3>EQ}(JmaR%1GZN_$9XLLk;%BXnUF~)s+Qz)_;I_3gSE+01}2G`{!840e(Ov ztV!jygrb4OiyNzSGicK*8pj!7#de0HdFlENUJX754V2mh6!}Np8u#ZE(L^iy6X1Nf z{GEKYHsAnnpTRnPYfu^3Mf~9zdnun3`HXDgu%v56dhVTRxtsHV!_Yb~yv~qXLum|a z_|sL1tI$0#pok=N=^Htn%f_W?WVC=QR?i`OU=cI2uCoTUkhH-Ypx5hKfI*k8`2Rpy?xz)q1kkuJIVTN`7Cli?Rzn&U~{um7EjA;qy+ZJ)85HbB<%CkUuAKQOFyA=Ar96QO zWb#cPYNkO^I;Zo9Mnq%*Y|(is73f8z7tNNCP=7)iUj$2{3^Pra#?{vt-j4zf#P{9*&4%X^bA+ppiWaf(Tnws zqiWx$qG^DnMbvB?Qk?!$B;wpE=*Ld4x8Y6-RyXdPjXrr{Iz4ki&{MB0`pVnBJM~^c zba6CsIFNv(Ve(JoMu!#P(KuXVN1OHyvP_dt`wR0EYyMIIpYCp2FE*D7V}NuMGc9wk zvIASDbuy6tY4us?_1_a;jgLFHZ|2jN3W%eyiG*y3o@S2<;t@%}rigGA`*bHH;$dG3 zdZ~c#3;x60)%zFx!?v@HZtT}K%UjvrV_ioKZ-5sQc!3~+qK->r zq&L5kDw(6&x2lIuWRZTz?~?|~CZ!HUo~aQLRTPRiby348;bpBR4-oNh4=~MI2!F_5 z33@ANf@SupBxJ;ISO8ZOW=d%z3foc5^?3O#My!UsZw2950*Lv!bvx>68g@H_9|lRU zu0OZ0c{`LXv>IQKKw$UTWOKLKzOY^;&E1fo^*HZ7B5XIu-vAG|L6M0vd$Rf8dH%!}v(uh%7FF0~K1)4eP`;3h!Rr!KvgcH00U)47u%>qe_ zCYyX*3p~pk#YLm3*v{)>qOl}WvpWyI{g5SW7D~6cG@>KLB%cc(g_3yp#)2zTqY)1?uq9vM`> zVy=m1on^}}&Qa>UE^VEp*SmmrN?1|i%IEb60z`NkkKzkS{6;qB5Gch0BeCG=YyXKBV#pD&r{xX8U=-G37e!wmU>%^#HQ1yT+ZcB0q1u6c^hSEwO>CRU+p> znE8t#y)7FqIA|8@G9AwMH52Ov66%aOa0V3qTt4oF8V;%*jpK4Lcgbs)0iWV>=V@UU zc$W!CY9#c%fBv9|AAbh9z(yrgjSmiTg5X=GV2T3a9fHfYVE9*U3aQp`7zY*)SctS% zbb$U*2s}TFkoj4pq>vkR1B(-9sQ4i!LcL=oT6>$TbG?>CVak1ZumUHv1H1hbhsLc1BRoGyhvRMKi7DrP9AKp!OHNz8NnJU6NI0!NQlKo0_2_ z^;sZrJh#e-`_b`Z@Rq2(vZ`Ke&wE!vK?QeBtWSUgBBHP*c@W(ib>U}9cs9?K7pv#m zbV;^+ee8fJE)4&hV_>XjQwmvCvRe?0v**vy#f%8}e(IXX^V3}VDFuSHSnLWp^_vi= zuBOR8|2e3%hyrjzQURjGs;mz)6P5dtkKm^1NY-TnK|P~O=Gkl4<-o+WJEM?nJ}`$n zc*Jrfxl!*RjD-Co6oR~!R=u@Mf~h?^#5^y$F)e2LSi~ebip4%OWiMr&r2T^c8XYP#rN~%6l9dMgFV*F-AGNq9eBx7Finc4z|7ht(C%72Z|YmcjWwKw8wrM) z(`2&Fk+9z?Rj`6WLqw^l?3o2TVJLQJ&)z;}o?r2B( zw(N`H=EOf&{*ZF_t`CT%=74li$v$#wT8OE_$@(c0B`=!lez6bDV=8NBR178WpHRrag>dVSEE?( zI`Zyj=tP}uC5PDDX`vEC^4S3g#y(i;8SA{}TZexxEDfA@Ve+I|30%o@;D}xXGT}@i z2g@Ww^J33Jfwy=^Cof$)5)^spSd@kRkhW*tEN)57#&!eyrZ~7F;3(WJVF?X5Uss`W z{d2Zx=$sxAyk~d30VmyEUNSD4ImtMkU!NQACiebLY=VUZ7B>?4G;Si2 zAa`A)1Zyos0OpxJRwBym41WDPl+{$d6qBS;q0OGFT!535R(#(q=lfA*K>!q^209u3 z->q%Cv8{f5vNI!NRTX03Pa{XKv9}NHM7N=>fq?Fe-+hYwgRh@g{= z+6UcSpBJKxXPOfGqPq*}+FxB;yw_36enLx>^Ql+;iw>enOKr{viH?`&0qr09!=*X7 zGS#g@Rc;{sajnp9n9XUkGq6~Qj4*)}?iA#6G=$GcJh!hCZnmh>v4vGN>{>m6pV?2^ z9{s%NVj}a=2I{mP>kPDO)cqkXR~%KJkzHiutz2*15e~ZRe4EJl`t6VMdj=_}VmWbg zq-v4Y#G56m$+rM0O#0kukZeK}ygIzv1aF3pCb2Ww32lXbJSsaVgSKp`*%ddF3a&}Yy9r=XbRRYv0NCG2u|R8t<_({;N_>& ztu?-0t8P;6GBMIkDBFh*XeMa-zID2^9rn0lPBZ^nC-UPlcYL;OfHlN@Kp^t?>mba2 zGdkm0fEAS~xSD_dlvZ?9R#G_Rk?0HqO{Ql!UOX3`XDLlFCx6$^(-5&Pfo7ylgWj}v z;LOe0J2_lZ*2O>LO!=vAhq^{}`0W0?BwfwOl^R*iY;2^#nElQKA1&p}&g$~Jzt=C~ zU*FeT=J<3gF8MI%%Qn=-#u+W~GDB?50rWE0Gu?{Q(76_O`y{EECPL7e$Z-HW^Q9M+ z@GGe+1peUH`hVa9+Lq64Rz5%tkFZorZG3N~f?-&9J`d9uKwkniZtJPZDDBuZbf~LC z)szN7MD2AWg^#mv_l7N`2fYjASiEZKFlb&fX0br)eJs;BYGtcf{=cDVI}A_VztnQM z6Gz48B4CG4??=lf1AUs*#^b|*`$sRXrt4zwY2l48Z_f~b=Op0mGp{6Z^VP!Joz@7; zse#&*?ad#rfXQw8lZk<@*YEq$m(ne23*6%=X;)mv^|-LF3_GFEFZc<1KGmOB|QJh7<36LjjY>A;)t!*}Rkg~>dD-YquxtYkI zY2EyH41!ziT(52`k5R@y=E(ew!=`>cZgWrGsRGuttwA+EtW#p8-sfIbaQAL=7N-Cp zI*U?c&T3R8Nq^)iiTx_wcSo7u|q>`k25?=i2sHABBcctq>8 z%9lEhML&sd*ePt-grjNlmD(uh9ukawzI|sYsk>^lJXG6gM#f6p{s{|V2s28W1>-j@ z5N*{NH^sGi6Kb)GwvnfAE%=ytzWUTgrq0rL(i-yUxd3Yz!k0A%{hGK(vo3TLIP<e6{SY;lFPn>kW->9LRXa=p8ob1b11)|BtV^OUN40S z=s&{|L2;u$=$K-{lRgJ?ATUqp6Xs?Qz_67d<3{wv5Av7>>IO~lQm!`AF&ozWLk_4O z9{YqKu)zU6+dT0J;R5q=YZi$robzT&75pQZVb=I0>FN1j!a)0{o z9bEG@_2}?|uj_7NsdNUfoj070Sdqkm7!hHGuyDuruzZI2p`4wZenziEgYZo7T@2n& zj&WaOREjE{^?bKKgcI0z19aaO=-0SJm63+KwdCixC)tY;_5R+J3UR&L%CGY@L|ZA( z4RSVQ7reg&(F`V)wEzH-1t|E^DF$c&n3xw4BFT9H;x=`KvrI!Z5!icAI_63~dm8Wp zZHfz_%mkMer$7T7XsN2OKQPUiKz@pg3Tm+m*k4g4rq+W%84k)1j}?dx<{?dRS6XT= z3`lq}46%1MFk%)#9bH{6+TT^g*j&0;K}cXU0W=ZJAuUk?_~-Oji|cKq8!`o!dnwFe zbWV_9dfNyv^zf90%rBwKzjqa^Vb^aCy1sz%v{r8cMUSPZg;YSE#;j_s%mEwBPad{JExBJWDVk00pG>g7ut;X9+Up=nYlYE$)E|X3FA5jl z!VMI#=A|0b$EyC`G`@nK?Kfm#RccBM=@a;10n1WD77t4zIvXtFl^S9?&OpqgLSnjf zR|(YfunDqQ<%XD6tNW;&S-@2-V9e@bVjE_2(a>{0YO-jS_L$a$&`}PTnL1dDuZB?n z-V*+mG(?Bsr;y1@odl#qA*_r=zIQ0i?cbj{g7kXK&`Kb;iER>h1j=1s*$0K;e8uTJO=rK>2&IVv> zEA3r<ps7Lq zJ@m_XYfvY?x^eqYdh@#3PyC`O-R^aI*+|5@NYzLmNz}ns^6$L+pDnn(AJfPh@A;*q zg6#N0XA9HAu!KhD06-{}6aG9aGVtukF+ht^6+(W2{8OAk35^rK7s$+Me}KqkVLc20 zU~ENLCcuY--iFH`JbV` z2d2(r2GQBNxKIvl3@fjUf0#WgrM+u>t_rjyhJ#GzBWD$oH|1UBE$px*V<_QoK=O+;&Qj|kN9E%&Jm_STR zAVe&;2@f+8H)06~2{97q*OVb5!X#$WQY8{%A|c48M~sIFhX@om5+8<;CR*=}Ov6Xf zh~}2ZxHW(PTd-BsFEg>u(Sd^s9DmU<6jhLcfmQNhPSZ(;3M7O1{d#SK{a-;6O&>=G zNy6k<+(k<%VHU~PdAhVw!L}*ac)HG#uT?X6qgmLGwS?JYUh(ImPqdRxhY^{{+V84LGu!P4v9Pw>6zP!Q$P-Cb9qy{c5A9YJom5FS z5yDF;!lP$MssVyZSV1E4UlYtF=fgI6R)zDtD#sKu6Oo0VSduA0fULmDfvT0A;6Pdr z$$+r4vb-k%Yc~ADsMkH6INv@V1Nc5?*hIT3b!av&P@ z=Q(fvHS~$>O6CqR{0UK+nIz3bLzE}Q{|Q5eDNl}4oMK`sG7@*uo8(Ci!9-nW#1o<- z#nWZS)1fjmWO)Bq=qN!n=9wZP;9kzDBDP6z?jals7-@@0;2Aq7BQ=q4E(5HNmeC{7u(@VQqB~VFzuu4yut{+ zNR3JF;g_8licw*Y>)~zZQ792iMM}UJ;XHR2N6mo^ey7Q|!Li-4>2>_UzCiM_=@v6` zBjcfbLN`^Mj1beI{Mz3_M6Bu1$@`&(y_tRvD*nXgbc7K3CnvSX;DW*An{9o;i^5H$ z$xu^0(IP`HA7o1oW2!DZlVm*M4`AP;{t_ejv{08m+@Qi(+QP6<2q&x!e-klWoQuj{ zuZn<^U!#=s?zEOO6`8UVm#k6`xcJIVA0ycp9)WgGDY~69_|b12dDf%naJuVqxEesH zGuXTbitI3m)81~D7V@-LIMYbnd%FdStgrDU@%}ChT@PlH)F=sB0s>C{2NB!(5=2k^ySs-#q^1#F(r9MPSPwj_jBZ#VmQf}O~K19raD6<#- z_%RnmVgAVPb2F*2pIDenkD{g&yG<9HoVEBqDwxrMfl~&GC3-qyd`8h72=~ z9+wAtgwscq$J88(4Z_bq{En;SrP-#-T{a5uGY!Kz-V{Gin3#XQE_Hyk#Rm( zdI<~c$Id(jFc)!>cQZuAB`~~A3mgyJ(}$BzNVJpBjyTsFBY(qF-c}a|AFV}l+zlj3 z`h1P2WKdGY#BoGP4>Cl9@jE5R>S!Op_*pCOvfu<;}5AmNM*!^v=0Vx9=et<5)jm&YHeloz{Hn`W#Iw#bf(P@Tzc7#x z;lmLGzf{Br<;5D{v5?#HOJ6b5l}hHQvidF(CIMEinQXpV zevw-B{WkxPq$>hkOzXs26*{>+&Yhp#VCZ-pE<((!9oIhKURZ1^F&;uptIBVSIt1r5 zB0PlHW?PIKKZgNyFCaXG&@-O>AaFmTmKdrQqkLskBmr2nLDXd0ibNFSU;(3P07k7K z#Gra&6DDX2E*0~!8xn9$Q~_gX7Dib5DAoi;W;`NuIBUvQn17Z;0VA&zjB*hT*1}s- zxt*a&blaaW04a3YjGMMD|74`l*O#Q%O(GsrIO9R3eR&HSQn;;ArTy7DRY{zTjMBlA z;TK2A!-&$svd$ifa3o#UQY|GSEy>O;-onLOpNQR2IBa^Qt(#X=XY`mCYZcrmYqjj} zMwb0uKLcc3g2Hn~a5ReoU?UhjM?K;7<=JS|X)QikKq9?4NJ zuz>67j@aJLl`#@7ZqMSlH$lV6FKVk7C(BV0SBQq1&7klo%ydZ9N|@b1qYe@KFK zY7nLYaRN%H`G)Pk>K<-Hf8*+xd%O>f4`6{1&>K z`uAtod1(H$^yCfz`M~K{CGP}*N=Mr0ve3*E^en)cftXk22i0}VIpe+e+%y4mK~Co6 z!YVN)1bX@=iH?*TcdDwt&+t*Ve530Dv{Yh?{x2c@|7O(fU~4C6>!$TzT>USMO~B6i z3w{gO*w{KbYO!=w*zJ%=P~-9Vi+*+B!QJ8aonj{6`3Y{(r^e|JSAe8$bU49gqK?0spt28Gn)W z|1wGcHMIYaW%@5N|9i&7@&BI3XJz@nv1b3z@c&0w|ND7z76Rs9Px=3PJ)-~n(DMIG zfd4U~{}U}|XJ=&pe@4q=aYkUf|9@|po-WJI#|z@ZtodWio_a1>jZ$pe{S0wp(V~mT z=lf@=35PFtoS`TC^)32mbN}RO=HhK@D+)Zi(ZMss3g-67y_ z3TKK}`@9|QnUjdM8P&H_K@1-_I<__kHqFA5!6z!H^zA5?? z*PIg%q|^7?SGe?02YzGi``yk-to&B&O3iNWwCa&@^1)kl3Mj5_52x>sLg0L?ZB^3Y z>-E*YN@Thob+T8Ia!qym%AjJ*En$_jmZF!X%660Cbnxsp7Nn10n=e$n8r^?%wkg1_ zI>n>&6{maco4Bp!sf|_h8ihg=)eegdI?q|Z^50u+-Xuq=(R$GuSoReO5;>(V4raz@ za%T}*EwP8Kmo>ho!@SMC&Z8mL;oV zQIu#E;M(eHmTk4yx>|5eDi+kt;c6K}EIiS^+S?T*Qz8{wUe|aWCWa2|A3L{EcwCD-r>mwqhWpw8*k&9>bCzsQI*R2pGET;Ui zH#?EqEU?+`#RcDTVi3@;D7FWyp*$^8k>@(nDKe3hsxpC0(ANPG+Zo)Y^~;WlTW>38Vp zd!#1dLrv*x#=u@|PuH75-2bsji8?e@U+akzpF}MR(W(@A7GYU*F+YhbPcg`Ygnm?o z8Q~0Z2nheo$bW@2>)?N5pGqwk&@~EqN-T?g6x>zm}H?5zw!e6)qeS2;v2AFCmhQh3YLIq=ys z)X2PstwkQ@Qo!Hxt^#Us7hTCwREn-iq&*gHd;LI_dRcz+g&y_-cg(v0V_RFb+L3O7 zPnJ2YlcEis&@oaxHs;!uPN&`fTyl09@y&4!ECyk#44!G8M4R|oX5lbF?j^cNWWwhY zb8vQMHa2xHFc3Cf2{MdB^pNNoj?@Y0F(F@~4QE#wca};OAaHTwfI|suf)XCq&$Y${ zu}@!tnr1L2-ls7q-n%LrMdmFdmM8vYk6A!`&iMf4ZIyY*E-YWngaA;?c3kCiD|~ql zbTscV@A5X%(EHm`jCcd2zacl|3V{?zqd!k+L9lFTJk0e?Qh($W*?1D%BJuw~h>+fOnobJip8XFo}Gk6W&(GXuMunHKL8Uzc_4U z$2Oq?<1DGgr69T18MgJWKOz_%#&a61@Loq3aq^tL1BcC!F`AhJXdN{y+Uu}U9S-v< zRDK%ydY>pXO_>EG-01?m{V9XTa37vtPIX@SVQsi~gAzRkWD*pymLS*&MEgMqA)%9# z0EN=)76#@O?dSA@EjZr796~u3vk^j-1>}RIi4pfU3M7!XX9HkhEyFNuVKzpzN!EJ2 zSA>dpSz?$KBFgM8$82Fv#z=I_S2L_zw6&H9nIH<)X+Mg>s6CPMV0AM?BtakJSX9dI z4x7EckpnQB6Y|s_Gy8lJmTEGNn0Wr%f$}@Yfp6GrAi0)Gv~JO^F+_%db6?TOwHJ(>;d}eMQSo<(mUdjz_%Zhlo{4!!9{2QF~Nh?ClI>LV0 z7+wR)*a9f-y+yjpm^zLJfYz@Jw}>q$2)!byVq&qP zo;4orw(cbnc8*~*KO7(}D(+wJdF+vk&bQ}XGIs*HY#u(wHLDDUC|MMd1?2wO0uXhB z`f{1mw|+a~nOi1V1mG0{fu2|m7XC;7qZ8=rmmCBcYW;uBthaP}T^yyTelBtpxLf^( zHc{&J!)zK6juiQ;4m2*xfi?E}M0zp2Hv7T>qTcH?y6a9p1!vO4A7y9yO$IFRFq3H} zLv_O`;)8O!G`JnWp8n(_q~ZOPvZfCc1;Ne@Fu74W=;yf$U|{W7i8FXxf2dA%$W0;+ z)gR@vE+chS`NggzP_U-hs%=abEWn5`^D-5}5!z*6x8MJYx5F!3VfR7al`2N=FHwjA z7c)5@`rjJ?soOchytM9d$AbkN2mU{Ksv=^&Sf}=4ul3lp0xWp|EQR! z(4&PFs|fO##IqugQgdFt9oSuc$+flK@+I%TypJLfx8NIh%Oq4u5w~Qj@ zctY{swT4g5_*fHa8mk1wDG|>9Eb!zWw1V?sDo}KXDjSN}vqQ*!Pp4S#8iUhb<1PT%}KF5>f>eQe5InoL<%j z2ekCyO0N$>z_JeC57WK(5>2lH3M7D=G&Cy=U8}pR{vx_bf&q`7w53JF%=h7}Bm9fm zKOTa7=)uwp5hdt5G%hERT{;)kaOVg()RJ+vzV)L1Le8fqf`QF9#g?oD3IsT-0^yBG zyL3Y=p*nhxbXTK1X$qKZ0&8{-7kKCpe~qeu|Ek_j#X?Z6Be7WPS?XYXDnt(>1Swm} zXVAq)dWYUW`^j=Gf?O{KrO>GW0utaxS9lZnTi|b0KgIdd}>rC+5nAf80m6{#y=34CWwO(JZtOvS^#h!Btj`~ zHlvjgU$KN~EZ&NA_$$e<3FLw0>#S`a5yfG%ELqC;{&dxwt4rbo$rA~KpkOlaxIOCS z6A`P0@(zssY)u+Z@#GZ{u_(?%GaOWY@Z=iBB{I$c>%DBRFos?Dyv6-zTkun|SOqX6 zWQI1y94XQfIX`oF0i)>d8U;uRi)OF4jO+vkv8N~iv93$0M=qKaw-B& z8}BpT}j=BFtWne#dqD*9Q|*6-Iu6MTXjVR6ZOtOKDn9372NZ zBsGiY{+4M2h~dRMrbHq#86ru#OSUGY)!ITd$~JB!Wg92PDqhcgzyT~S}Dw9JgP^>v2rK?V7Jc0vqRKLYW4jIN;O&UADNj_fJ6byJXUn3EZU~GfvK-bx7)vkQ>~}XRL;eoPMruA3RPIteV@L@ zZPi8Cn5+Q~>o6Dw6ywlk4Oh(QbaYKtOm#84=p-QpH9{0xhudqF@?(-{V5WrCsKN?N z;03HCK9Sf7Nlx6sdg#30mK%BId1D{!d~A|qyE(SsEu+xRE6=QtErb&Tl2 z4i04mF^#}83@fQ|KP6Qq5}N>B%TBF-O&aU$CVE=%@dpRDc`+t&qE@iRVYt>%vSM^w zi)ufIe|c{b*i#7&$JD!}FNdW^tV*ECB2WN(iZQLsd$rMUr-h>*v}Kk&9J(gJ_F{bJ zW8Fj$$q+&5H4?JwQpAee!uo63D4&>+AB|hh;sc7#=QhU>SXg zkR%&P=yU71)thgW>)^E%cYAu|;$~rHK zqN6R}U1;wG;QI&K>whOTN6L*2_P66Y#Aw(vx7210R&K)ymo*l{X((7=TsNFi6iSX` z>^XJCR}AjF*7SgxE>2&v$*Kc6j=?YD0uAjTr~cMh^k^4)lb<{85&P?mEOZ1Lpf#5Q z7mr~yUJ`e^erww7v2Ytn=>nbAfbtJRcu<*e4THy8R6So@1ghsHhrQinI`jN0e;U_> z3dO<3OyIW{Nu*(Y43`&`&W00BG37iSWd!QN>YCb)Ik@$`ebr9;J|z8nLDU36<(f7MA0fIg<`0Hx;T#Y}e&&p9-eTUdpjF?#lon zRTQ1r!2*DhFKIoy9fl_0DyLt^2p=GK?2ITXZ4O#_E$z!P_i>y3I{=cUU|pQVJ_%g+C&t> zP$r>$T|bc3&--h^ln@$a&bs6IbUga$?R0s0W{-TA|9Vl-8yOow5fj{{-$nXQhn6uRD}DOPUK&BGg1?YN>mkUA=v)4 zRR~N)S>ClwU&UCU)Je=Ub}(9g=^{~v(*n4!4dMRF&SMjBF1blCeS4bINK$Ndvx{#1#| zX^al@<3SjC@KGA*tKm1{TgTF<4E`03y7TPvsFb=@xSVe5DU1J8f6w9V`Qwp4s!Cqn zLM`m6WoO=B8Y^}1)qZb>brbH^wFLG zYdDnh=pzx{*rn8M=p@B`%~Ly@8?PYG!$CW|gjK&vd%Bsc*4S%q+90 zpduZMN9d3*7AQL*polknv&$@+4Aj>a;7)r58uaivAJA13ag1 z1~G0Ddo*WXudeABmM4X8Ew(|daiaQ~C2%_@;r%=WtcMjfOf`1Ye^nFPjJ%KEJW4a%!g84UJ#!+;fwdLGCLSd0*P z90jbVt9?#+Q2_AegBfV@(qeL~ok)v(7H>dsm$uAU6K54{HfM!SvbJ`yJ%u6&VFb0n z*x=O;-{)}JDQw9_rw>t+xSJdjQlHB}a5fRYM3tmysz@45ysCb|rrY-W$rZqD<}{cr zY&I<5{+$PCb$6%+ru%oPxS>hD>8SSM&XL2170rDoRbp$`;|w^uQfAA#z+^LL4YxY9 zf)2o1vbZrHeO~K}8B1|{7?f81T132iMGYbPYcflDQc@%de0e7{ovQmu7 zES(B*Vv`+MiERg%$M7p_tzb*Py^j|7u*`Zu_qES$bio^z!0~P)GzPHn2n@#$PVBH zjpXz(QW@9^D7Cs@rSKPl=Gy)GyzE!tgDfPTMt$C`V0k#R!jaQSscA>&l-ZP;nd;SQ zGDi2(Q#E{jGI;r%!^H6PH!#;%m@6)CJ^k$>c|2euy>bAzD6UifT>cg~T64fyt2-m- ziAt--b06E?sV;yn8IhTmGUAdftht4ewb%OEYlOMtoOwHK z#X(8+F(8NbY@1N{V3@ekXOSs9p!T-7HDjGIn?8(TA&dIWO*)4BHkp9ue4TR&=M#&d z{Yrg^2~+;?MYCtYZXB{8Mkoh$n=SoNBr)PB)o`af=-4&+GLejUzhtbcC;K*p+lTprwR2XJzJh<8o3mrO zu7GDhGM{UcvI8}3jQF7Px*yMoLD24U^$KOzww`Nv%%!x&W6iPb8e-V-Ov0*= zy_GMOVt6H#t6FU^@SrbK*M~~WrObZOp2!) zLkr(|S0MfA9gw&7iP9gFnQ)Ie5~(%ryIIOKfe%6U>|2`Odp;!KjJ1&{P3)I{Y77C@5)rKipCaE(JgXy zKD@ZWs+A_CE+xisL{fE{&A>Ovd*y`Pqwn?9=Jn|%b7Kb0Q*2a@n-y@hL3YleL*cq+ z^bg&tQG~iQE+MA?iDy(P84OzU`l0HIK@e6YFONn^+oiagkV|~8RsB_ZeC}`w%y07b zBL2YF<2fFZMXJtbVzo18`R*XHjpYu!_G4O+3GLB~`pf#oP>uM`vdXJv^77GT2+o46bjSQuFv?p~09l(ybSRt#y~{utVAV3Kt|o9l6VB8K zWYOOpXj~HFIk=Q}NNDj|po$in(?C};w>o&-0;Y^>^eed@dZAUgiGL1DS~ieE_kU@c z*6b+1d_-&oEvutt0MrsWY*r7;bSfJG0|6YLP}}Q3XKb5THfd~eq3(pREh4`p7fu!> z^g;xy$u|->`)PmSJOI-6#)mz}Js3i(*?oU%1$@p)C^3dwY_VQz$2g4#Xx8Omo6JD> zF1#XX7p^tOTuu8YyNGH7e*s4o&|PMlyDb>)*>TkH^8)0$f+8>Vwf=55H6{m4bI>j; zn^dmv4z3q-FP_MfpFTt5C%M8viy;5Xn-tO%i=W2Y?pC|S^eo)ZmM0w$&bp(NGH zb!-y=2~J5bND?Fj0quA{I35p(Wpp6(cVYS8_8x^L&%LlNQ~*CxwF?Or&z;elp)q3z zEFeA3v~W`f1r{}Jv_vpIB&zr`*ukpZ(JS|w$L!{**!@B9qvgZ(J7}WMT6Lby4D1X& zkAwBL{CwQiRJe8q?@7b$&^2mcPZwOcKJ1&$mUY&Qob+7lw*laUFv97zBx39u094xM#J8U&HhM$}T#+Jy#s)7b56QM(3ZZ%9M z5np++dil-t;Wuu0hWg`e9BQAV$PUaNW)!t$4O~-^e=E#>PEk{^AfNzgOWPT+bh76t zTRb9mi+$SWiz~Wy?Vf$FTo`MsPas{fU~CxB*1M_}Ra+=Xlmb9~uqAS(`|Q=rDe{J8 z=~{(^{&oy&Kx}K{*XZF+3fSIo42tR=#{n*d3%UFsyq$A!C0+OLXJXsd#LkIr+qP{d z6Wewsw#|uc+qN}HZk|{7eXD*~b?e^q&pO}Tt9tk9)n|8|-Mc@_4wM_z2g1<$g6G%% zy57M#_N_78;^N7bsr@HmN82@J5bXi=a~H1bC#F$DRfa&pFin@hIG%WN4$u|RPGkcM z- zMI?Z(uE3O_ClJikxn{B+ncNH=RrEDqY^D)e?u)!A@>xsk+U%~8O*62VK3|cDU{~uE zNFub+sYWWahgW<1!eINT739zaz1vg@$a00+pwxsRCqfwGVxeS2)#A9I58(|KgH{t& zAj#Qzha|-nkpX013~6Y=aShy~&q&oV zoApt|jWa3_QrL z!mRrobPMP9YUn{tHRCe#@?YiBH3?wz6h0`MflF`i(jkTd=OBC7sPBqZ!evQCGa9^e z5tTilP0AXmt;rP7SL+HC9kowtlolHoq9jVvZ-n%t8ffnWogeiYt%><`V%`)jJ%rqZ z12kIs=bbBwcg5BaM6i+0+G-N#$^hbir6`M<#t`*3;P-Rz}cb9<{h67m+C`c<-V_7I<5ULKrU?*1u| z()k0joI=e-z?fz)PZ|Ku@x$?@>-eQgCe!=uIss`ftsh>AH=V zZxZobXCXFZ)vU6&ecG%(NX6>AO&J?@L>`>uqESzfvgqQ;BD_8K@$*mFwg!x->^Ur) zta36^hdxMd5jQ2J=wX$e>lM{mpHmxKTaWA#=*}@Po%;`oGH<145jaWsI$?Y^(UYRS z3Rit<(@s$W*VH_Jrn|&J(8=wL1n&88*S!l6T)g%=Xi7sDabCL$|jjm zW?E;JXWmp|n$OBI<6jbLZpp$<GvBV!gY*t1er` z%9$gNQXT*q>M`FjW<*ITduubkHb3jK(ny5R6F1&C02C`Hn zR4^juR7*&Z4bJ(!(tWYgx*fqxpe)0F`lDQO*~gs*KUnx0s@L?#H6i^%)d`+T!tU7H zjF2DDwYGY4b)Nab07pvhW*7P_^%R>z=IHkqjLNE^+%9S^Xh#+|4x>w_ur*2H39f{O zJBrR#efb~$)wh7Vx}%*Coe9-`#b%W6mHw=|;%;6=EegL?YE`rd1=eX)*I;D~-+m8N ztfez^r#yYj!6{aJ$tm3?ht8uNGli0e*d&whyaCYysu})GN%jYAm@3bfxKMoILMVai zx3al=uz9h})%Th71KtRHgl}If_Cz9+eu{T=bzs+gi^hqWl$M#3!V|R<=>2p268D%_pqX2lq+iJ?Qe!(a?D`m zUNhFEW(guHmSfXsrc~qlTiG(FqR%nYBzdC|4xg*gcazvZ&;3<;PWq!%gPRB7|NmfLVkZSbBV^ zlPijo-r3G5Mi~>qtK+6F8AI)lJFzU^jWM?#s3(+4$^aRA%p0A?Qa$jIm7eTws-pI| zUps>Vs+Kp(HCpwQ^Mh;kSQbZrQ{HB4P+{R^-f$z$t9Dcln{ih*>>Xo++-KRUeP=rL z=d_Lx!$yCc@Ii*gfb~t&eDjD zAJb%JZak;I%ik$JUD_&%?xc0}!ph`sR?{%s`BBp8^bCCuQOL;u$=k)(CDU-wW8R$7 ziw7mv8#_aQ?n`+7y+6+^8j(H*e8K))G24tzh)5O=H-~5aq?w9yV}(yLgV zt>IlH_`CQ-Qi@I__AtT^;2$9gl|MP!m>iErBH-h=?$-u9L>+|=veQabN9pE0Lvfg+ zVJ0Rf=~vxhol)8Mgq<S?;K1Vg*W285|Rl)~EE2E;{_zICYR6rLtgD31onnRmw4 zgrcPF$6o+sUi2o~h}Z|sfW|DE@P##?+LTA14|=%`G^Fd#Q?3vho{VltzGegkOhW=m z1KX0O?-!|j9P#?s$FgZgaG291#ZBD)9Ok*hw%%2XaIhd`;A)~jx+_N+%C~2$mukiWts>GC)A;NH80SsMFDwUvYDGyBucTg1ZZDBZmbi}a2 zHhrgfT#QWf$*qaltXxeSuit74{oX!=TrX+@%u*@oGxFPF3dXH{*FX8Gme8|WEZGdh zrn{qyYGemHopzlyVxoa-HqbpT8Z6ydeX&E_F+hLy=t0dqi>CoT<0|XRKT! z8zB_-lD6bQ48f)jX_^mT47SF70p{yW^t=u$tp~;TgNfW+b48KDRs-Q$_(_QGscixj zO8P{JNOR~M8RIsDtJi2)RA=ZfYFDg&M8R6A10<5py+G#>xEW%;>ylX`DZ$)YgRrhS z!z);N+>llPP$N4FD(efp{<>4OFf~AU%hRn~%(8KgXwqddYkM5HQ{#r2t0hb1V%tqG z`X%9o*Zno7HwPePoNpUXovPlPLUE=ur>&j+)L`k<5)5HX?gOugg~^12DY_3nR0J*D zf)_}?V6@2m`#q-P#m@+q-u2kj>)@DxNd{dFb&DpFPqhlP*PdK0^!<0SUr_{y8bgU6 zPSFPtKZtQjrvg4|w+(#69*<S2nE&DWFf$&<<$w@X@KF4TqwWw zaXU%f+YF`kiS)ua?Hl<+ALz6*FKnm;V(;z<@8XXAQStRP=3moRLB z80!gs`Yx6mw_2ZvUOu3z0a3(}(&B+=B?U1z6~~v|kPuIpF(iNr3m}<3L-A`UP-gsb zpFHVm{vPXaE?hy0Y_@Kib2(R>|F(yBUN1@C?_b=+gg+AAgt;(;AHIwI5!=nWpemeX{_q?GFv;5W|hhR!TWf*`*@8#n#?g(|01W zIqPthr2;AKCJp#hK^-L~#)q~pU^}_34Bb9BBy96!2M{-4gcNn23y(@2Nr=|YyOjb^ zr~2fT&{?^mlZ9@hW0~*~!K1Oj?5D32VjdX3;6Rbnz=1lI{s4X z=45d!Ud5cyOT#9*`SuyOi6o)e<8JDrwI28!XFS^4-M2oKEl|{GAF1VVeVHhBvSotW z)3Q_k4cv0L<8?|-2*8hDh*~7p;xdt!Q9hobBnT3bN%J$?bPVA@SFP&wf(3jvlSv97 z4waf(#+6t`C+O-vi&QsreJ)qvxOhv{z>~?iR+tm_H6MKICkc$Nc~E`?I9L<=CWyb7C)U?wdwnQ; zuHHj0R?lF~HS=AOHY2^G?t+rxq5_8)1n>7;s_Ry%QY5irXqbko6F+Y<&zNmK0Q>R{ z?m9oGVZmQNuIu^=-^VL!;ALlT7gGG95}``5mt8uO@=fzNhXXN&8yId9R67&7j&!1{ z0Vc*}CdBgDgJ&X-?=->Hw_8X&5fH?xyd}s2{I{1Lw+;PlM%L3uLnVq(T$7NIrMQ&j zLK3bNi`yB>f+$&Hc!RZ8DWV|PU4=zDl1Pv=a7UJL2yWzpk_FmoxO;SbKUXck z_!Dy+0@l)1Qu5aI%Yn`6>9vjc+l>kYgiwRo{V!=g6)4Xb8!>Vy;1WR zr20{~U#8z8C99`=#lyAglYG|k(~sosF*^+==$JQtWL3G=zw0+)8eeT1UFAJ3`Q9zR zRm!%}kL?ga6a_Vv=Lql9$**g1sVZ^?BbaKw#fx!|7vM0yTkuX}V>Y@OmZ&HYnn#+n z?OU~`|A^dfPx`bo!V$lxw_G@0@|~kSCvD`_et%Z)0ge?fLjI%={yV;x6FWio zPnz*Yru$JM&Uj&IOGCIPc%XS)A`F*MpBnbrqb@nb@wyV{I&G-f3pRbo&s-J9?`0vn0ay&tty5&uhfhy;h%d;st%e#%UR5}t) zBC-gGU4@9|gYq5yhb>)#FTrH)u2P$St}Mgo#;^b%L7bhRW5u@ZN*Z5JH;*FH;9Qcb zMfL*oSPk^GY}bIz6Oux1o@&%L>1HHx-1HZzzv|q4SGeJu7fM0o7@(>gYF&Kr!3>XG?UFJH-lfBz>MI219(%u9 zlB~H&MzsE*@S8;AbxMJsiC!q##FS`x-Y6HG!+=7<8CZsVcjJcO)|qNQt+r7=L{I{m zW-fdRfsxU)F&>{%`rS^fWVmlXI1{cag1mcqSFYG9$jqsEAp)jlDQ`ciqDArOEbpEK zQn7h93*N_Az9uSVliwcMVPocqxSRO!;hdx5qTDJ4(;7|v7+wQ&sYGnO9$5|NscfNE zOE4SS17CJco7lQvq|{hoX=$K=(aSo*Y4#}IqCa-NBHet8w5+uk&br=0%$A!-oG^;? z!18^&AX~#C%Sk=r_&tVqODJMRe{jB7VJJ*Fi_%|(vn_c zS@?eahf)$8&Oql0H3vT}WKwAo7=N4}@415dwu|apU_e5_?3Nv89Po_+sqiPkfNahV zzcMIN7H9<91X7(-h?0|sVpne=#Ts!IQ%cuEZooDZp*C+qG}WF48n(G_uo@j;)?S}o zAVE&^bT&nY`Yj@>;MM)<5oNVfbb_R<6pY@9JhU^-+?3V#9-GCF9Q^}2PjnBsuxi*d zD^D^?rGqM`VJ;9jDRE5%W%7gR&U8^hKM~Jj1>g25FMK72vWt-rU{p%Sq+%=(M^V%g zVLPheBU!}4bLPF@}-RvLg8pZE$60+&s<>z#iEks5i~`Q4~6RDj{?Pt7qVJcv~w zq($3M-L=uFZWgcg33QrD?XdJMdG+u8Uxf+RV;8YW;KzFkWzzvAiTQuJWf>u_=SR-g z3Xt5nkxn?QRG=S))n&z{+i)H9GoOo1nlNyi@-_73H5Ha@1@=`y1}J@pE4 z!3wpBHESaH1a)Q4X)o|e-J6yDGVueY1=vBn%M~4B%%MJFMR{n(e`I9dcSNQg62b>M zQ~9cX-Bb>#WAY;?)2_0jKcU-pS&o@)io~Ln#1#HPBY*k>`f=gqxZ}`4a0;!Z#~4)2 zuR}4a1?Rf2s7)H>6B3b~7s0xv+kX6uJENg%IO4?3-#Wl}K^=-#t=FJ_l7{8%b@#Lg zy$TPkI$~eF>O7o-(!owzW;H)goC(9ec0TO;fl%k;2uFDzGwedH z@_RL0avX8YdtuwJtB6|6Ri$QcqM`Y`jve3ny8Rr<$XDD~*j+PCpAnBf6!`;r!|Sl> z@eoCC^8ih7^v$Uf1o^1Kcy1_3L~!%{Og&(`YS?9j zn0h^f_!+c~spKSvwiy1j33QVSzXic2X1tsA?o7geh8h--KUYn>qN(Y})!i&8^IMkU zXRmOoD9%Lh+vg|iO)bd9@y6Gjb|P<3xno!W;FW3dOq6M!1#Hc1ZgNOv|>~}o;)Sld6@R8q-ke}x< z`cb%5z`SGbReqKSjJdyc3C6ZyhM{WMJHSj%^3Bl%=ct2=)L|y{PNo47zy77aifN^r zFaQDy|FQiadcwdgeP9|U8Ezu`2Yz<3V7=+hUk&H#C=)mp*(m|%Oc6WAXnkYU!KyV* zZfOJ8jQ`Xr>M(Tm7&<+(|JeQyZNktoZr}zg8KOG%b=Y$|3-xY)H9SyjKYGV0*Hhp` zTyW5HN`9-a2l>m)QG=P7Lh89BfBR4K6~Xu<((iNX@Be9}>M>HiC3(1F{8pODQ?q{j zTxs@#PBKBg%xUBKE53im^QoXi+nTm7g0T5S(b0fTd;P8!{XOS5kk_{>nEUr;I2C0P z6EhDZSg-&UU)=B1s*}zdtFOFeCBE8f{Pwd|N zGe=J>dp6))-V%(VkE2WhI}IfCIbK5G%|wPv}Gmr zWG30^(*Q=(2X<@`FSOCje2`q4TJ;hKB<$Fxd6VJpm~!a{wWZC|+FvK~^|f>d*cT3s zge=%=n!FZIRAi0vdFjX^(|T`3>G@zF$U=#^)5f77SyUsUS?^l&U~lY{lQxsPK(kF2 za!1s(1lAEC8SJGKzrW+=0A951`nUi>idFUH0VIYhqw@Y*cJCsvbs5@Lu~*zDZ3ViH zCDK7c%%E863{4twvgvOEcTiC0OS1#UaAN(SZ(W%ph=7N5Ns<>zL2G(q+S(olYC)^P zjzcu@?rU=*{-Ea7++IcN+aD49mK2g&uWiXDVL5UxGfrkk%*!~Y!%^uDmFq$QP_{Qc zplx-}dgY5G89?aAl)C{mInLZ>cZy2^2?@=w9ZSN~Ppz2yK&+g=zu-2cnz4$zo@z{eOC`GjunAum*jK~G*I6OR7b{>BZY`bqSrlrLW3B*@ z`MMm<@rk};D5D(xgo(=q64sXUOBN1*N+drq?MKCqjT->#BvY;Zj;T(v*h{HHkUxYr zwF)BLJy??GZixc`O{Ym`rCQnsWi$!H8sjpH4baM8=j0Elt~cUt0uLbNu4Z3a)^UN= zA@B~5$qLJ5-{4y`G^H}D*-G)Ed09KXYl_V6Vc-0+cuOSnU+s-G zx%^oP&uU~a>91Wz+IKGVx$JAQLk;aTAru$q`k2)|4Ck0VGM0C9T4~P11Hv30FMu4L zkX|x1rQ9*o8!L8x(7_|~7xsJ^Ilo^#SK_WefyVzV(5N#7m$)C6@j6Rp!>-+yUR+g; z-0CEBU&<3pRtt7IB@oS0~+Eh!1sB0t9no}4;Vbebd>>YyqaEouZa}j1>ILqnX;h_KyO65KhC$nAQP%sH2+!&VZA6?7bzYay~FUEI2M zkDqgC^5}x$n2~u0?&Uj7>Lz1lKR**~r#($6K@Y9AAi#E)KLBOFw=rrjK8XGxXb2Vq zKwe3{X^n$2EUM$i1y_}JhUK8RTndHcgyOz^;{-NwB6VAMp4e`Z+cyFnvq5Aw2_R!` zszL@pw2fZaZcv#`I#Ha}(jH@V_=zMusIkJPGhLKTttAD38DDZ3zx!w~89eQ)3g&Di z=)%Nwkaef?Uu6HWNd8)b6A||Oxs{N=6*J50E^W})^yuTSldz(b@R&zqhdqVOs(TUm ziKKP?4D|+>&h4RUq@LNPj-kZLtRdy$=04hB=H{khHaneT^)KT2`Z74@;}5o_wlrrC zm+_kWiE@LPx7@sqVsY6=z;=4B#5dkE zoFa&h|_x; z4%L~ult;(L<4MSIZ6(E$nolfOircq*#Q6bHq*TlARv!Til#JN4&66o;O-WKlF+Ch! zyAyHN=-74h z-$&AGt1zhhWFlaj9^)2(rQurF*)6x*hz*vNin4Y{N~|uaP|SCntQ8mf+;o?IwH!N2t1fu!0zBtS`Ka z7ax6Z7?^S9X+T~nNT){$Y`QZtEL2AD@=rZ90em@M|S=y1vXxB#=cij1rE-6<}sQ*k>o;4ay}81@o1OJAdm$ATh&q9~Sk4(T2s6RT1ybp_4awFK6jk z_Bg+ymYLpu5=EWR+|TV{97LPIPPU}%nxvLD`~?o{AIq7TL1u<<9RILP3X|^mvG2KJ z;v(I{p1o@rLS!bx8T+uj1BGSv{9|hjuGI4OL}u5}l-tbi+t=-ko7l`Q_RDq-F+)0k zwR2N{jSD0^i%^u{R>P1U)>?$9C zbRN@Q@n8|F4^j8lgBG|xdMD8!T;BNelgrPy*;=DG>z%Y0szBhOq#p{mi{alk_Yw_N zLT-<_&UbSnm&8rYxrQ2bO_>gh@6^dYw&U_OEb+qL=|^lxU$lRDpcVFsB}I_q5^+b& z>I24xen@2u@WW)Emh@`X5BvAzrWzMx#}%uE@iQF}No5Jk!Uz$Lcq6!{lVOZ^t3OU7 znyVs$#W;_#hc+mhfJE+{EIPiTfErAXr~IK4O1E+W9pi5!;SFt|J<=NyE6xlMn@a<2 zm*B{tC!Z%h(mN8@p5!l3W(O}EIYNkg_ zlfgu^B!H7DjtqSw6fIH|>0eC)o}*ATDg_d4+Z-GS0@k*z2b2}?h8@Fnriowh)L6jR3`nXOzTYauWNvyaq{!7*Gn5p7=G3QKvE`C+8==+%6W z$}nE6yHm#UapCG=B&xo7Sc3@?1le7Voa~;{hIiqdG4Dodt+0=3U z*dt;uYgBprnQp|C@P}4L`T;tpLL0$r+pIVDP#J9I^jrfqK%KH;op{y(73^IVg#U7u z212L(l);R)6XtM&I)y)X%$UCMFi$M&T@>b3gf@V0X-qG45?mI8`0LdN1~t%V!PMJR z0liTDQ7 zB4qTy8(kz{DXlt+Y}JexM64e~wYMzzslFFZZCMcdWm=dOepk#8>qnuScw`typ&WZ; z)C{sDL!wnD;TVjr_14BqNu}4D(|@S-%sWoD^e^Wl2N>|)<51xlh)fN$>jd;{tJ0}s zlp7m}=(N!l=s;>g9oXpEN|hdQzzrZmr)snQ=Rs#RBfy_A~H{d8~dl5 zEto%j?+4Sbmb7DzKXudg$QL|#G<(|F7hN3_0i!6l7tG^0t}z@F_L^8G(d|G?j_Zr1 zX?s=c#q2g2MP0DPx*k*G_Dj7s(V7(Gbf^)s{3o`CZ>;)l&aaclyZ0}1X zF@A}DH9>^GwlJ%Fx%H^|A{lIog*LMPwMD9ypud9wVJTB@9Xl*-R(SYcgh=WeB!ex? zpb-G!>5GsX6~u^)I7M_g_+e{zi@YcgoM-us{C?l%Kz2XZ{Py&)<&a-&i~UA1Ob7h5zq8 z)3eY5SO{2H*l8KqzDPOrv}|9LAGR;^MMP3`HgIycGp3U=x3Q!XuyrspcK91IiC&vd z$k@?|T$F*HiHU{be?lFWcS8VLGj07y~EPwR`plA9Ltn{q^`yDYc{f|2OD~bQ! z5epM7!xy-Sm6m~pfQcUPSFc~(BDVkCfauvM{*Gq)8xe|!2iDle=xg_|UuWi@{rtbj z7X4SOB03Rv0w&hKUAa6wbaD>1hKj~cnsjnPB6Lc|Zcf^C!fsBYihnVvxVY#<6&VN^ z|Ka^57zw^I^>zFTJYS!GMfBfkQ~$&kF|pCp|F5w{wm2iOT~F1=dk~>tC8%SSmmWyL z(3ZIfzO!R^E{~65+lr_daNfRdh3pSqo_z7s`C@6`wrpWX$#5P|h*J`DS!? zxBI&JI&YwHcy+Z^N%D@Xb`0+OE@t(CjG2)Cw)w9^b*~V+zy9*_Z$2z&6d}lonl`+-t^+rcz9M-4^sROA6Kup!;71t7+d_!%1~BQY8pl4 zAtPFIV{zrXeu6^dhF{;z)i2D>ij$XNczkbaj(%9$Bo$Kb7BoMEOrZPhR>Xzxn{-cC zbe}>m$)r=;9*MsaRphDFi`{zhitB`1#24*qegwrX1C-3w0~q_7Dv_cFHqae zX6pkCQ+Knb=`aw=!iNNCu6{A3K%0GrhSrkqV%40{82DyyNejMLJ=A*a`xs&XqCU;B zl3%HhfR!2_Lix{?#zM)7XHiq{lgYaHbI3WD4w^|;E--a5bM|ORH(p>! zC(nMXSG@~{V#=2`Lmdp`%5Ag{H8a&JF6Nu4;q~4CpvrTL^tENAn@A+2kw&-&pDA#Y zOej%pFqiLnIlv?z!bVE@d5~@Mj^I>3rm)_13wzw%KCNDN%{ARcFcUft7Pn4rO;!7f z-O0{HsIsmEFMqBlV?P|qsK-TL!!j6`dBd2BRsOP3OABLUyjLDXy~(8ahSiqy_^K@pd ziqD?Rov>SL+WJs}bVrdsG6me4!<@Ut>U#WV%}gR;Hr& zqV_cCWMQCPWW%N!yLNg;A$f=NIr}VoLFgWeAFjCUr8y;cY;~r9eZY)I_=M_{+ltxx za2Cs?`OwN9pIp~|1^){bP!d6yV#KsK4fYTX$#a@!XKrB%U%f-yA`jQkVHSvODQs`Z zEw*ClXbNuc@6@ZB&D`)*O=y`WdXGT_&X%f54FnO+#93w`li(0kyD}qU!>ALcQnG5NSMF$ zZI%xmv6wrIg%AUd2fdDT81( zcY~qf*WoEvAYd?88gn(F(8GdN4i9f|&I4OQ?vyE62goE2OUWtX_e1@Y2dt+Ag$KSbUx`M zGIP2Zg=n2zN$j}^a#k#GPsk|lu%EaCe~k)Vt%}NTu90ABaUr22Yj5zIl>e1TZ&MED z$;HM<^XCFG4*H-+?$0W*yzG?|p2cf|i3Xk@3cb37673Sqmfk#-sU%*C#B+U$^yxd# zl$O+z_#Pu9}xy({dS;TM5ZOlvhA2}+tF>5gTEA`2rYiTjry z7tCEEu<%CR4x##Cg*7t)L`3G#`Qd{e`LBzzU1V6?Y?}^?#mdAl1ans-W z7}Bf$U6&!}L)kCqSPGBeNUK$?cagJDw=#Zeih2!4af^{=G?YT6%>SWSWe{m;sj(^&$&=pY;#4xFQlmyf1QLOG zoIoVG4!*ps66vkOjjizu8WjIP&Q0`O1%Hct{+{=o(TAI`ceA<_dS=cwrBUGzIj~}o z8kXWZ(_eX(Hz9VAdf!#4VK*9T4n8&9*5r;}586Bw{=2agI5qB#iGv`3NRo9EEWQrGZ;Rb6XNUP*E+W?cTmq~Dc*&;|33U57jVhOI^_6kRhQQ9d@5IlMf|EgBWy zXk{p24lVM3g;SIQS0T!RrEQ$7B_}K2{KBNV}{2I#=r%o$Os9_*D5f5Q=SBY z9`J)-l4&L15r3rj?+I=)x50)NZCggY{*qs;0NSGV!fwF6IFy{g$+++ipOBqUY0M{* z^*j!AM%~i-(+fo(FA$(hodAS`E|-k5b&SOPt&3l#08AG@2e@+E8wF_z7_=OuZ1?n1 zS|Hv$IfhkaAV`@NA85EHVLTZA8fztD3MwpA2Sq6D^eWpv!C2DD|98f z=w_-g)=|iVxYha{i1lmqGeZ6os z2VDnE%EgMXM(}z1najP_5_m><--kY!26fzgGH;1-1@)W4<~MXLs#zT3qZ3#gI4~KO zi5i!~Df7jl@lEBc3&YI3rT>#euWI=puY(xY6|yu{ZBuCPa#ZZ60ei`^#)0r}0lk$< zZe?*}zfc}ZL@na1&-9txH5or|2JC7b61l+^#xhfyv5zTLlUIgJdAA@Nsr$u+0jmfb z%iI2lWfw9fJuicXXP;DkgNfVh@i~@~t;lVLa^7xE7i!w3Nal<2d5sYdTm-8dHLO`s zDHh2EQDy5Oo$ZF&BO10EWh+AR@%mAjW`q;eyE5;sZIihl_xkZOB(3&tLuo1dxy_aX zC&KcaR<*~guf95)8z`UF9e;9?e$Cvr6hU?i%5nbbMH>iYp2VjygP$PYzzaxjot%gB z=EsxPd?nx2scnv?H}~Y2`IobZ>UBo_wj`4$@*O-*Q1liAAVFDW|3!NFHm4GhXQ~$*r={T9Bqax=vk_E?Fv0@VSn|OKY zQUx_w4n4gZf*!nC^`b|y4_rNg)%GH+nzvul0wzg?()}`>OlMcEFYGAFD+l_$4~*8S z=(A&m4O!P6cVf$X5LHimwe4unJ>dx1cTik&v1FR+gw8fz(2`~vK%e@0w+XGU5KMK z)Gu)I@|aG~@4d6>Av7bEroNexsX57@!J7@o6=;cZg0Ll^H&ITW54s1K1vtP8_|ZDA zPI^%51AChFr3zFOI%M>=Oj>A6QcbqdA@XNh@z?xxp>8gp$@oody%|SE*g6egPCN)p zZ04$n@pdVP@Mp_{C?F=}q2XW-Y9WEjiX<{j-8jHIt_31{8dVqxPNzBXvWhMpW!vTa z_r18HHxy$@(46QyJZcPbPgl*+uMOqBRz@dNZoKUjou@(MeTVKGThcC74bw&@t2^aK z6CsyL=Mt-{+ir%ClC!#Yr}kDNn=H;ECbEgEc5_j=Ft#L66;j>VluI_%@)|wQVx^O# zP5#qb5SncBg{4Pj@MR;#ChvS6{_UTOvs2A zk~q@4BUI(3vph>71WYSapV;@)V_RKEhQO`1Y>K`dFU`SGy>KZdvG;bpKRPVjp^iw= zXuybM;3NE=7uWYg?peS^ncsbX!eJ)(bC#OnKY! zTWV6M&YB~&qHMr=Xvm+ZaIp|5qpFi$!eyp(Oy;X^CFZ+(7U+Xe+>kJrH(*-2I5SY% z#?{)fzfgWuO9u|EOZ|gp-06I|Jc-+7)=hHz#2TPqWL>X;R zv@;{MB6S;a(m#9F9SZFjRZWWFk@*WZzNwpo^H~>Z87UJ($%$2+uiAe- zA(mM#r!8%6y}mQuSi|g+9v=_&f`FCD z@f~lP$5@sTM}-7nQ2SLi8*|j)delM^0@_zgUdJ#fmHk}IBXD~Zy<06xSl6H2+Eyas zquCqTokW+TR&UJez=f_bh%3`PW}GR`lj+Vr^~JhBxbW6r3b7yeVD|pcq>(M!Q0nOW z`=-+_gpZy_1*CE+OIh9rKJ8DzA2$!GsQZ;>0LD>UbG%qVt!Sz$MJE9=hQjtAa+Q#z`n!SKBdMJU`-oaq7q6mn!qRd;JNx1!so*;h`C+7Jbqki+gEhR$TE+Y zOXCKXZb7;p;eGEnQ~0_*Z(=6D!85pcnKEq~6|zc`x_vanms;s9n|H?Hh@RC-2tM}u$sf-F9B*#qSaRZfn= zq5W3((P;%VZfli15LaiTyIK^O)K}fYFomCu^HNMEbvQ4B4KlUT{`CI3pXCWqg(D@u0+*t#` zi)4T{%{ZkRhAAc2AK=<=Xy!N5CF&3)THYJ8Z!`<^sKJ5TXwJ#okv6o@boY8e;84~( zb|Nk{&J~Edk<>48LqSfDk!#CbSh5^Akxu9H$c;eu!Qf9ABfNoyDv<+mpi3YfnD6RB zFRy)<=@qCWn3lJPf}|WQ?vqxuD(%^xp$8#>bp(@rN1xdu8$lDJ161|P5=y|0gc-nn zZz!8mAhuB7$~WXT$`BjTC(1s4oFU-&?8&@Y5MkIvg3thW*cf7_wZDYe)XTk6HxM<2 z8(u4wW!a%PQXtB(dSu#?y0*~gdk7g`&nSPR+Y6W~Fs{VgzU9B6j#~RZFQIQKk(dCF z_IxS}FDh~+e;Z8mexyv4>#(4@OvLCLHAh@78x>940*qsBSX5m`EaK%YkE>RoF}N=O zamB9dK!HAaam5<5eU6;2a8zeqj;JfksBrR?Ab!Ii{_W-w`O<_M$cujb%f~c`f!mEU zk_=J-_JKyIEL7xMAj?pYn?sk@uF}FKTsusb`x@huIG)|i+nKPF}~Oq z_u{uZnN8f0@<;P-Ns`lt!X&}%jr=BIjz-i#OEwDekwQ0drd97?NRLH{Ka`}cd=?+) zXQ55e{XJ98EIcFiVQ8td(;hBIxkX5W>zQkCAN@flhhZL~Pyw7WD>`PUBJL%m{-&ev zN9#gJWjX4qAttj+76mTvRqebmyKgILb825#r%ljD$Mld679u{{OM*mu>qv}uqu#M| zfVm4;x2QtZqV&ztNg6n0?|uj!S>I$)Zd4!gPc((!eUkeJFc~mBG;+qTM4NOE?=}AE ziZ}{TNh#2mCYM`Y%{AiewOL5gyC)y0 z1Ud;It?z<(>6%q?8lrGgsRxbPwcVuq&(d8M#uuW0;#^mz>Rj65Ap{D3To;#butVE#yeCoC}CcH*;mk zC`2$>GP4iJz-uY$be7RD-b}AYfa)HCgS{~@;=q>wek8xHZgxqmQ$61{sUO({2Kut27 zvGURqXDe_PeNq9ipENg`@{6XZb=#j-?2kW4hoZv-F7e|+&Xe63Lu5bbH2zMgD4|)V zlGeK7i+h79PsE%Sze}*eP8n)W+)8HN8(hQ2skPkl-en$8rZ!K=KvQ%#CIvScZB|<_ zzT{h7zh_MB2a_VFvEpYFve2Bf2-s@x_@M3eHAoe;jLL~nCA6&^Fko$ zZ@_hwydo3~O1V2u_yUKJ^rX)yjT7>c7vDyL=8Gcx0B?#Xsh9q5FITf;P;DpUk%o?H zJ}(K@MebL&#d|^MuZuWg#RYL!?blmbgn}W$%3n354Mol5p23vR3PXOw$r}5EY}AC+ zTOP`843;#3hZ0I2sz-~XYn#=sDbr{+z<ViO1tQSNwbQ?&15Ss*N&R`bhPs z6kU@d*sJX_p4mNpAvc!4V|b)?$GLecx(@>g+(wzDuAP9>1`VrUpVk`tG3kb4;4Jl* zq>+0q2oAzEpODQ4$KJsjg{a4v~U#1T(RiVUbvZQ)YTD- z4g-0RIj$gUJs4c$uiSw^0-@ff)_#3o4Ps9-Ks?~TDzMZD7kC;tVVs^rC&xJpSNxC! z1-a5ZAP)s#U^?8Q%gZJ{NPQQ8L);JJMV4F49s9~<`yYOwYI>wsxV)MTQhtq9-O#yLBqr|kY|~okO-8SUK5=Mc)<|}mK8TL z3MLXSE-bRGkgcy+oM!~p+u8P36`T9`)p$6xP^uG9WM6e_Twk-q6U|t!fD2Jdk8-v8 zpu@Za`fE(>VP#+!u}7Eeuh+#+ zqW55+5)yC~pX5x=8y6NaaU#xmy$4(&Wz1-X?%LF5QfKAOWm~`Ak1!{yAoiHcj>cu6 zZKwrlo0VAS=+Hdi^QbcuP|3JlwSu7hr-eXa`KfXJQ7=F=PwVSA zRZOy4@;!kAk!4gqk&mF5oSO#qtfm`XDc0wz=FvL?U@#NV#pY+|sj_8Vk_bdTGjP@p z0>w`K-1nDGh@d?)1yZN-_CoQCJrRt86lt#e6 z)e+NN2*uhhf_CiVc21S7X;AYcFCL0 zxx5^@Q#Ge;6jzYg(&&DLh^5XcOOs!NE9OCbj60|k+0wO-rb$rMtVNI-!sBH>OFD=W zy-bP}6A|?l$c4Kl1c_8>Bg~OEv9R@1aMPojTTj7*h5Wrcf9Ck_EB$2gN{7NcOG z$raf$v3!~2tuNft09=f&fx{kOopv&OMz%QHOoh_#TOC@AyiC`uY+rsGeh?jePoW5r z%73aIaP!G4RqQE19zF7O0GGVnc@}<-XU;?v3XBt`ewjxFk=mTm6Ju~)6e410q>AT+ zl~VSQc>`;*$mfo=~gw4bN3uL5|5*ei<>%JNn1Vqi|X~3h1bEc zbN^W8vrH2^49qnAWF4mQeA9Z;s=TSwHNY08vHCqZKdC;0zr{AI%B7GPOVL$tOSj8N z1HW?oy!u$DV?ah;2n2YbnaiX6;|m&RgIkd6w8sc_6~3D$Xvm1&kilO-4Y3$!{QzyN z3tU4_?dYPV^^I3bmeAj|0F_fw-6rs0TVcFaC;_bam+Xe~u=Sh*2nIk2PIb5|{np^% zBX+BH&y-TGA z0f!le$sCsu%-i+N-ucXJK~E6>=->s*qEX_1#D==@8-us@uUHB5yFULZ&fTkfo`vs( zUuW+=UtEXBSMa@F0)KY@ozWGwZ~iuz=~Ft(AVc6TqGwO{hc*Xzg`FRjNn|qPNi3Gi z?0J6JsK82R3+QZW8rj@($Nr?Qf4zA4yWh;Gcj`!G8P5O3L`_Qjm27UXbKu+yA#RzD zA*k}grXKP6ruy>|f2UZy^;ArHB76V_trB@gQy;O{;08iUEg=Pc$+7bF8;f*1x7u4M z68)*#{hs_gaX6uQCl}tbDq&w@SFl;HUn!$P!ORjxL&pd**1KkqZW7=DS3Pp%cTSrR z3%;$7{%&BGB=8Xxtu`RS&h7gUA${1smtTj`)`$S^$KoRy8Ueg0+JT(aEBd{BvIv5@ zTYz)W2NVp?t%o%SP~Rr=fKfj=0`&2R(|jB4HGFE81a%OCRh%NNB8L3w3fU*>978Hi zzJ$Dhe!}(EhFL~8y#Tgb^dbAoCaa@J7DhTCaZsbdnMCj$+pk4aoNC{|OIRy;D{ze& zz?c(;XGjW@VdU%kfkP%)<_2I6A~Wxkpj#Nt8;3;N5EAFHzjb{BS8U+Ae^_9NhdR;b zu!XD0;2;9$`>QN#XmM%*h8sW^L*ryk$YGa+a z?LG5raAaE73B}HugvpE$wa&BI`x$U0jMDv8SprGv`m6}qnIA>bVus&FcKvIq(w@*k zGdZ15lh~8!g77$j;E^a3&0yV$po*wu)=$)k#p~+i;Ged1cNjiG52Q5ekh4H|)loFp z_#Hw0<5tp0(AYsqK4WD`3e1Y5XYwy)J778K3T0vS7;-P-&N)u{j`~}aZ#NW4XMli= z^vkkgA2z#eU>|n#&SMrT72Wb%q}Q`b37c3MgE&a+UU3(JL`wefbJ-;Ex^cmvS>U4G zo4CZbXeBt*#Ty{0165d@iHsxNI_Pj?J&?Ji9NOgRk@y%W>6MWfJb$8v-cYug{?36% zPeHkD-6e8F43ujm zYE?%Z00YRXYFBrp7iNkpF>V)AqLwr<10yRFpm|~Gex;ns%v^*(d^G$#=F*-dp=#$N zopo1rSU=m&#Eqbwa?-&|mQZE7X(>^(ot+%gX^&nk-_-LWx)$*=q`f>}_wDEPQTaU> zL^T3{;-`_TlXkzKTEFSR#v&n4GvvnhBw)kZ)ex<-*$}7GPNdFUBO;zyYo^o)0i%<4 zG&=uSFkp9IvM6C9XN;LxK}w}hT?Yq8Kt2XXmh;og5^(MGEuw5}DP8=}{=M?qapz5O zr`f8tg-@p=*R6M7sK6V;x33h2<7NIt*!S)XOlDNdW0&&xa}%K3cQCG9Xx5{`Dq;Hi zo!f?o`$^9Xc1_ zFyd&d1lmyIbXeOXIG%jM$y=w=m{@|MZp-^(<*d-`lL0FN!3g@ymH}|qfc*Z_!|xnt zlm&=Pd`aF&oZ0|?@~P?oulo(4Y4ZAoENM#;z|a_ z#HEQ0*f)7RQ)$?e`tq8|5HwbymA$jyN@sC-^y|}#eyB=m%Vwglj%N>BJ%G|3!Nukm z6J3owO`pRpi=)Wnae`q<{j&sGEyg8C^(qvmkzG7&@G?b3EpITAdw{2q*Y<~Q&443q zm<&Xr{<($Z#%%oHWioL2JmhxcA+jl;QfM0WJpsTb$-kp6CcVX|xwY0T=y}rS&pfSv z3Kbb~zgp_{*tqHQ=A7SgP-b(ceB9Dlg8v!!d(Kq=AOBcH@9b^5J{NL*V%K^LLw%VA z0Us|2Ffs`eG*wRzxr5TOLJrziWTacUGx__%TycOg7AwAfj(Wz=;2Nr_#!$24|3T5$ zK;J)|NsZGY9A>@k>t#Zl$M2;nEpy?$1SCbmq4}C9SauuyOKSSJl~B-R_XzjXdlKio zm6Nsg>{>;EftLr>jAJIxW)d?yK_=)x=3|uU9v$S}+++-^4+=FD%K7d_Frdj#UBUQ$JXtjZ0^)v^TMjUV{)-cIZY8{S zNHj5>5SeE4zFHazhSZiQq~n_)&fy~nm)PBn6FVTLY5uk&`h$(C;|wp>#~DOS#s}i) zWOAtEMFX)`lTwYQQ=`8{q7hL>KCX`e21yZpG%sm5A3!9_FHW#khjKfNk~z1>t^=-e zR4;4FO)JbvvX36lyprEzLf{P~;Iddsmf}^Af!8AiGIb-v_F4!i%*HrgKK^&1=`jA2 z4R^npRo_MN#?3_d-J9s&>#@#tuHg-pPRaQ=FD%hfOn=Gb+b+tuA7MkHyO!?bpHCFp ze9-Q1=p1CJ9^uI*q{fP&~h!NG)}sUgMKv{z{yezmNT6wsz`9 z-{K2jo51jkI4wOwtHj z_Ukj-z%`5L*ndQw=164DYcIBPZ&yF5)Vs4&=sJB}N+X3`Ir;aY2Gmu1QAH2X9UASo zdLymt>HhlraQpFdR3_h-h7JPet;;RO=XcCplRKsQbhrZh_M1a;|G3P%R>8`nO#~^E z^I_$M+fEoqHqd2cVK22{8(#~baZXK2+#5{y!AE{}&U6K@Hp)CT`R!pV&bH?%?Np(+ zn@%IGi3$_Vp1ZD(kCsjS!RsmQT3yU$AAanhwYyaWV5xmUY`YNi<2^lvMfO6k0mS?j ztX6Y#GG>Gv9h#zKPRZQhZ9}5KQy5wJnD6ZqGkFr8X5D(xYHIkoUYPq&Dc=yeW0t%6 zgw1^Gg-;IWtmj4OC)qdo#*olRRv*gN3x&AOjjHPn@8{QBz8E=OJWm+Sidx&8U$nX! z=WXqB=ae+(UR2->I3hg?Fpr!Am+yzS)Zn^0z3t$wBl_=l-%rvLI=W-5=n9rV7w;W( z*H70gC5jB;A=T&?FhK5aB4Z*OnVxCO{cZL*x=TkA3$YP)2Yce?fXoT(^fXyf6L;4l zX5iIlcQ{p!r`SQ7Pp9^{ukG3%!lxuC!mp!NzMUPeri#mzUa#^K-}iGFbX6LdyHvU^ zmY$2p?&h@z@TYEbJkR?SxG}%Evk6nbtMdO+LM756E&II7R^N3Da?u$MPd|^FlKcv_ zu$j(Gp?Wp%?XK)^+rA0G+2ic0%?%MDxB0|a>WsE@ZEiHl!gq|ZV2=*CaJQaJF7by? z$9jZ);?SRU&B?juMn4Ex_nE(BNs~xVtkoKK^oD-cC+z4b^h7Pd9ee_or&le6RED+9ufE+^#*4+soNZvAI8^xAl6y+9!iG%sE;i z^`h1z=TieY>`iQkNA>HBnoPAnYp4i`oY9G%{P{Xw8A5U~%`SvQl%8%Le{CrFn9A{N zZZ_rxUz4)N5`&_E;+JlR_w5hA=HH<&9KtKke@)T6=x zW)P)+X~@p*(5|u}*@i!f{_7tRLI~s-MARRH4+|gu6Fxjbg$EQq9Qu!i1nx2B|6_!C zZjq(`m^cL(3_se+H@Zdco;i+$#qR zg$I93=tm5>dyMtrA%Fh;O8?iw_mq@RTmC>bJ<3WOh&u-{ogjtU+~IUFb%9Hm*maz#Mk9YNl&M0o-b zWr#3IVL$W0KqA6C$;4D?@ls?YD3LJApg={!Jk`Whb@5U(q%o1O)1W|q!aRe-R3q_H zOr-GOz;X=<`E&T0uuhTn&o%27cz5*!541=Pl)o zX7t|3X?`w6@morCzAQC%VY3A}*m{)2B(Gv&ovo+UHSHA@`=cT`oF(#N;GUsEtt|61 z(+##GYt+?02j-^L)e2cdbg60WAy@B53<=j8p_5Y21HQ6=Pl}<7{glv73^b4&j3=sn z3bMqqSCbxk+N#OP6)dP>x_iu9Ct)Dh{KB|cT;^JPo>KAjcz$(P-~M++$|}`6XJ35~ z?P$UCx?Dbg*xRv;(5f*aeI(x>oMM7T^eDC6~IQ>jTV1{-=@zGOke+{K9+vq&T6Be+wB(w~!-MhoTx|>Eu zdr!MFMS?B`ICl~7zf3vr)Yxs(kWdQ~Fl}qt)@`!e&DUD$v&45u5m{|Qog41~NDqQ{ zJ~NbXyQA}*Pgp=aIgzQkckhNPX#`7OEvwfd0;IJg!SQ$6y0o`?Se8f`wpwr$g;cZD z6)FuYL3BzOYte?D31JLVHqZah?<3VJP-anc6CiyjB4b6XjU=mj6+M0)+fju9?=oBkm48xi#}u% zXpyLV8EoT~kG-~WpxoFyDd#Vw7^h?f$w-V($vD7(7CtT;u@RI!bQ^=kslwfD7_`%^ zTnEmsZ67VN2?$yCGfPm7Yl>@_ae@Kf^CQiK{-ve{8T#mHM%O!=1c!FIq`z!|!D zr%WM&1TAVbW|X6NmOLjrG=L`h!g?jeW*R?obZ1-Ah`gw5{Cf$R!uJ%NC*ETl6K9u( zqpO^ttLv(!_CC6~N|7&=l?A2?8n6Ua5eX}h#T#T%f@3&)!h^ah6JGdSh|Q6#*Wtkl zb5()l|d?L%!KFTZ}}z&J#s1 zXV+8qBE&mzC5yNn`txfrD}+lCu~6g^S*Hk5XW)_%aY-P0Qp+KHUOJQnkptk1^Wr$O<5-qIq+PY{2LShfAT2rn z#hDRsGW~;_5pe#4Xa4UH%m0R73fbB?8QVBH5^(;D&iubeEII!Lb^aTzC$Dd6{2yrB zzYgNRhXOen{sA4C*!}?=nOWKCSXq8pIyzRi|3n1}{Gf9xiV_sAuC8=$=2qr5ZghsW z)|B*0|BU`ncmE>>`7gHfKVgFZn)iQ~nUUcqAsY+b&p0E?4`%rf!uLPt{U7)HA9y7v z+y90}{MUK?PiffLSn1gRTNXBUb~>j2Tjr6IiSd8UJpSth|67*-f0)PrZ$QU?>FVF6 z@$aA`8v`@@e+@du;0(idP5*$7FtOGubt4{HwB$fA9Pk%FoDjb_3`gS{s7d3A+`qlW zeJZ!`F4v|;5pp%^D_)MomKxBP-Cu9}cPldb8~A2_9?i=kbtIqcXuCzD>NZz!=C8N0 zONruT_q$_XR?e-jUT*FmX9MRPTiNkn-&YH&T-kCYmb_nR+cRw8e3cDzd`rh)`{PyfXeMdD z?0h_9>&aL%jB&O3;4lqoRzsg_;A-IOy%R_*_czI51H7xxODW$?HA-v3ZdQR4@j=Dq zO8-E_fd{7#QfN3ur^&JN@q)N8YknKEr<_Svp%mG6JwY5>wCLjT`TkmL!r{vqW9ZI$ zd5!ws*gL+QK7ZZZj0BHrbZ`!MSIqdViR3AEl}npuU2PqvI{+l^>Pq%%o3q0`brSh$ z7yIRq--JJnzx}z7+SSoQpPW%iIuirA!H2P|DAp@JNxLbSXNo?_HS5F!>GbvZ874j0 zj^9}OcH4a%BflB5T)neBrFv+bwEr5F42rAU&FTBC5HJ^GTbX$9a&`HwMy};kCw(KK z*i@^d3Ms|h7FIrID{)<+<~$k64A13gPVxl3`9{s7)vcqwMFW1-AsJVsGTZOm%567K zXRcAyAR6-X-J{gB^MbRp(zxC6U3{zxs~4+*Wlxzjfk*E0U}kJCXAZT+27lCkRr`A? z($mWCywYgtV<8gbW`{NDGSK{*`Z>zJoe{5N28mA2QJC{$NxCiuLy1NizOA-;$w7b9 zw;9KxVnNjesfH!O+zsoyt3yR1JwmzVWrNplV(7pIrfRa|SbPp@vakhZkK&p$!xW6Z zAi9L(Hyue*Q%r=Uy!OH+TG_nu^lDaX<0?`7Qo4xo@1JGs(p3Yht?r}_i)>bVc?gJ( zbB2b!3W!*+sO3TARHW;XK^W|8m!_ z8a$IQw%ktKQ}2cOY(q<|vyWUl`F&YP(h9OKv}q9KcF~O0f<&B7CMsMC`ZrUG?R%!o}-Dv4`kF;qEct! zGm_<~eW$?AV;=!{n|ZHeGpa{2pg+pTI8X3fC!L==T5GnNw)h%oKNXz9`m^b*-t%9i z$r(qG$g(WJ3?@~jyrEAdQ`+R1CI`s*dY-h8>-Li37XPeHsf7V|iJm10RV*s62oyLU zI@Rk(P*6QzupI!xX^djZ?5ga{Avr~OFrh~sXpn6Nj!j#eTTaT6E5|sH*MEWu z?up>u>|Y$;Pxd4u)u~4!PZeneiHSpe5{(@kI5P{HC%x-xXw=wp!!6g1>n1HGRA?~~ zD^OcbIzgQlce!$l4D!Zi3=F2PABio>&4@M0(M0@;QgJU&j<`xhk=tvZE5^$jgKhh6 zf_;OvUK1`INTECvM42DEFMJmI+gcw@z~3+)la?6@$HvIq9*D~kX$F{?YcUO5Ih9aM zwD6Nu;lq31C-SwJN?#??plsI+HiO?`pwmWYVE_@*?4(LW7k1`Waa2hlYc$#*u8J{= z4%2CUjCwFEJ+{M}dKNrIatP6_S6G_l1g> zolgMPDT*ZXOAP9B1rGAE* z7W|^mA?bs2E`SB5?+z%w&x_NSH<@R#0I^ZBvdNNuP410u@O2SZZg{LfoFI+LPIiwH zwm3ESN9zF@2Y!E@?mh;L8qE6`xD-%iE9c?z;r1$M42^|b$a+{;u2SmVuea)OR&Wi%MYS~sGc$_q3YuUk=oZ5s*- z`@{M4Hw+mP>+!bP%Y6Pdte83KqM$frF?^PXfx$2xbr|b65h9;=ozn%}1!y)(5(!iZ zN7f{L1lrOhlNXbRcB!u{HpYpC^e<1R_tIR?tW?T)!&xEwdU_uXK-?yB1v8fQ# z3d~TWKQ^MIf+t+Np68B)r@w@oY(dBeawKWP)e3N66UP?fz{e6G^?GL+H}-?Cg|Q%_ zp+O&T=!*RCa7W~+`UK4_Zbox28N}+}G8Yaod^bnys!#`)w<}Wz7!R0F=JI>KAoRHIpe4iJ=$Byv3`y!s;9;ph;g8Tvmft}7%9{xq@Rlp&olde&yq>^b4S@U-+r znx-egy!!RPKlna<4gm%pzaqsdT0SBh1xSLD1pm?cXaL)osxXBmyuuSMiV&_z@Z7=8 zm%w*kzsjdE+ZpH*y2|Mx2oHl-=7&#;-Ygpm7RTf@=B9gU(xPsYjxER0UUH0zF4Y%F z%SK5o>6}S?n3T9|E>htRFHi?p)CM@m!^|@B$46i#`G7D9>=^WQ078PB8OR!s? zZY+YbBLG*O@6SilPB4_T4_0gL^cbw=R#Aks8*5fmh2|ubht!(}&a=)VD$-CUP2mSi zG`D9_ya*dsHswinvm|bw35Wf=vh7Ohh#!L+<{E0|zZWM8u!J*Cv5IASSy=4_M8ud` z1Yu^udzN#|T$Qi?CFY7sGVZ)z>n(drADbwwkmwXy=>V`iF{WYk59}BMlKTSz=LDT+ zSlou?ivv6iqHWVjpY_2oRX#^($l%tM*-mJD)xljBkUuyojIdOhdE8DKxOT0aH+Ajh z!u8hWgFwEMTcU6%huDy@n7!+?sRAQ`_W9u^ic3LWDM~w@fQnwvMNb4^Cg~$5!jiXK z+57c~3~}`sgKmF>=H}B1%!4%_1@+=Dn!yN&H-QzM-u+#1rLcuRDHM{~k(DufjYl8r z5_dX_uTZM5kx~Ze+m8`)q)8U{ps9WkLOkl(@xPPt9JSH5j*`Y`^i6YFVGLzLUTOW7 z6>ibx$NZEP5M$C$+!55Onx`%RvXHfT*~2G4EK| zjwou6pu0k{9}k{&d|`~=_*x8t90$6v;7xRn@_i}4mYV^EH0_5g`uR8jmSB*8R{zrv zE@ST#OP6vjImJYtHla5nyDEYc@Qgz&y5k!D@W?D*eFY(;2t5SOvhJzCR)5PSS?3pg zUuN&xB5MJ)q;8w)3EpcAcXL}%Yw_HOO>IUO1Tu5&-WFR0HkV(nx^6*7<)gvxM7>QbDNsLq%*OrhAy_sGT%B=@6z zxbT{WnSeR7Yy}tlvuP2%MW@O090G1o7v>~}2WXi|m3Pp)ugpaYwL&S<|Gv;*M&Y@* zv&IN&ZOX&_=$lb*Wub)0bA4W!Y6v`g1~c zW=*Z-XTf+`D)h9SwLz?oT}u>8m{F(dyQiQ;-A&AdqTKKqR~1>s!)tEJpTgjAsC;p| zCPjE)>2-%JRQODy7CXQYIcLUa5N;VXblf=|rKD)kTuq4ucgZ|@GjUH;N4|(naR7Cc zt8%(p5mQKf8iBN;0HM0o;$WW`v)y$dzppC402!P`=@U&`3||n3EUVYnFER7uJdI@_ z1xFu7$3S<43Fdeo2oozeY<4$HpR=3F&4?;7OQo~VSZNkq?&6j%+iX*z2bE{@y(+BJ zf;cQfrAXW)u_9xZxf|r*4}o53ckJi?##%tJnpE%}@a9>OL5v!@t)Fcq(87O~v(*+% z2aF`%Tjj4;z5n?@?m=OCn=sbIyCo!&Z)w8qamvJ%?=Xh8;+xjbT($T!kg-WcC1NfN3c&~(7%22%+@jnnuz^Miak|EnWocs+|kU_@LNTUQ| z79lhOkU?wxs6Xuj5Goo`FNEC8i$AgRDF+qHzy}WMU;UUN?H}nnf*|Ag^=r-PR8j=b zKKF(Q#?v9m;jZ;a*Xt!7TX(%$wK$wGL_UkIFSh*NLF*a5Ho>tAyjMw}C>rH< zRikt^4{4r~QdHzeV=TNxh;9d=NMPYkn2Q}OXQWs~u7wi3t$1rt=cg{6tVAe5YnF&X ztXJ7=opUF#AeS;@A`${#vNNjx!jo2re1Uuca+H{+v-$M2vE)?&^i zQTS+cx-Oh~R=ZdLYE?L#cINu5rY~gXY5OgkJ!oOgtxxc-8FpR*zU{p)k1I`C5pHbo znhREgx?7lTf41>pQ<|U7nkJDFdHT8c+5MqRtSMgmn7`NH5|-zmkZR4vmamn~SuZ%% z++t8zDy074{d7DxsDm4t_|7V!sKyoA2ddZJ8N+LojTz}nL4k)$-E_V`sg+5?99YK! zVAZ|It373qvg#_jTdx5&D6)}+yU4g8g;hQj%HU-QH;T1-+L4jzTi9VYk>O3U;c-vC zEfm{EL5aZlP3el5}^r#y}{1}j#cRK(SgfPdEh?4nU1 zx2QV+E@;iZNR?KP1XBE!tL{N!RkXo_zRE=qaS}^|@CDYK5r!8z0L98IvR>OfePbuY z{V-N(VlrHCb_p>i1w;O4#couU5=wt;wM>k@Hs1W{E@)b*q=&R&gY|w>zYrclftH2^ z2pQ7|@F8Ft!cF2ZWMw8%M|o+w19O9@aH(FLnGz@yBaV@LL)1Gke+#96=^z(9ic8lb z-UT$WM9UPi%vk<5^25s`$29W%7CL4Y2I#?R-o50hBXdgpa$`qf)7d@ZD@ z5rcA5vkHlM_19;IoMstR6cvs)^5A4Lhg^2M_3d5ijlwaiD4Jy)JO!NF4duwqfd#Am ze$W}@52CB=0Z~GE=_jtuIBi?#4MYZs16*yOw?mI;tZoweuwBVvQH)u8tdJfi=w$0C z3wTPSxB|CizU)Bf^4L~)XsYUk_AIq$&^30tfj85((Nf2I{xp}gq&eOnThJ;W`@Y{l zeNJPH!pqkQ;>i0*6r@=x(Yt&#S5_&vcMVfjyEWsKTzYS6(Caz6e7RmyK>vQAO9@Fi z&upvTTHA{8Ij{0sWW6>YKitw&$IQ1p*Pw@6InQ~t3pTcZrjU8P{bkvzp@uKH%?@4u ztw#1m;~zuxLepPY50?9q&~t8kDl^knly1EsPu_jQu4*N5osuZN&V9%&+S0f`1&l3Y zE}{xf-L9;*N?xey-)s^+lw5{N9*{kWTimS8H7|^-GWc+UNQCaea?*%HatQbd_sdY3 z5+Rd1b$L#QpJSSycBS2e>XPlSma*VS@R+sj$u;{=SgzHRdi6xR;jBIo70!m{6W+%r zTJ7KmJd7!Q{1etgmm`bX(JWW#*kSI+DxIKUPgX=FKK_RqWX7quhjkAy~A;23z6^MSI@Y9nu zQ8mFcF<$bM(ks!5w7_VG{a`$<;|s9u6>LQqeS|fpTKB(1%P~hYBJSLb4rIOptxDA7 zuk(0Ge*(DkugxsNf|3H4B4d&Q{eg7UvR}D+Ji!wD9Q#EL`CmMJ0IB8lRYi4tA?s6L zhtm|6Q=e14zBHvWrz{FDr?lpK51mYCU22i4e&EU_K__;AB@m`n`pV<}Y>r5@8PH9C zO%mYgA#O!9n+^S5W^I`XGP#pg=y0MK8j9<3^hXrKq8R^0*&&3_&c=Efgw}viSO&>X&Zx*vl079bCzlVsW5OKadzwAUSNzerNHaZ9{g@LU z?v-kqMCf=aobzvuwa%B7>@1IxKE@uBp{Rq0);#YyNlK>Uf}8<9Fe^mZv5W2^ltThG z`5|fI0j_I9imghqK|AaZ0h8SM!2r{_$af^2GxfO03-7=b4QdS1$?ICa424R&3-H6q zx-}3z@907FHq;XAXuEN~S8_rlq1UyeL(F%y3(CK}XmMtJev~EG` z)+uOep9HDd!lnl?-AgkS74{tV8f|A555mseBHX|Mi{a)VJ_>1<$057-N~U%Ph}l_j zDl!T6SJlU7q*ZmZ zjN{UAL|#Vv2Hjv3ly$P*;cp>*zCU9Uuo@zTrQ!fLTSJRJWYC7t{v_dT+DS#D&!$DW zB2GUtZPTlXj;N(*_;DVhyMmZ*Say`Oo9Ota((`stHx}^hMHi*mByU4a86)1SyzIp> zVi2^sT)sfrwXWqD9&u@|5;>C1E+*~l!MSyijKSHFP7WjDMZ%5J8Ra2f)59D1T2ks=ZsaKO_>nkD-+G(|oApavq*GNjqvB=pLvBBHyn=@i}7 z<~G6@O-LE0IK{hA$Jm?>lo}Pew9QH+%q+m&phUCqeLjIFG_#|gVXx@m)m_2u>Skp; zV$s2trSKdF$_i$)jD19Mx=|M-6V#I9S6AO5BECy&AVr=$Rli%WKBUPtg-fl$xgPq7 z_@td<`rv;%w|R5^n6W;M<|#HJ$IS{j(jYtQ(5`S*J@Sig#VA}|8kdk$fW$MhgbW6) zdF?=T*&qzJE2%x?2@C>TZ)m5w7T!tAT04h{=q4+ z!e$=T#~QCYCUq}v_8OzoL}fk)A)ZePMCUvmZJ%4&ETs;)weyzgjv|CBljg!|UYe5> zu$mVuy^W0R>?OeKf*~RU2lQ)^N$ryA5N%wit)X$Scad;WdYKx0!l0=Lw*M49@6%FE zw11p!sPq1qwLPlAnt`k!m7@z{SD)bB6+_nojhZH&7xAPd<_03UwRP|_+33D(_;(&A z_3-!{BFQh^iPpN2OzM|$&*ZBQAHRllJB*HYh?YL@OtAS6rF1RK-^df1x|CpHQy`r0 zhmQ+s0j~LfN2W*aDM4Idl*i%=Td9&_pppmCot3g`u54$v2!g(!pdPT%Vn;xSJ>3yx zRdk1a7colNQ2d%%1~)031bLDDQ^77GQJW85ssxk+|WEjLo&6`Z01yBG=pZ% zu*Un&V5&3my#5q9B;PFXIsAfF;UV!cENWVf2i^6lVqUf_fB%fw3|vx2O9!YWa@eRE zlIc)30tNy&I;OVQfll8tv24=V2aaQ>tHiE|G~+Y=Z1 z6nk$7t!DT2@!S7nRzis}#A1{6N;}$V%wMxE8{1?Wx@X=E`BSvP8h1SlnEEpE4fX+^ zA-t{Dws=?Or>*_&3+Nf(ONTCl0&e7Qx0u91o{l5)-Y(7Ghje^hT3tN1wS)$P=5g+B z)*$xGf3qeZcfLZLi1CJ!?07%MS-Z!yzu%sxsit%IE{3PSKd$kcDyaNSb)ZUhb|>K> z;9gF2C@ATX@ZNsCXE)8oV?PV#Ldy}u!6XEsFVNhy*4r&3z6Quw1L&e&l~vsss5v_& z>Da+!Oi2R49plFd_S^*)IOMA#Wp&r!Umaa9yTPeHga^b@sGz^VyBFyoV=+UERuDDOz>>1+9Cb z!}xOHEDmEi@_c5q?}f)GvhOj76+fS0qkd*%u%M%V-x_J{ptm0J-aa=265Tv~0El

5%kOdodr7tc_Ctd&FU zV+7fO*~5&YwzT1=gOygf+4l)*G8P0BAZSDCLy?q!k~0 zZPhWPD;A6m1KL_=<$`Jp1&NYBXm(VgT=5P^r80`VAvyX+9$~fiJ`KoqHN09a+)-|e zD~>?{z2nHAvjIZxzy8@ke1Ub%E;;fJHnk2^>I|lS$Bsj?v}?jz7;&3Y~(+*uJl)*iQTk z3z03NS+M0a2xr6fZn(h`dtHg{i5_Q8nRoW>Zw`+Ui;M4hZwFiyWCqbhlXEKhg!!uDtf;N>@|{6s zb1LzoEZ{DyZ?n4zZIFpdtLX|94|u9X88uiQlw`6*uW!DwGw5fCKuH{wW|g`|k9 z22xcJyw8&+DfFL5R3(}Ae+@`=&SNW50g{}WzEzxC0GX6fcbx}{dYay1MDv^r+7jL6 z;*Mu}aQF!K3No_rfZ#tiidP-h@H%|qyI-7J{~H&0{f6po1%?)K0gexS(R+V$0U{2x z0_6pP1{^KD4rMe(EveAa@_GEOqQZ`!T}R%c4TBUhXyDA-RsOaX49lk1nE0-e1u1Hc zN9Qe??Tv9LOIJ)n*=JV+s_%5Z3oB~sHkJ!Lo(s1)S+$WuwPx@3q@$V&sjDozH7>IR z3?@tAYu*o@c5@$2h65;T1L4mW0)=>M|KBLywTWX+>0iPQkw9hu@Iq;FXw`pv|M2X@*x0@(!wCnNw)ZE1>sFgdaeqA%y)kI*}5d&I4{QP0~(XB?w0uxz+Ll< z?e4A={}%bnz)AA-sS7y9 z+I37!$Wt(hd9~bZSXUBq54D4yIR_vug51bq;IPRyPJB`SvUUA{wn)P@Z-)7cuv;|+ z%B;Vb#e7+RCr({s>MrVCUEvaNXrBZIBB9V9tegdMI%llBmBuA_i2ND$$vlOU&0mac zgUDIePLj5C)4qaE+CXGQ@DGrEqGT(9L@j?XCfQO5< zrs=Rd7){cjA!32Fhq{7Ud{%L<7WZxBNOx|e0>5wE6st-0l&ZX9rCsoG(iLy7l^5Uqy?9t;Ls{o)8r{4y!_zN4L$z_~jo9 zlIQZSLKPV|h*bZq+IR=91xO?+!~@-`{aa!esd`?VqE^Or{Z0%zFs18eo`EypwFhy4 zj>egsN)^ua1h-3{BWyQ?>iPY3$D{sc0&Eak{5k;B(uk|s29cHj^@Zzemqrx-mCyHv zlilHMjFgM8&A`ABe@{TbSFc=|s%OkpjYB5!xYiS%yo6+m*!FN%_8a*qtLxYV@Bw1w z#qofzvyVW^3LUEKhgm4X$hZ=3q+0b8 z`Prx?*pQP7ZrEiwo6G+Y+)U#ya7}+`ufxJ5>;{4KW%F;gYm265fnGyTaXB%ynG+jiR$Rp9bpy(~n;hKJ^N14s+@DOxSAE zCcZ?5e-q=FrannZC>>!6s^_2}#S(9*O8f?@!aHb?v07#UI_Y*BVPqw{3b&Nb4u{hs zA5V4~E)HCJJbM81)qGjjCnt|?3SC+fVe8@a#@gH>`H+lGiXoL671%HLYYUIk?D}KF ze_9-MoTUJHV&vJQwPI+HfC7+Y^TOyG-vQgJ z;=Ll6O=Eg8zyto0tEZ_$h5m$WA2JV5MI=Mcz7g+4+x&mny9eM()^=go(ZseVwr$(C zZCexD6Wf{CwlT3Wu|2VqFSGYP=f!ys{`ye$S54JZ)q1+0?zOU3-7DSqeGx1d6-T*0 zZoP1;?p+TQe=kGna$k}sRkI&BtZS*z1|bjM!h!E)H!yvn8;PJBL9nqQW4|Gu0YJ0V zkyCQ>P`5`&Vh=DLr=_ac$%vo7bR^cj&}`hhLH)u%dIS2!{E%%rCjLClusvLEQ3!&hEg z@^vzvpUQYu+=^1&=oZ@OhB{X*oO$+ex!I!hlW`m_u=`@*qCq!3#ib7xW+@)Ex2!nQ zP$s6VHMa_u?XMI^^RZ5h;vV8H65v6n4A+QPj)TpvnRK0e#$iv^3-?@-SZL27wMXK6t8ASj=@zY0X5MM+}d&6!xo z%e(1!CD$7rD(Wy~^c1xrD9PJE0;0V(OS{#t*V5P44npo8lk|4(r63u@^H}*K>)su? zg6H(%0ch5LqG>x%54?G$J)Ny8YpS{=JgC9U{&HgFNE4Hb(NSZrpjRMm)S# zG4&2yGDF%=mL@K8FE9zPwJL|EU#AvUW+uNh#m$`CWy(>G^?$$4p7LDdUw3NHgUvVY zW0m{Jk@sDKOlFFcq+nRKpDOztT(<4uOFA%o5+zpHEGQkutTZjh%;g*k@M8+-D@1iY z15gsngzsLn#-d=&#VP6S?1=37!Ee+}By-q=GG4q~_%8aK@`Tjy?vV-yz@Et7smbfo1mK7za`2+U# z=mJ60EJ*@~(2HEgvn!`5hG1pfts&AdP|#8w3R}KT&6<6J+n>?q#g2jQdz4(Nkae zY>FW&`<{7@9-n`ycrCp^cNSXH*EQL9vwO}NYCs0C2^czVJ|ORxE8HD$=4Bc!@snX$ zVw6@~Mj9XHX?wHPRub`g#<;P`6&jl_zN@^wi5r(2;?y5e2g7mY`)5z*h6mMQqb+O; zRK}J9C52hMI@vBMj1-5hMRnD=13*8mJ-;Som3f6FiFn&5nn#uMgFw9lIS7b^4qZJ zsyLn#6ArUiuOeUqIW)%1(c%eZMjR~%3*LEt@e_w4#k`s^Eimbnc|{yLiWo8y4q-oT z?nQcYm2oGo!M-tLDt986BL6n^Bw1%I7*`VxzNEMn7A&wUx>)3WF30fr#V*m8l^lTY``T0uknPiwWt0Ag92i zphJ8T5%7bM_luL$Bl_D47z2=x)gS8wls;B}bRrS@)$i|D{COBMI(!N`M99dGrQGg7 zIXx&Qg{i=AzYY&6q$d&FjU3u7Mn*?W@T(z0N`--cw2#h;1iuvD>blCV&KCMF86W54K!%gNTWk9CzB2K zBh=b}d*XvF)k;%w%=#YvPta>OEHeWtJ$;ALL+;U)URl2FTMs%v*uI)(7#s)4o$0FY zE79M+gq!+v*Txrb$LHJ6?@vGRJ?MY_9F=v|hb8Zy-)wvClCph&ot@-; zxw$UBB=fg58>?B~K9F0e4&+E~px*%h;g=zJMht1$AZ_`exq{SA0y69c6;tu3H@t%p zqA5TGH34sbfDs?i*JS(tQv9sS{i9RYyvIY~r;B8RssF?_2hGnP)Pxo4h--H$x0=&i z1A@w)3SNw!!ZF`kjI0G@f#xeCyYT7Hhm(-Un__P)#=#V7J91NY(gdPlbOfC4cnroL zG;56ttgkB;_EC5Ils=E^K>2H>y)O7iB7KcQcR*&4S&Cy?G{~>nJa@5imP2hr$`X@3 z8zkx}Q#oz@)Rbrqk_=hpA2r}Fp3_o0yVjPjWBj5)(zvQIixoLM`Euu!W~Xr-8G{eiX*x zQw@(Oi;j0KBXUe!31Wr`>ux(~=DO5xd`2jOGJz(9o^2vgdnMIn#5*W zZUq5*+|EvBeHck)*iBDj$=tSncMuPh#{NUn&ED=a?)gRW+fHi#=6<8niFSnJA)2UZ z61r)79F8~{;jm9t97d(PZyhrXpiN5$Q}<_^i;L{6^&iHOPPcbQ5PqH4 zoe#@9Ulw=+N#5Tc-c|*HFn|qvzm}jAJF`iPz3EqUU~|DNr#U|+HP}0T8;32Hfc3P7 z*!_6tllcTvEl=P;%V_jlGP1tJOkQK`x+&r0&Edd`1zU|5;woDo!Y;}?Ku4r*S33IE zDQ08~-tFxn_;i~d^G?WMALFGvJDc8IgIY<)Udl@KV`vBM5|No~HxJ$&D1blhD|uo) z%p7i8KJf6EY8vijIVBuNIb{Z0Ma5pmusDT$d?Z8$>IWCuyO$TxpQ6wWI|F^-MCad> z*7UE+6iN(q-} z$5Vd}j#OglG`51|n6;vW?$Iyy4r=SYLp#xQcCPt<12VL|1gVgKL{ zK3ds|q;kaM+?Nbmi{tb;AZOv@Abdj45=R3;QzMK|L%vJ#E+2o{sP(#)D}C(J+_|(= zsKHjZfnbGU553BVKg9X0n4iyFyVSnxplif@94B&$BQhOIWbmzxrslm8zi8gAge{0t z(Zw8=$JG_h48U0cJoEd+c%jXNHA#uF))Plhw6RPWO~B^c!5|?FjpAUqO;7h%lgJKN z+>g7X#qV!+*RMB}Sx1-qnKSmTtq-QQjwwG`j6eR1BCKa=Ru{`aPTFAQ?8U}$y zPva#9B zYldLI#z1Eh|4l;<&;r7y{x+IXq29&``4&Ufmmb|GsWtt^k`G15UdC+;b0XHRQcjA^ zyl>M!Q_DM^+Lduj?~mWYq3hU=Nm;cO$QjEWaWgW{O*!WEe_$s3N(L zu<6opB8A8dYhq~`+i+rmFD!PzILLlmAW3RDy$?r-Us}yk)^K4-DIWBdtQ{-t&x9Fm z+*sb+6KYaRf0J=RJLZnAWAsy#xLYV%oNG8G>j6w}xQvw`Unu%s59%JT+wq!E-1Q}` zJ`Cy6nY?}~=JVqBfs+!lAvPAEkOX|ck&1J%Osw)f%|Xzr;fiO6U*9hklZ*F5c58|m zST~rhEHOpYApNVwdkTj4TMI44#jLJ}zWZLB74O%VuT32Tp4v9x^OFsqz9I#h$;c6~ z^M~z%Ant<@$0H?($>8b#+p3Rcu@t0)$PB*jj2xp~kWc@#{a@_zYZW0WS!@PhZ$^%g zgFoU3ga8vMSzHDH#H78t)ig#wG7ci@uZFMTB&PPO1)5Fc>;Kgd@`OW#!lMDGIfxXT z!2facuWf<9nrP@B2l(q41&8p}hv3tBg1x^QV<gRg0UhvPhRS~*JAcHszQ3Z{k9by$teQXaR~(H0mi<7n9JLdgd;b{DE&(|A&3nY! zYJg9LFbNur&Z}k%+rOLN0Qm8hOGULtjeB-REN&WdGu2(cMhrDs6hy*(q=$ll4V`eT z2VEa_A$H=|WDwl3I@syggia(SfW8;I96vLFeuhmAm;=nPz@85DZ!3GTBN6uXV2=Kn zMACs-iXG^EObi3r)dc^&GjqYeABey|fS%x<;S|SQhsEsTo9^X0vZ4Wpj{=5#&$|8P zep{dBbA^Yi`}5E_B){wuW@RrjHIHqTE7~Hh?gmf(J4Bh6w$ZU_CgQ0>-2tp0anMw* z*2MEF+>$1&ElpK>tDF2nA`$!u~=cBfa-YRw6{ zl2ju`VgRL+yK5jL)+9JkVDPztP^MYAvEzB`NC*>N>WnP5KSn}7PK$aNp0e~P;3!q0 z4h4eO+iXmTNnU;fr5F-7iPYRxV~)I;3?@hejb|892pNfcxXq0VA3Ut}fcBh1LQ-+L zfV?O*lO|EEs|g>2b?#NdKpSk=R-)5r9_rCrQ0O93N2?sxH(D4mA?9H+&3a-XD?c)n zJ!Q76Ydx5>ypP+uu*Unhp;Lk*Vr+^I&VFPU{o1fT6mfd7_HJcV`Fm62Ug)uW!ZZO} z1TPF8!=!f5FZYjq#o8mzPGU$qyZeJt;Y~>^A5Q??SRWJ{?Om|*ug1|Jln_Y_GT{JW zTs%77KeJ-#=txl9#Fy$u4N?vBPfCjy&Lqqg@V~y|W)F|4@pODA_CmX`myz$tJhlt3 zrCWr^--mU5eWf+CZ@ptvDshVlvQ?_G$Xj1nn}ob3kkG3XLWm)mS+mvPa6lIJh=Qro z^@M{*h3P6y5q^GJ%%WfH0X+~+T>r=LhGPVZk1~Xdzq6AO0;G^rdcwVzK7!zR1tWSK87!^bLb8)cAl$?1EHCL36^*h6n^_H0K@ZNcX;O0o-xzZDN?Qq4-+48z zU!?22Pg^0NB|G$rt=;M)0u6injHjq#$zu=RO2iV5shrZ1DG`vOxuSuhdQsc#4Y(0T z(u{W#lU~ES1u_Wc_hp2lyEfkCfRbq=E5<>!Q{PSn?=fk-{$wN+)fvT~CVZQv;$9NN zIlL5x6l=5|APnouu2Ix5YA1+GE*->3Ox7Le>i|e_s~ZgtdV&Rw(X}%)#qK+9k56|P zBYjfaTSU5D#|fldbfx3LBPN`m+1fp7-hAoZ7`|2S;tQ zW#ms1&~jn)m~9yA_ygA5d2m{(%$CYr2SZmjZV&~w0uU*fXs&**EV!i8f%d8;hz4R1|(cQ$K!7!YZ1i-Neq z`+4KT5fuXtE83c%3Rx^zNh!jm+#KHpD;iBL$r zR2^dJ7`~)=k+`)v--g3&{=C`Cm)`kbAG;HTbLUy;9SZ%OPYO7@f9p!}>vZl#9<(I9 zL<2ROx{;knMkYW=Yolk}{FJ~>$jJjZ8U#&`hqo(hm~9NYH3IpIBcqATLmLj?5%dYZ zE{lP|m<8tE^_~A5B#IqfU!J3x{c+^M@$s=DXs-aYlnf~{0yE{}>^-r>DH&#w1Pep5 zNTmZcqH$xylT>VjZtMHims!+J5m<%53K|s$3l~u@XvcfXuWF~o{F9U#9JMz|fVgCD z)GUiFUpfCg_jE(9NEk!}rg7@N^rB-V6F2zJRLY&jx^Q&P{JCOnRIVZ*e}BD@YYyWv<@*S@yfxARU5u0g7E{E@J8Mi8;dGRS)I(y5*Wj+%O9ee^9D~AF&;cDp zvY$_-gNN8o*hSPP3Aub6%0ROz*^yVkTGi@ZTbGPduxqmn18=!{4@jHBy;w$NMor}z z3YgX989-GmP=rR95NO~R93qDq-ZYZePNf>Z-X+EGJBs9Cnfo@#30frmAR6F+H7 zNAL}%n+&nE`$Q?^>JWH!avn#_-C~F z|6P5CzcJ1V#)eLszv?qEfB4rdOn+5QU}mHLpH@y_rKe~APgH95zuC(F_bVrSIM*zH zywv|^$|d~yKL2CA1O`@m_W!bG*1RKOcNRWjz7*^vn}3C z%mEwt73Z~s+jKuZZ?8Zdd5x#y70{1`ux&}U#fBwiG|Ax4|22XouvB|gg+>xlSSx1(Qybl?Wz}i%0zIk%zB|CcYjFFz9I}0Oray0sW_f*g zZs+MrchPz>|2B0d5mBpt`aPT0hwJ$qrPq?CaspA)I*SahosDGD1RPEECp4VP4h|qr zqsfjQ-M-nYy5 ztc7fPYHULsH>k;FLykbS(y&U-JgO4vTF%*o_BCb3nuAtCl0_$;_tDZz>Nrdm>S|5F z;@Gp%1ubnyT1#T}&i=GNl|Jv%(%Ck*XYvDjXknQnKLRc<%F(KPW?Iu_3J8Q)gQ~Kv zLmhimJ*Oh%dHqKv+IO4xs!v=B*oUCa@!5iaA^RaS3~aB7BUrW)X;3h{Gt`x8ebm-H zOP!vBD2;5Y7toNIZeYr?xBi|=RjX?jbsXh9@@;2@jn$J11E1!4Q#^lqXI>n2>isad1)TB zV1CpJYzjxN0nX-0L@{h&#l$cIw_K)Y5Hza=&T;OynQo<~xtN=l1S|qV{RAVEEB(Ds z@23JHBwH?!+?7)(#Q-qGgLPfHZi3N za)JMZ0k(-kUTGMq*pUuaU9ca_V6 zKY;4DY_nJ3EH{hk>#?HdwodloxYC050jsN%r|MR1*X$tjIdyeET8@Z~L)v`(1cyFP|2G3mnEk@eQ~@+PBZiP=$C|)TbDFgqU7&YXyOFV%&FNauHK=IwL() zXqwmbjA&}s8`dyOb3g9d0^CW|X<{bFJKMDRUTt!!(X~ll;0B&@>&Yw;lG=~pG9MyQ zw)Hq_j^l8Et9qe{g=YwFfrea?P3+y(7h2NBgFR(Z$)l5UCn$_w;lc_khI}N+kxJd^+O%^R`f}D?D(-y&*W!`Lshh6Y7I0Y=)j*0|)Czzhza~ z@oSb>Uf6MH$Yn*#pvctFJL0vXGCkY(3hvz-nFE))Tf+y#0g--|_1B3yX7i+4&;UKjgYq74XdNdlV_zpU?JYy>r!dMfgm*&GkC$C z@$Ue-anPK{!0KWJBL52K;$bI!H5SRjdFgFZ-&N%zS2~|(5R^ehe&;wc%J#ekW=^Pl zSEfUNLyTI7%RO9sF@(GDqLkNGB+2DDJ|tS^QV?AtVXs6!wAokph3B1}b;z>=(Vi#S zVi5tm1|2z64&Yi+--pA6sYS8=2EWRAN4W(7GjtzO-Uc&pCu0ee|FFcWGV~5~*5)$L zNV|xOBIw%#wT*Pp)Rs?d$B){wzWo?ugXD#y)xyK;D*-vv)YNG!JX-=6s3*qpm~OHF)?3rtZ8ES%EKN(gM)^Q8DH^?vVv# z$YkHCF|og;;k-b(FfqS+)jl0=@H^btf}5|`5z;ykclu3gd&JXo2%Vn*cW> zH_q!ZRm+xM`+Bq*IqqvDAVN0;78P1BI_>Fn3FcROT< zF6%EUj@U0QmRs`Ku9G5B*acM2**2X+jR2^W8wk7!$tfga;;OcL_01DyvskPqXE0;Y2X1OyU_vjXQ(OWio;In@qFVOy zj0rp{(dAZlkSf^S2iR`vGs^0w4P+a#kg^i<6U#2~<<(PerQ-BuKb}?cA2h+Gj&pVh ze>imIc%At~rIjMZmx}H}x1Akp*k@Sq0tdZgP`t6>8ej}I{+7%h0!IRV0g%mp zgpgkl2+RVDayRG-6PtLfSA@t{2unD=JRS{HT#!H<_t*R2_cE{>a@Y^=#ghgrv?0PkWdALeTPN##y-ckAkujDPY+V;_bJ zF@Axv zAr9##r$jfRfUd%!!%J`#q~J`oa71n!WjAc4FuP^Y4CUQx3a%oeo!GTeu^yX_FkQ}# zsu^=(_IqZPCvDVVea$Pd&AVoEP%D32Q_QD#lP(R99Wwu)nY#2t&P24c7ayf-*sw!E zjBxD1(bQPNh;5;30{XiSo=ZQkGM?P>ZHr;cB^b38mA1BK>AL50U_i-L1S#BVgzPC$ zRk~^h$~?2>+D+beAd|^c*`y%^%VTTM-uu4vU?VMU+zdyX0#7Bz;22+TT2%@*Tse+K zABN1N1z0hoHgh+eXeCp`IU#g#baE?17@7wdWaUFy+WQ@XFN4C!3_4`E>Ts z3upl^bg0SjVWa9J?B*LpjUQizPEMhDQ;>N87X84Se16p7dO3iQ8Hrjto4~Zd-Akx= z-L!VWXrLb5_L9>WVBOtQ`MxGH2bu4h7Y9M9sMGdHDa&N{(oatf1z@K!(}^#!O9dII zQgC+Nhex|<=T2%}N6jU^Q zk61!S`zUR<|7jH?e9RDM7PBGg`3LJpSG!gE$!pHulxn%abZcjoRcG}IfWtFHax&tg z+r+of;_}{R5ssSdt^4je!OJUbt%N$TOb+OH7fQqorgHLZhLFp-%>{aS!4BYgByu`N zK>^FH{r(dQO!bD?kHATW6_zTst@vmEDjI5QQZ;p>H ztUpG%1j*hXfY^QI5y4DjbA@W{phh2l=#>|vvnhVTT+eK#AvtP(wJz(DNP2M-5YdS; zlMP(A-stRdcfH<1yfwl6=8EPXW;l_|n4>AQ7&7q=Z^&KW!{JKv>V zO}?EsJqh&ieeJwyYf-djG-^}7?2T3Z0$^_D$G5E`;j?cTT37&5{$K(7#7nKr9`Fjd zbwP!BXTWge50YB30C7OoG*1k8e3QW8Dn1zbhJ6i$LvVIs^cW_q&~=8Nj$YX^pia{f z5@%9Bg%;Gyh0oFN;W1G|0u2+D*#i@$;>H$QCvWM8=qlCfL z=&)yRuzkK)^m~o>AAd#3tm9<#NZj?8VRnbhrf? zIjncJ%-L8R3#f;%giAjDT?|SV>urw_8w&<7S1(j6jF`@G;kDKdOpU;obdVwNrzAoQ zo`7MbzZwNxPhzHA>9iv^hAMab6%sp$QPdoS3SW?e4(-0&c{9jYeKxQ`qI$yCH7vB2NZ zpvtX^S3B%h*cUxKiN&P6tBuO;hV~rCHg95{GN}v=@Gwf$JLbV7!E~36wq(F$8Cs;l z46Tc7WlW$CG**+oUR=oIU90ja`B(s9lo)z)PPtA z-Xrw(LnUqsis+*x9d$34dqArBfs3VDjL7X?n~?;%rodvR2&?n6{Up{>hgbvydO^~n zR&ym=x|+2ZdKKs+0DxL=lB+&YNeu`A_d~HW|2jH7`>&yxnc46d=>F&9urSj9r9|gsO)Niq5a=5IkB)5#TgT`hj%}CfhhrPN_o6RO znLPHVW4qb<;n=$Ua%^$`-LY-=-s!i@|HH9OauyqXOHH0xRQdwYoOe_L#wLTvn!djh8$WcemS;I6qdYMyq(XhlwR((x>T&{k~yD|n!6Y)3oAhg znVX!Tg0i%kP70-lkOU91H?qS^-0lNVRe0Z?Ul2;4FIP}rW|vFbE4{e7rfbQD7Huas zzRcy^>|jw6^K^PXpBx7s(y;PF}mckZKl1ZI+QR8ILjQ_XVveu_2Z6)Ge`%T#dTi3`(-| zPU{=RZ!!t@?oTnu^+Li`8kap2^=kw#y%mZJ6m`wAiIk!OClBcnzDGYW=CHkUqsdl*~LTdUIl(V_F^^ zKf^#A(Y*5_L!lZ=U;C;kXnM$(M_nF@36GT(iH6jeEH~s;-+dF-G>1}_Piz{Rz~MLX z=8Zah^K&x#?}aJ4+FH?wzbIR#m#4?iDDhqL-A^N%l3?ctK}ml{*$#l-+a9ho1iM$U zIEpwlRGjOHQBGi#gsc#V-HRbwbTHnEDUH*~frLDjhZ(*%S-1TFZAUdyvSu1{pOYPYKg&Mv z>g$#XYZ_Z-FB~rkfxNZ`&;1G7A{34N1=?09{lsWO85h;W-3@4jYIRBI`AH zbZXL@S#I23Y)OIdF4@s&*z?&`i=T^o?0rp%GH@rSHQ1l#iyJ?`T_Bog*(pFJf_9pBgQq1x8f-K-=Ldnr9C-~(LKuqdQa9LOGR#`Tg8?8}NA@lHZC=){kqLE4nZDxAQfgqyAO_(Oh z5AE4U$7ATEJzD<*k7EeY1 z2-DGUSO&`G||he1}))ij)MVV$|X|Vb@&m z&xoNh1_3u)%J&K}t_?7^7Es0DaE(;xm!t+fch#|ThgpIkfkFQmd(rI_4z*PZgCCxD zN-r75r9Fi&dt5HjyFCTG@g|)wBI|olWOpya|kT1lay)_c3h5WHwOGi9()<8y}il;^QY% z`buC{6$tAUH0_oU{w5~T;XQo>Xedwhi&yULI#QlF^-`HNOgofX)r2`p&Ki=0Xw%OW zEW)Jn8tJ6tjCeUKcGNZkeo3tq@D#(Cbhfx0`D~UCYdJI9jAK@e$HGIc0$myyn6XIR zxx9uL@p2YTa_F2-XH#50EZ?qlcKM~&OnBV5iITlyWL(0rPsO#!r zWhs1n%SuTi5B&(;+DKN74J3h#&Z%)ENI8TF=s-tNOESf6;?wg>v_($Q3w~DJP)!s8 zv@~Z^Af7Y4)8kZMHplok(N^jb*zfb<`{{EOF!=a2GoG-}i%<=JArK-zU*(%Ru+71I zT`;^WT;5D#{$j+RqHV3Ut30alU!rXeBGTt?zPP10ZD0F?)fhZRU9?Uu)j_le#gwCI zPSo=ViORpteht5>M@x(EWG`83thW%AM?T>zrPNy^%>Ozj3m%^TSex*Yc`~V()v_P% zDl}08=;CCI)ZN8g14$Ogm8pl)JwlIl;AK`aSRknPl+(i1W4XUn2_8`?Bp4Zfk$Heg z&#}I@LrV8Dn$UF~FY~@*~HVg%n2yn*)zk*7k zkS46`2xG*Tsv=4_kmV-j+-n%t$U*=`z3LnB>aEIff4Q{f7E(<@hHL(*JIxS-x^YmQ zvxG9+R}92d5`?J%_g3~jw+%9Wuho8ur}zO)Od^j=flu!?wrFQ`P^RY&7ubo#bX8%eaL#T(Y53{&1sh_$|~X@0`6#M zVL3tNO@KJ>H^RtNGqA9mMB(R(m`M24t`@VH$ zE$Jy(%?PkCa~mnP>+VnruU^eSml`v;P1iZolk(vl49DHS=KjEzMux)LuD;ox(x+bV zxuG6gotXK4z@NgX*4Ap`Bzz>f2)Rc1Za<{bM7OAfxeViSU41V{v-s7m3|wQHjJJn@ zoiA?^?fsHcj;Jt`f2NKru_PBhbAC48X(3^7rvrP7 ziIx+655SJ#Yi4HXsjLa z@M1${^QRl8x8v3sWDNTs<%u$1ZcbMHc)P@25ZzEQ@bbojk2>NWUywgHk==l?oUTd` z$R9s~pp?Y9sYOC44xL<}JBKIeV?LGdZ ze{3AVZ5iwzqTLCTPuIj!AE1klNtsL%gzr?j6|-S?P;QSZ3Wqg^qMaYg9XOQxP@?MF zmxOMSKvO{;c**8>UyBoe=Zc*xMf#cPhf1F>gJD!l3 zqskmcfM_(z=Zg*y|11(VT0f@JE{DBP?0hrtec<53MmH;1E^hWu#wwK0h*#awvsxQe zF^HD_I9CdldgmpH6FARg;WN1GelS6N#92@W!Rn9HTIWWc%88jdY-s{Z9};dHCON)x zBjqVFH61AqV$tsA_5;w4L39tiX*S!XnDZEmt&}3>1cp=HB{Iw`u?^Cy%HfJ@2zi5M z({Uld=>B9TQxa5edp%H4ZF=CiQIU8jI@qBdpr@-1`k`(>ntug*X-snrSQ~lXG@A}f z8fxIl&f8Iiq!^>Gec(a;&d-DKiBbb!|8M$wgp@vPQwu2?ck!oIhGbQ9r$M%v1Mrb0 zJH{l!QfWd7T1(az#MSz6+NCX415P+V4pk~71}Uq?v`dW`I~~B^>l~lb0vH9-LW)>t zw8}+AoqX_aWRk(vFXk0p-4X?7{b{Wa>(9J?nv&EEc^|Sg_|xtOeC|EvD@5;S&`(a5 zk%RX1*IUjjpg*j^#J9A|17NW${A>enkl5(&4Nf~=|3#3gP>tf&H${tu*Nz0gay_Vt z4j+*Wnq|E3SV62q_XoO>I^$OV7EZa5CQAtqYX)sHR0wSTx2~JaHBP%Oyv9^*FnHI2 zNZ@F@P7B0BCijDLib9&RsReg&3CLlB;Ch^1`(yy)coS0v%vv>OP-0IY6@D@wkLwXOw5!A;CZ){+Zhv75u-6F9P zYIoC<)go|-(e!LpdVfgbp8SlQ62A9lu6Hy=k_b_O9i_t zqGFqPvI*ce_lZ#pu$Tu8U`aEilYg!@AL_KV4}`MGl|@9;`n0i-*md799!NBZSM(DN zNo_e|$>nBUy$gG|LUhduP;1IdQ1ps~&dp?q$oC(@#-4R{BXg}VT%TRJv|H@-U z2Z-hD@F|m`BAELC@*>XP#2I1=c$GOBd`^1A&--gffnTYYSzU-o9CZ9RZhMJ% z3g*jTc}ek16zL>G*3DtMzX5`wWg+bm)p?Ev(>D*~`E9g2!dFRL(uj72dG3PtWA=ST zQt7s%WD+uS<_utgA_M8-3Vc%svXR8*d^Pd)`rP$n;q>WqMdsRFd0@oSf!MUae zvHmbo@{N?zU$I{3s<0ixTh!&rRyejV5rInybaQH)!wt}98jk48zZ-IJx8orxi^rH- zHCng3xD5jvB~VI6bqj&I=WyX60cBmW`6Vg_s-h?>+L$baf}>C&T9@*X1P4G{G}?aw zIAkF{ELS@S>YZp>3Gmh@I9hPe6IZ|S^vCVOJ#aTzc_zqbEb3TVLl(tQAftX-JCHUi z1a3l?5*%j9z2G8dkf_}0{-oB-2O;QE4Hws zaJMdI!!tMh%gY6C*XwPZl)N(*(UnjNQFsZ-Uhlmu6z2yk>jgb~tV-!HN`wA(D}Xw5 zFAMfw3y}P7U~X0d`-DZ=d3JVKOw%S>!MJgk!SiOkXa98f(J`(Xoq0OT)-Zm-lG5Gzeeby1kdyJ&BN;nYX3@rdT0 z#a=^)NiIL!_43IphL81(laDE$1Lq{B7RIKR7#g;Xrs`Vy<{BkU)4t`Grr>f5>W%d!oB5 z6^9gGP=Mz5^?yLQ!5Qzxg3i}@@)cfrVl=z)#UR=AbJAJ5S^ z$*;>qW{gmhxmxTI(Vodeur(7tMHMBfsfnA7Kd6cSFZSLkN|dHu&@9`o+GX3eja{~P z*|u%lwr$(CZCkznuh;bXFHX;#>7JRZxr(*&BHuS6BO`Lu(Kc zgk6C3WbhNd`rUai!v;(NwP$HcMHp`_JBf_;hH=oi7nTMs?*p^Da>?&U{qL*Y<>eSu zIJ%VLL?^p{#djWosHipq(A3}*tJldKxMA4qs)99R&ZFh#5*SOUsxkE=GvZ!EV!vmX##AK-t+_JoE~Hpb) z`v&Z{agAOobxWJ|KSJ9e!-*PIkXP@j_B(R7vx+q z7>s!CVGBQ&}-BYck&sVll`;ut6xB&@4tq>Kp_AoQ|o8Je_FxMTjALi^h z$Z5X@WznAPQwpB-lXnJ8(?v&A-xqi1%(Le*$8gM~G4RTar;tCVlJV?s3(k;yqER&8 z=}vGE%btIz_f1(%leE>zgV*cDWugf^|LhrX2a{9M&2)ymg7o}!9~2H#6U{07;dh}m zpzuiussq(45@YL(KM-*=KIjQOaZkHRp&~l0n5gW{ypQ1WXS!zTUeIQ$;hW_9A3|Hc zE$SZB%n8EdirXO~LwbJ4tMxn7UHc}!kx8e<-Vt)IqY>zzeztKB_#Nd+MZF<;VJy-&_9@+9v!j32krn{t?>h z{+rPDDgQr&wn5gMd+L949u=_1-HMkb^D#V0FnOo)FVr-w+F8&0OfS!;JGbT()79oa z#2AT96s9=AJzvGplmVO+6}`B@Ld;Lg&03>CJO6Pqjv+9$t(;<~;OO32$l~H|X8gmV zlQCWTGU|^7Typ{I5bt=s+F#tiG1IH2zD-zoi&j^JEM>B0t4?i5gL4v{RGDct_zm$< zJ!A7%Xgl{;XnVufl0)+x9h>894isyWm4D=1u&EvArByqIQkTjpXzwrnSKupyL2cPI zR#iO&!J^{fS}*Oe7FQj7N$9usXM>4|FG>c}nDVQPFZknZiHCTRwyTv)^TJ-CFN|z+ zxf`$jltE%jWBjJ>x@jdsJFdH`;&z3yYGMtRV?L-cJ7d0w*uk*B{SBQh@^?ghNsO>l zNcpYB%b`&vh>NTFh%`e(_={cQ!}G=*rnGmDyB;+63Qj%F85SwUaEBj$M4g+mTFEY z(Qbm}D5{nrZq9k^+XO^HKQ_I z%SORK048Tt_dC&;yQY@S8`_;HyJ4+L$*!ry(j-Xy5TR;vO$E*YI^H>sfi--I5U&VM zM$oEvKi^tF-UNVKx@!LNFUtf(RZw)(>rt_Nt}cdJ+05K(6Xr1NE|~;#yb1*)B=P9xP)*0vPfj zT8L1~y;qufnj~yO$NdXO8aBEfp*Y#rX>tn$8BRebP#huz0qyuOEQtu1d2~3VwYW;R zYe0V4^)Rd(1;me7<4T;_b$_gWWZb~{7myBnW|%pPJhO@hRss|s5@qZq>~P)Q_?=7r zQ*PT_%;5<1*~;XUZ0?qF4E2& z_i%rrgI)D&9ND4qQ=J76cR^4Ou6B=1$H6Me8S&KB@m9wEbDX zGSJhULB3|j*wmwL^iVIWvX&Pw27&%$jpt4CKd4oZ=a0(LvJDAtb&hI4=f=le z*xt4ajq08x04_%kx!i?vhx$YqUSIbT9BbF*z^<%X*qPb?7IJmkQ-;zWSHJb* zy8dPwHPvPd5|7jL3`*sRr{)9Q7U{<{v+`YDctpX2c4K7FM%|7`TyYTIqh;1}p3tbX z=GZM9^N}_V!TEj=RChd7_}MfhohL}-<;C>o<1~mLG$`Cel5F)%vBs68bM@TV@%A)) zmiRnX8W;RZCMq^vm}7&ku}h4(g1BtucHq!{VawEH=R@l>^dp51F$4F<3kZjmxF(1g zc@8#!wa5}VvEKi97a8eMF{TbOd5#8kf{siC+1`OIMN21`t#{4mx-z*PKCbLL`Z;|8C^^0hBk>eSP9)kQHAu|-a9%azL?Zhw!b|C&9u?yIl68&f%cIV zYGo}hF)!sn^^l5KaX|=xlPsZ;bNa`uCVhK2ir9Un#`I7ajOg!C{+X^d3FF#6FwDrH z!`MUoiN;A`q%L9>Cb0X12OZ_O`PDJ7j^1_f@Tu$bch4uv(jvIpfX(`Yao zA>HzuHUYQd018zo;1><1NW~aO`t7)dfHanX_56!~X(?0>?Cl3FO*M0}a_OE6;tV2K z#-dN7THvtzhj56Izy-)YcIt-`g>X4iv8+a~T*M@EP$p%K53b-t@H?0oV2M)S9m`Cc` z97^(duCbTQ;96j-s0z4P2!Zp7P)vyIn}FB~-GQffuI-40x1QNvzR$Ty*du5&)+gzGcUcgfe$V9!aw&<;$ zNr$Y8t5T+yl+0oj-CIAVtahwb(}^*-p>ep=MzDDqvXYI?W^iW)_l%xa9xE=ApTR7T}7f5v!285(_MSpAZU_<2fKF#oC3f48S zYDcm!&Ut03G}NG%Hs1s(Q?RP^OQfrGg%VV^1>VN;Sf9+B3V0nem( zdTqmhqqpY*Em{l0r;L4o;_KSlhn7uK0A|9^klnhgisgdSq9}%cer}_bbLVr(K!yMO zEmJQ;^zv4|bt(M0PH0tsFi16VHm)L< z+L&c#E>cn?Cyo)_8Nb2&*#1*>yZs1rb!khFMIUZjW|5H}? zTC3zrD7GxrQJHI+|987vWXfc(3||v+$0KGv7L=c(|A48Xz=5GlWr3{-1cf?2{rSZ& zFOQHAnk_SQtGC!k(U0ALEL3g4iazJ{2_2VaE-&8553;YLYyHr|JAw73X0GiDq=jwd zOM+wZaGItNWYpC+>Gy!)+z*J`KUwUGm!%gzpp%m;%xwV6wvdxmyWD>2^;x}{B|n&{ z1D6Y%p6&K4a#({M$GCjlmU>f}&-I*)@{AHFE>%*NZTlPq;IGPn)hNAvo(zz@H?ht} z1}KMC4wi@c-b{os)^bqrhlZ6OZ3Wi5jOsFPd6Sd2wA>$sMfrh5{iT08q z5P&hj4}0|&a79MJf-ni6)hoAi zqBYf*EIJO1ZOli{k&#Y(C&_@UM3Frwf@5%+7tZzTU;1N!c*i1f$CMBWGrs!03LyyM ziFFQu--?w1&;#v_XA|N`GqmEfI#&zlYm=Zk);Hp9%{gXJkGWg25xBP^sqvJ)l3w+3 zRw{?0^YmEeS+)jc7qA+Zb9^ISyy1ui45_lMDtC>E<;Mf*GZS@LO(6Dp-XHj>9!{5c zPpi`q!#v!tbV(=lB*rNDT1L|L!>ecP*JjdAXdd`SQ9a%BYKaI;krP&=iFuyq19c$R zP$Kx%mNHfx6|mm+-^Ebxqi8!#4t(T(;`#VP2(i-VwAtXD(+F$hFPv>-ZV@hOKW6(k zmlnMMXx!ANwzDmIN~aCSMDOi)#5XX1xs>z-TkVv)S~)0$(D$zF5qj^#&f2kM;({*4 zylL?hgH&$+ZR z)S54gvl}>a~*N3GaE{c>`eb~@#+SO>jP9pgTxouT&G zXIYPTwhaEG7xi$&w@Nl%*3l)QY~3w+ucuzvsxoP7{ro-qJX=PXCm(1TdV^WUw&pAg z;!r=9dX!^1K3mgH)0Q3Mttir{Ps4L@CgI!`Lz9c7zCbDXrmUiEo;;N|8u>+Elv*pu zCP?$h3Sn4CTXYVT;nV*9^^U$_Iu<6$X%QO+mj0Qz)B^6y;U@Y4IFQBaZ=O^f#Hkl7`l?UoAxlRfbTwx2MFJ&ZJY`M5(Nn#hX2q39Vs%bNtI*! zE1b#bnzw!EqJ^z&1C|aB7mXu2v2?}Eh_41kjiR^a`JpU@>O_$(;^GMtgObK$IpgaQ zL+AnOs(9Bmo(ZM2GYQ@ZiW6MrIf5k3pRCGxJK5e=fqmx!uVRA8z_)`l3JQv zmsXlwn2_!}16AyIUZu89{yNg1_nlV;Bu&5dQ4JW9?4c72vwW42=epax?oW2q-QJt{ z&QEkS-NFhe^Ao^YEd~$OymB1=cCg+E-E12UYK7X;M(&!+87fdpuG!Bi<*~W#!!qqqKJH#?nCfp9Uw)Q~s!jJpP)QwAu$rP`z;|QbPp+ zCq2Qa^r?%9Bq078kLz*HzvSs@#~@D2AYGH$8$@t#>l|rSFA3>OZ6mZ0<;!dIU&h8Q_=&h> zdJ5_x+H>-EXvmNr!-ZS9BFZ@mzw^+v&-r{F^F6s0CXLi-^Ycq=H7JWDm+91>?;Rgd zq1F9{;NGvCNwSMN2#R}Yj|p5N{8?K)9vhCFi&oou*knsausZxpQf>l@*M ze(HUPA5@}u*a#bynhL9QzRe&{sJwW)b#>Ke=3tOf!ZTfADi<@>W)%rUsx*fnFStA!T=wxyI>j+D9?_>OS_)q}=XbsvS?mil z_V|9eONQ|Z@$ZmU&4J(w)Z*?g&Y&_QB(`&Gfg+@Vb#W`7J)VB!j$N+0r&1!m`F7>E zOVlGn`|5R)wyKa;ce;qY0#%#63+keM3bTX5xePcqdA^BL?^zy@m@UXICh`_8%@^Qi zZTJEFyD&to6Lxj6qU_8zVr5^F^_2KzX-H3%GiE6f#w##}xJiN98J{h~_{XM#@wWEn_7* zRn#q~sCbgj;prr|YVC#U(Gev0c>xnV_O!_Z;g$_m15(W!6z!OFT`3NS+N1S*B{ClR z1(pU%PH4H` zsC61JJc}QJKq+h6WVPmP6mYzvL8d1O4c*!&MEs0xec+9S$ecOE=~lOhC=3O1+9jNL zg$}>pb!hbQ#!rER3;W1WB(!gkQwz;ZgY;e)B6$^j>$C}f3~vHlLkE(wOh5WWlBzL1 zdujRJXBDh(^NbWEyJ8Tmu)Ro0PNq!|b1OiR>?RsDUhXZgc=U%-)obS8FvBV z@n3km0oJU6QHNgpj6h?I!a1?40h*dHhRKI zoe0htT>FJHF+4Knn>`VWQ-JkX&HzCkq9x0aGfqQUIj_yU1?ntZ1(o5Kg&8(iW?NA%}QS?n(muR=^VrJ*!_8Cr2((qtP5 zt^?76rPR+)X^l>BzJq649)5ECx+NI~dU8Em(c}uW6kaL8agoM z2WjgwTu<5b$Sw9d$1eP$L}LrM@`iQHy73Vy3x?{S!arwo!mZyJ+8zL3b-x8@ll(yu zB~baa0%IS9!{s-;+;J@Z!%;$x}Ra69R5NO6&@R$X25>kUv zDx>QV3z!Pe#f&8?d&G5m1xGulm-6PyQiln$ul)f#SMpw*24>kHuj)+N;V5rS(PJCo%_dOr+QvC0mmy6 z>S8)17^2R_&bR0#AYx5U2nB(lun#l{i;9C9O|7b4r~%^~4Ga&sM%i19I?|gY)L0-n zmHky(-)8C*I6bNLERY$dyd-wHxG$tks{3W^(wg9fm%82!YyZ!!J(efZEKV>xb^TBj zZ?iBtxPR7nmOB_VA?d)2?NA9Yp@&%fYuj3 zsHiQ$Jb*F&Je*yUBn{1oxP?63!{59g>~*e23+|Gp$#PTnTGWhz^62CtlakU)<{Xu+ z^c$hVEllPbd1Zlb*bN~ugVS7Jv=$V~A`^d?Scs&s?>4Ro4T(m~3))#e%6S;pml*$W zD54hba3+qTCwXRQ{53xF=<=9!ff=Hi&O4q{l0q_7>*R<_1OGtaHXJj0inC*ThmR)y zDVrYQ>C3-Ad?5OM%dbg9g)+^aI0#Nf3q#ky8`m z68mRcPfP>N>j;Ci&HZc_F?N+8yxwTfpD?{06$*#aVJxuYxg&zW<+=_yWjy|uxf!NRfI&K|lpwIC8 z7OA5P162QIwR}Vhjm9ktr&!b*@Fx#O!xTZB|8)q*>Fe1ua2I?Q6V6EI17^C&tLuqf zo5%i?yMLQvXq+`sWqJsc+g;q zafU9}So2rFsiQOI$$)tgT&RPYCb1Ke$i}K-k%~Me$E53Ies%#_`?{7$wRXNg8H#!7 zc;V~n`nc1*+3x;$@tFVm{vNpg$QXE$bH35J;k|vhIOy=X-0s}@`m*`ED_wab^!+R# zM>|NwedcwSc0PR0F^ysh$`3j*8Bf#(Xt@WV&*Z$G9Uoa~Vxk!*!4?*#jY^W6+bJ&1 z|DfstFzlJg{O4@ozxk~G&)7hQe-vT(3=IEb2^Idf|FDAEbpI&}_zySu?<^q0KUe;5 zuz(EzAc+4d3;0ha|3 zxc?T1k)DzE?+`LGvi+-J`YST~i()b}vD32sS7UL|v;EIv{cEKEd#wNW$vKw4LbHFS z!v8};vw!B*e^37ZAm`{=8JYgC$+`buX!gG;G_!a5n85sTvXI(;OHa;(r59ZBeT})H z(fYc*UEqtsn^JTd3(273Nr3n1;p+B!cz!(?X@S2{8O&fxPA&^GWK3zSFD!R6h@EF# z|LxmY>D>6FC}jzj)8o4O5YN&wu7GMczwthB49#b^EG}Z-pliCU`xInBCX?LqKaC;=k5Kr(UHcw<(ui9X}npFua;;j>cv-L!ZtdeJ6X04g;Y) zWSE!yGMhR9+UO%ZsD^9@yZVGy$2W6RoaepruEt~E!vK>${KFho{$}5Yk<35;K94dh zYSWyGi}i_Z^6+tb{!(X>SfswQpMN?MZO3aDwul{zR$N?CB|ScyF3-}h9DA~!f@Db- zu*m2D+IOZT4q93$i-vZeK*lA2Q`)X%*jTiDj=7VbrB_wF?i@)fW#+q9;U*N6Hb>eB zbs&s8yUs4$$W*bu!^(BXY~yVxJ(lxeLF?q^WQDKrt>kQ|BEwSX(#Lut z%H5%)Qe5mcIF)gUH<+n#`8QkTq%bDtJLN&Rt88j_FfDmkFs}s`mKfG`=g&OL4|*co zg6f82{W)$2n2bb(VWak!n+kFFt|`mmgpMu6NT*Pl>0OI<&bI6o@!8|qV`g&|8(&gD z^lhii^=(mV4%s}M8A*lgqSL@)0-P4FL*%tc=5>^Fqe}TUx2#F@HF_j+Y_1i5gPS6t z0$pKV{`#Bmd|OI`Z`8JuWhOwKgqnbknq4MutA5z7H`D|(=+Ptx$9gi> z?72^BJ7X#+xTi7YJd~oxOzv0b@K}23d{a>Gy1)X747UpGbQ$jj?J3ZSf*`x7hD~KA z?bMV4$~NgU_8GRqpj~)xbRpRba}w^Ts%&0spDEAKafL^xCA0OR?61G)q%ZC8$#w0Q zb3al2Bx485L{1BnqYluJJ}0YmX6L1H)j6~+@NoJ6`~tBpj_MAy$y@*(NzCpBK)b5i z$PPi(gqCHZ{}7CAZ=#~qh#hK=lVzq6q=c$dBS*=tMu_qDukwBzoc( zjFK35ag}Rod#^vTZgaNKC zTdvLMgdnI=BI#fzyK*kO2ri5ZP_Df#r&Iy1Sh02X@CSQ5cFqF8EH@%_A$zJ@%5^G@ zOVFYYY8E-0K~$3zYm4i5Bkr(CFbplsCd+042N;U;3Qj5r8ARimD@+ytHV?4^LEYKn z$cu5A-mj>o5O4g9&G`*1b7EqJk3=(P^97imD zH*K1my&J*9QiGutAR%K^kVcef=rDRntK8NLgJ-4KNwr3%^KnN}*;7R*L~9gEV$Tf_ zGvaD=j+3bpL57)XhWXg z{HnzAGnbBd7Ot_z8aYT5do>6}+C}M2yg4e;NZn;|XL}SFQ?{N-EXiHJQBuNIUL=7G z?mXv02HuCuDn9E(J8Tc7;2=w}Cw|2YChNN;-V&Lc>CWcNRS$P-m%-VHy4NewxmD@q zn4b5*Wv;0zOqn>15Xmw-S{e)6takLuBAi-3mF{fhc3q82z^JPDAfKT~+oFlM%-9iG zHn|a7Xc%CrFS$8#(HGXaQ$adIxQ<}`GVKalSJ$;(Tt2@q305YTeca5VjRaZ!7}or= zv=Ud9yx!UN(OitZcZqE?ne1awhb_DJ+fp#S4k3m|;&Yz%a(Asy6Ti&BlT%$P&|b*U%?yb+t5 z6xYAJnKegzED|PD9G!}X-L|w$ieb2woaf)S5AGk&uCwnNRy3Vul10d9(R1qJHPWfyy^bW1NMtcd>IIBQXq}8Px^FeGRwUjO;JaPDrj7vn)7JHFuV7M-$2=Rje8nmE`TuZ;m!A7APtx zpt-NH!m|kPVU!i4B;A6>{4s~ba5-2KCbTljjld@v_8~1q-34x7$gf(row_XdrCCnP zacrLDvr09C?>6{)=d&fLsh8bKWQeo9kH~p#0LCI*B=<|YdAk5gR?xeah;eM$X8##M zBy`WojL`71EhPS)(Kr*lb7wBF{**FEHRBmm!=lPF z8fr>}WA2H#h@LCpZ;;R4@}Esc?XbkBJ0 z#$Et#eo(cS*a&f+BTdTl;rUQK0!o#Ks+fQ9gCv7Z#9$I}kIKJGQD}hF*FB?FR+$r3 zkQ@u^mynqDxndDIBHyrUaK~J+)kp^;sszT##Rsv5mPENn!r|*L55~>{1(pxEU>8Lt z80?_p+s2iuUg67w)@S>PEV?vCO{_4j_yj9 zh-%eh$5Coa)q>J4N|CJ)e%@3rUr*$~Co;$r-5v+x5NJqFvU+C|JGLn5z_ zE?ELF8k$@x+{Q5s3t$JIOd+5qX2wtDmKQ4Q!p~nBNZHQmg_54x46om$9J1v3Cf@d} zFMnOb%4hbtfHvNr0~rey2y-Q;Jek)ryzSpTD<$;-(bY?WB#vDT1J2X z8PNIx_4Sx_jGPCobw}B>iDb2Z0C8)$7^cyD&g}|;s>2`V&p_8ek+Xk=TE+T2`OIcp zY4txLyzfCDNP|9ZIG(dax`6JYu{Tdxci)d>TqaCbR5t{5FNH^a?6;CEts98=3hb#+axaY@{SJ3uBx)LMeyUCD zq)GdEHE31i7{?7bH=3T@m9rET)t=be7cG=7u4^I%fSes-g2|1rN5r&-O>>Wh!=#yb3m zRp)zVeAd)WYawulpcKbjKf<6N>m&xPG2}STI!0hZ%fvjS8$YI)#tX%+W>r%xwUH<5 zG$4$V(W7Ue_jU#UIj$e8Uio*&&LC}7U_XrVEH2Wocb_R&;$+k&EIfFbAt3#HuOM@U zU(zJ(oNUZi0EY5DrKMjgi)|#UEXt_JBx~FG+9*pWb%w0fmqJUPPTfw)UlwHY1-=2J zc|>kNSR|+`?D4XYzGf8yb4>ID;?#5HqoQW)-myQ5dw0Fs%~pMIWoDMJJPpL!I>|SZ z=;du-C=sUFf}X{zS1%Eh*Or5(ufFx!U82qPW#M)8!lB|i>l2P-xmNo{Z38P?h=lKy zhme-La5L(t&MEnib4SWMiU!l;HW^$0xfZp4>%AS)kt ztJ=_?dLj@rZlKDHq#Jp;6vXzRS86kIvc92#UjDR~GSHTl|=;BU5Sv`UO-!;3=9 zE>&ttV$ehU7PM~6PT$q~gV2Y2eccRaIL1UbK_gKDv@+e63DBt^-T0s=VPd>NW+7b^?lvqS|D<#;e?RjHJXwxE9f#%wp`A7?}cT&pqPvOX9eFO;Ui&s zx~mVf*X4IwnC%U?@V8Pm9tV*2Z96k;$U9V34eOZAZM!h3CAy6jYOrwnd3lI33O*tE}0d|YPDU8<&O?G_)lv7XfuuH79Zunmh}`H z-SRp3w)_@}JZ6S}4p1#M8!i%Asz3(l`*bCa8@sdtJv&Tc{2((-Wn>Cd2j;hjKEn1c zbn0$Wo6ep&8~wic&Y@Kv5yXk;uh<!unpwJtLqX z{hLoOxG_bZ-7#E!qMY^Z>`5RYIqdNnTr7KNbhDrve^O;PGYstD-2QCok z(SU@5+|)xGQFAo&qVs?ox=oZA^?jFrd}M-`USuh7;_J58LbGgT+63t zo72Ve1Wvb67wPRIqmO=}S)C?~XY!E(Pn3n7PjBsINE-KPTSi(%`WC`OK;!`M@7DRd z#{4%u0nZ~UUu|rcn%~#0@00k~Tr^9Xx$Zn=(HLNBqv1`4JB6R5*LoELyhPHL0xhgx zrk&7uicqRUtkC+RWtXt{8WwUC6gE{g>aG2{_8* zmn5||1@<(mhJ}!@+<9x?%0}Q^Rz=$Sazs#aVpZoWc2vAedApJ*;eNOyGme;B_fG2b zS?}dvP-y7fItHA4>;bx!xe-?5qR7%<12|L{U{u>{tl)+Om%A5i-#pJ((x;Y{2vU?0 zwSTY@P#*dd07v3jBe6@XiyK+5ZBI2;vAAR;B!WC4U}dua;7xPt$uQxlkg)1jk&p*r zj2K*vnn(hJ_^Qck>I5gTor!q_ZI2>!D~I#x1QMIsibQ@ky2H5R>9W*njhgK{QsxD5 zryIqN(?+|}-qH z^>-*0+<~QNJP#z_2`FQL=4t603IQ*O_)9o|){QB%*2tAWTU#5zA4Sq@`u;-4N2JE| ztk_P*S4*t&dz=HFrEem1KKz9Ey@Qv-k4t^~k`e|<=J8@-T*uPcPscN~_x*YjL)YU) z%(NRIlbwS(-J(%8y*RGRS5{YFv|3D2-!t<-z25^__!CPPFZ?ege_#qXT)?b3%(Y-H~)s{gxx$DI6vI z38erZ>prlWb{OCW`Wms5#-gG0xAuf0#am^!O_ShTT^`Onlo`1AF>_2HUn}uMC0VH| z>w=VNW#g21dDq;vORHXmo{^oA(A%D1lg})+U@1ezqr*@Tztuf7Iswg_8f6!Rm6@2X zR#_UgRp($N;V0vq6q88}j*Ae3Y_+ua-fwraoIWZrB{s`u)oPSZ@F3nvLYPUFf_`ge z55sJvJci^WsM-!qAdwR7D;wW6#b<7iyukf0x;5ok%Z;#s$9(9^HeAyLE8vUDO*vtlpu8X+J4}mW?Ob5u2s(EP&(0M&(Z@yPLE+L zOWY_j95_)<=kqWPKlXtDkH|y3eg&%G{ZW4lpzX+S>U_^HeHWSK@WV(Jw+8}boD6Og zR`d$(8J@v=AwhM7lf4HYxq|C{l~bxV?RzxCKDzdbJ~8&ZEZP~Ro%QtPGA^$26- zpLiz-xE{L_FBU`?Hc|g%K%JJl$jPlwp%!&=FEkBA%^?O?$|YI$@U}#Vl3zU2YzbUi zsq#Ge46mn@zA1NoCiC?w@V0NcuF1n!0Om!tEF|M&;Lx5=B_TuwFXV0m$=?r@h`-y; zsVouGdWO&9mP$m$&^H028yOZDm&ZPo7^g#B84-Wy&1Z znwLUr$S}(u|30AHI;6g>#-X`lgeu63UVO{PWC+3A_0uAZ;y!jkMt~M3D9A8cUguZez*WvEQ2^cx@nxT=0!s;PcM$aX7qj6BR(aHwl)t(x;5w@+xwWg0(OWfR4Kq?F(jkqeb6GW(y@ZbC@xC(aunx$oRZD&k_40jm|wCp_Xz>3DC*>_9Weun zEMZZYLr~7YgnYPQK4@}VN_CskgmndnL46Pi_PQ0J2}j2u9{@es4G|QOZZ3jV=p)u$ zm)(Nxjaj6PdNW_Ld=?H{+!&Q&iLXDRrN+QOG4Y=f=yEkH9MlgQ-oCOEAu+3$&t@_1 z*l9KYC?4DJ5wYYP%duiQ>!>U|PbxJW&$yA$d7^({r~+KaKBV7cKUmd8&ZG2UT6Dw3 z=~$BF{Mj*CUf#mXGkrK#x}#|k8xnc%c1 zZ5g#r6!C98(zWFvgn8G-P2s8+A5HWA_$ptk@L2_@$V9TY%nO#ZNvB z1kLC9);?a850cM4pKh+k2Y=dj#zS?T6};}k^z$swjB~di}gN!5uRT~~k?o<}E{`*1-9;%1)KUdZ(9TO(dEr6R& z`e!LBxp7CMI+g-@wQt~iB&v+lntSo|CS@JtL%&p8r#>>edO)r(e#EkiZjZ9Emv-(2 z5IPUjid@?LCifXuJUy(|_h8Tu#6p_rEsDeRSP<+*sz5sm1#nLoD@`qZjqK=qA;s)w z3bHF8o?m|I4&WJv+vOcDe@<3DY%q9ueHtov3H2w($QCt_iX%Wp(I5l%ppZvi&!&ty1alJ z^}e*-#-V1P|MOOp`>AaAeznsRPTu3a8l&6ubE11(ly3@aAvjN}4{{TMNUkw6n-(kD zJAFgX((@ATyv&Y@yE>PN0KVz7N5Y){1R9t<(%X^N+*l6MP@f7(7X~_kQGU& zX$y9U?#fEA51KEEZKg>zyZ$t(LBoy z=C)n=qTP3|KUHl!m2?kGBKT)sOQUl>POu(p^_vR$$MG(jt&8?vbZzCEhK=7L_EF2; z1s%$3A`ACe=0ibz8EGgSCjdb@Ch3Ikn};Fs8{Hx<|qgUxAACl^-9X5gl06t)w>ip>mr^V0PlyefP& z8Yq=9DDsb*70%BoqOoSwC&2k|**n>4t^Wb;KD|}i)}Ruwv-rdFucbT^A82t64KBZ*BT-kbK$7l`5+E`>@U4o9JU?*=Vc5#oU?Gc zVWKyKBJQ>(gHVT#2aa~cGXqQ57V5D-cSEDOA*lg!EQ+LHS5&y;sctU~`CK3vc+8!8 zTDD-!D6Uw@6sxENPH1AE3P~!I8Ja8R$6<2L2?@j~C^7v;szH?~UpLtdY|x7R76B)j zwS&FQMWq(Z)4QeSJAd?o&lj6~)M}Eqo2RJTUus@wQ8_}f?_`Wq3MF;{H&N=iA?Q;< zY37hhkSIcx{5Qdp8H+<=%FL{U}DBs0xQ&Z>%4^8=xeiX2a~=D^O5nUNWOW1viZJ# zA^tb~aZDbmUE(Bcz-in@Ja`!oh49v>H6?==vn((O!498@Z9U(P=&epJN%;C&!=<5i z;2Ql-lLRiMx15ke3ht2_133>A5L!bVtae7zcT;sN39^}}CP)gd3oy+%a)JOAN;49A z+&_IEci6kC(f1tQWFXr#)lm65=A?Ll1oFL?P7zx_EC7Ftf48AYy#WDfWLAG)d2PRZ z!xZ&ac&Y7JWY}MLSG}OJc3EwW);uWQ$W{mGoPme6JevR#E+#BY|IF;?sMhciLTv8e znZx~>+KS|dMQnamZzUKGw86-4huj$|w!dkv%F!9wo)4ku+{xsVBIvhjvVfoAweN4p zl2ca1!55N1G^nwGw_d~j#2>*F=8yHL-W-px@;JYhhmqUx(p4;>X!D|>I)#uElu&0u zmE-Y((MFusX-h6BcPMG!S&X`1bH8~0zMyhhUz~{ANSev-DaEdJMl=$<#g1dnHWy0K;?_^SZVn@Wdq;C0QzGqnGP>1 z%A<5L91vGAezhm{9!J;Ft)(jHk`M1BAE7Kaab*{DGgy(q0v|MEspnXRKM%KQJPEW2 zs1Ke}=&JRmWUKAM(?ssUfT`XjhLLR7P;y_#My>sEdg*YG5K&@`W*8)h*bxK{ET8L( z%9(STl}$1hd|J-6;xFUhI}d?D19-+LmsRyViy=QB8j4-yS{UVLkvnxsXr;O!LLqpq zscth7LW5$_JQErsNdv|7M~@0u)+{7#ok7rP`ggMKsyzE-lJgC4^*#w!fXgXDPNXH@ zyzioLp(e&qEh(*GSIf==YN{gbK5l5+R_yOKwnJDMN?~!&Rl9bRWd1J5hD*yZH_pW9 zSs3~G&bpUeck2pN5^`Z6F7wltNzFO_$*o0?|Hj^31xK=FX`)t&F_mIwW@eUB%*@Qp z%*-sMm{QEl%*@Qp%q(4E$1@S5dUfsGojGHa@No7NnF~J3#xMn?VeaB{D?O28WxmU&7%dWJc4^2yleA?9=46dK_`L>z@sI865k_z~0 zL1NymPeOwI)+ZS}`b*e&`UmH0>H%~GAeH1KfMz*Ap#g=`PX$@`?$QU6MgrZM+=&de zC}gt}!7H4iSDsL_p{3=t$oQE*+LA38powIp+@3c?1)OeRa+S6%Uy z?|h;>KdM)Azu(@7azz&19^w4u?0T(aSvv;z1A{Q6rx-ZgTO!rRqaVTiDsxD#h*C{U zl{V9eg{8tGuW{oVmrp$vVH@)$4qB$eC^j^lgS>h{h|reLg1JR+Y^{rakrrqk|40WU zIAfxPPHGrfC70c#zQHlqbfBHrSuV@>X|=g*T$Pb}WW|GfzyUMBH~<9SovNQH_2Ts*~II^RBNLi&a(#zOFdIS`c53gRWb^}Z8M*5B7uV|Z?(stt*gz5t6gLhHn{J)3o8fuze_&PnvZ0&iZbH^KP(( z5vhSLsib8|p*wD)tcJeXUAn2$bKeDcQlkL?BA(}DfL{>^-ogefq$_kzL3BUC<=ssE zZ{5dmoQcUA&5{f1K=NitA%3S}r*S8mJ(i@Uk+Qn^adCeo6edHF)0{jL3%}(Sd`jaN zpF+L66`cYLs>bshmPQXPD=-x_;eN!LC>Vm;pl)c{x19zfX*RNW#ln1#p#f}CUJ$cY!mxTEZ zyKu;RRk;S| zd<}1XCn9nMWMR`T|H|ZJIFzh_nw?z=FTZ7+nt1H>70SYh!Zdwcv7YdmX0eMIi0E}z zFGdiSo0K2cHv&cNTo%JSCncHiQ^F^!DvW6fv+7}~1B7&#Td?{4ts~mCI3_qd5pGo5 z{6dKWwRTDbc+&_)Z-_Cbx_Ck{5(ed%h_`Xcd%52nd=qj4jWoIzY1*D{C8dk_m<1iW zl?hgpc6{QxX_iqz1R9(bEdJC2#&Bp_DtejH?4#%HetVUR#(VbDzF@UTsy5TUrld}5 zFU|}DB^M8?ad3_c+wsiZ?pZ3gMn{>ftmMdZSMvUN*x})f;KCEX<|%lvV~m6Xs(05Y zW(k*>2G@aB%u8pLhf@7rp1(2T3diGKgUX{l@}%f7X{k61-|P6Bzcsa%)KI!^=36bZ zy?9=<7}^KgQb7=_dGdUJH_(UF=g|LTPM2=JbQAUKQ6F`YaXhTP&I9mDS3Jv&9YZaE z#3IP_;?Kixi$KH^(Ps!@?~7^!o|yAI`QK>pNm+ZW3;t3CtB+dW$;1WD%==X4l(y+noz zMxQ`$(bTdqGP;!-*NiPtH{{#roj(4HHZ|LE5ZFf<+gwQWtUOwc5cVfnY;wZ0EEk@> zBQ}Ummn#Ej0D~?MwVZXxgNAiSEI5<{o~n=Z5W0-gFJn)ubPk>wiMjpEX)Fjk6ff{B zw8WopxH)MvQjL=@=Dyf=M>AMaH4U>Z;*JaQBLyNfj&i}V;Z*YOR7n&Za^l`98t>9R z-vEO5Ps0AwCS6yI)-d{{U(KYO^izMb@)vTkI?eSBWbDY0it6Pj~<=s!Qq4}UZP zy}lopR6e_0w(UD8E;@PSXrElqACsRZHF`liZ+Ktw;Ow59fph?4vb@fP_3esh%D3x7lZo!&asg-j09%E9D}}FE&-ZC6IrTI@R&_u?zgs+wCht zN|-Z`iSGSw=Oj)}3r?kGH%~_S$SC>XEd~`7dxw|($44$`KF+2J+3@xHsGQp*k(sO+q(&>8VcTWR z&xr_k3*XZ+gN3)b2-K@Bro{6A(@ToSNZVFA?6xU*YH9nQY-bA+)zPT(lnSsdRTT?% zy6c?{m}aGON=ERNjNzs(=$|cZilV9E3XT7yT!?%r7kQ0{JEWIvX~v*5xlx6z>ePgZ z_0i#CGMaPe$i=gUlgk;+b<6m13#o#J>RE|766JkL&8|dt^UM}IIX~e3QMu^<>d@5kO8SNKeNsy!9_C_ms@@#tR?G5N#GaY@dUuS_ zAIgPV$(M4$H0Ne~5?hq2n+^W`Q59~OHO$s8bM1p)SAKYM@R6oR?_VK$O0JmjUq-RRo9XsEc&c&oAYI^*iF9%7x*Vasgre zKPeaG1ac(JR+xnZXIzg^ZZ>HL9K!O&EN}pM?1xp}S3;NPV23kavu>|LHC;_s;shHY zJq=l5mp=$WReSRO6Xjy*Z^}gtde7=Jxoy{?lIM;|eJUh}NnJ(L7r#p-xSzQm#My;M z!Ilf*P~rMKGf+!2A?S<5Ar1zK7W}7j5y13^a#8)ITp&2LrE>bc@XliUq#l5YWdDrp=RL6DV&0JMYe_=LR>7(s=^ydyDt z1n)Z66FC734m5J?b~td@1|+yv9&=B@Oji!8F5RsNBB3!38gH)E!nY|+fJn%3=C_1h@U=TC+d`S!hUvJV z6?}ePIJG7|M?j;KC~B8nc&SP~T9)Qpnq6+TQWOB^nKJAxfrD$P)drE4HHe2Y{MGv^ zXGNfzyFEXQp=Gj^BRHrU*UA&i0!1n_#0R(~XDeM^eRxWOb;pJApj_ zN{5NT2g;{U93%-sof@HWqqS4d@f5;9J1`MvakY3VOtnjmAr4m`<*+WJbe8!A|D@+-$%V&r$hd01Z;o-m`Mtv8hp;1^kKA7>8wDz6crpaEKMY*8b%c6h z+v|)E13VZA==MEnhBx}V0V$Gh4kOc(!IV=nfvTsJnO#KZ#op3F*v_f7lEe<`4Vr_g ztPUHn3}Q0tzbhBudInh?+l?3jtp0e^M?4e=iPmU45U z+r)d6*7?!j2S%P9EH-$dpf8XYky(R<@~T#Wq)Ggza?va#JXiHwLK<>pR?9@}# zb*2QXU$zXWnA*oNIzoz`M^+YdJSl(gQo}oQWUL;sjleDnrYe_09pp_Np%fr&?--Z@ zKq_>Z^-w^Zh!_iS7Ho1KLMyz_sb9sxoUxDeX~VDrjv&|aU9{=kcts9`H3q2kSXVZ* zVwf(UwU=U5t6gs?gS;4+)o_)fG%)=~5pdO^?^J_K>G# zDHne!7k?=ie<>G#DHne!7k?=ie<>G#DHne!7k?=ie<>H<)Upwku#Re#Az*|4ES+x( z5j&MX_{Wg^k7I@j3Y)&v2h_&{7_DXv+9 z5xehBW#smtv+KM-u&_Rf>Q2ncRdBho2c;bd5o#I1+ys~0^3Czx350m@?lj=J#laM) z$5(rNN#T51xuxY};-O}k8|_K(MmJG=d#w^R*t9k?H^ONw4l`LKdaQuy65!3jL~n$q z*TwM!X?Y&mKLndW@Djy;B_K>dk*R7FA9IaVA?#-so!-G34z)}FK6K@Y*OHSjJ}&8(tblYpLU|Lb z`V;}B>U!4u^xOME)AHql`n^-r24+-mxm*3Zb{%Mu#Ygdk^C@h3!QpxKhJ{n`15T;- zMCPXf9Z^}u#E-fz$R}T_P|{r?%HugEbHNHe3fZt>F=ZUEdYZ6D=fSkr+!jkF%sFVP zv0E4m({+00K_^`ix7FWSCg07ts0BEti=5z6zcE_{i{EcEbYj~+JZ~;Z3FHIOozI;J zR})730^35kILlf@#nnubfx~5i`6^I<(FKQs%Oj#ZS%Bu7_&bdKP~!+o*#A z^GrkLoH`q_JO|X5M?Q0g%Svp^$o^oNiu)98)s~_P*tjm$67ow z@^&}Kif(LKx{P{`X>)E(lx)Isb-(xTV>W`Fbs4}W=KX=K##jQMseE|F{N83UEafN= z5){o6k{t|(sIu4fVmD0UjM$agG9Q7Xc{Pit&k%R{eG(N^#G?-yCe5O-?MEs>uyxsB81~|truW{xMG{ggqb_e%#{A+^MZAVQ?hyC_ z;E_5VzN1!z9wIi4=3?K%sAiFf%B%zGP&ELI2=0d6>T@m%@Uc1Ag;6%} z2G6WPKiUoV%A9*GGf-TH%MD?5<1 z`FuZlv6qB!mR}y-(~7Q%@njzREpW%CUe{Vfz9$Yv#6`R~)2CcgD-V_Zu?CTM#D&Vl za5sZmO(1X)oQHC1fsuv~sm|>^6S(+Uv)nlWp`ux#bRieb^g>-Ay&k37!n-zy1+Xr? zcKot6M`fG9t!X%_ShG4sVXk$zC7)g*=}bmx?)~7&wpLzFAVu)$`2KbCdFmFaH<&h^ z4OpOrA*4ipi|(rH+-E_oRC|g}5b>)|ea>94{a23Gs0(mX%YhI4wwIHjEZm(yi`*A;l*&=hCxRa#-V$?-ovPH-v_B)={ z*p?q*(#33M`E)h;w?4$40}Nm{z()d)u#`f>P$MT@iA(y!*q1lJ5deDS#}CpRBv+>u znrXK@YgBtF+*Qs;EnO`lk2vNQXbEvd@ar>-{45IzWD^xi+OeHRb&tpmT4>`)(=qFAjC&dIy}s^J+AL^x+by1TehGKPI*|hy?JRPw2H!az{P8H#xjW7TNKcSx^JPz zewIOfIOWA=UQ)`cQ4!4m;TD4U)vaYWF=oH{aTSVMB+^7Z7J}#HiyF2Nix#FM1yfxR zWI8!Jjc<0zIq@Xc)RFn#!4Pf8^J14qX+Dq(wwvbD(UT41)RfqAIpJH4s$z7?CX5cHp=%LG{b zh;8_@1(kILimr@+ek_d>7FE&RBLR-GF}QfY_L8`$n_he-)hH)K9Z~y{KyKC@nmVlV zMyAYuZu&g}@0AZePd8QaZuBB(6}H^?Dq^`w__p;z#OIY&a|z|T#S5k_IvdLW%#?_8 z-eYYeL|C37B#7x2ftSqt4!$07VVN@O()N-|M?;&y?B?L7)suhKeT=fUbq$+}>HQ+w zE4b3F3{esGP5`A7bl(z@olywjuhxqF4K{pBKlV33!yDHEt5qWfu&PDvGGj9?MS zAahD2Z~mM7EkfpuDGa)fr2n@|+82lME z2+w5Q`qFv4U}zhaVhV8~%UiCzh$~E)Z$!AfLfe$HFu1INWlV_yZn{CXO+yV%xN#Kei2COT_RI_2Ux2}*6Ea*uE8Bv zKEkoRh=9Pi3HX^qM##W#5pHK^A#c1uI$OA<@N_n+yBtSQ^?7G{o+h$>5cM4)4Qt8G z+%`O<`3orB5DiL6NeU;}7Wj^g-+qfIoN&^W*fKbNvd^Z5Y6v#@pKz-YTD#TT=)6kZ z7Coh{WXKYjxhpU4o61xb(3h2^K)W}EL%-gS$>Pf@5pWMLWRk`;lQ7!Iy85ATHL;M# zgfoO%lmMN(*|?PLq=VejI*XT{(%$~|!dCFmRpDA=%8z7}aka}SqZ5=Ni40VC%OoN* zbyL+l1-9+0YWbDGRZm^QS8bnIgP&sFi+Olo^ffYTdHP3fC~V`{6Rzryy9n4Cg9$LK zEyi6SH6$aCn6BxyUe2|C17%XQIYcx5gU7Han8Zt&S=(O<4iQ|;6U(S$Xzk_8sNtL( zUt1ehay4GF-ggevy^sPS+<<;YP{MmdSqOR9fbcxbp5U80BT6xST=e&9`eE6{{TT`T z);xm%L+RZq1Hy_QdX|KV&_6dO@3xD@89aAWWjFmb848;|XS6I^E!TMOxU{RITR{!3 zq^z(35q&XmEmOa=Vn8VzJ6X)eoBUsEzr8o@U6%JNC^;-KfkX1)f-%1vPo(>6ouBfl zQ7X!XF!9Zc4*w!2yPBDhs@3C7lF&8|bZ-^?{zE;?^g>Y>f*tDTwPHd)y^v^V%t}F@ z0;PV!-OYsL@3|;dI>iKAYvrVPr?LDLmAJ*P%zlpqrd`6aoFK%7wWp+5Sbo?HeUce^ zzM+x@7SW?qLsY17KrxZ)yezwc#-6gMEb=I7zI~t1OMkdwM4Z-0uxP>r>05bjg!?Ny zaWJ~ff+Xc|=^dYsU&(I+ob2X7QhOX$^(^6cJOj^-DkE0w1EyifiA4TI)vxoXXp`3_ zAwnxjH_`C`>$$l=Im5{*~ zyLqP&+U=45@$>ag)cfSKkY{-WfB|@qPLPN<;pG+r1!{rVyFxh4#-Y| z{#4Fr6yD!hLZOqePNe|C(UD)c@D*N64WIC7T!7@RA)U_$HMG|ss1&%Ev_47h-9Tja z@<(uW(M{y@i4vUE@FTc#e+_o6UwHB`x|&0XhSh=MIgp<@zBYE-5JdTid4%jd_vi^k zq@KV3qVG#Gcul_^(PpL$pK3PKGADr095O=oxtv9<=TsZmrp+x(vQvlz29gf%wLKgh z;5NJENn2eygeLBlom+$+Y4^0}ps_SaD!>q7#y&zw_M5U2E{IGC?=ulqhF9G+$=QMX zht~`@*9oCZ*rs|PD5Vm+-1jvEbiBMO#b{A!M9(-UuxjCqZSWkr3mcJ$W#a7dWI(m^ zn}wd=I}*62`evMyKj8MZNFpPm-U1>9)LBOTt#Tqbq4o2|@EUu&e*CoTCvX?jf@Z+F zv0{Uat$nOf7n$yG#bQy_v3O7l5YlzHbLmgVQW0Buj*YrpOu}gaDR6xiwvXU?I&PKH z6=|ShkJxoWa83v+3kU=}7haOW*fAXqdK-e#cI=|u{2IYF`A|~((YxP1#kD+&5pto`&j!9@8)5c|X%E zvGJaeyS~}lOxUyB!KvJ}|KJ3ZjX9lL!^M`b(5Fn{o>Q-co$VvS1O58nY?_Qk5ETx; z05TEB$r33wJ2C8avqDuSjk1hY_(JHV+<_awjADc^R=5)ioCJnj6|Sw>BPy_;h>%k6 zn(WVINmh(4vJ2m4+IDD+Gc0JtV&w^c?2F-L!6FBLOWEHWi`xq(;KN=pDczmId?Z5- z2Q1+bk_Zeej+l2ZYcBAp48YaayHeOMylNAA5g;!I{BEYj?7CHe)$Yb z{9XlkD;Os8Y9M~TYmRaXz`3GC-Cy&GN>Oa{x0X(8jZFS+dW_yS76Atd-l*E05l=nE)h$%N@Hf!_eEu1Itke;r25h>p^ z=`^(0Q^&-n=43T81`XR8s!;SeK@4UL4y=Wb94+;4IJsa`15 zaC+tfPCK^MXasu-M+8hQn`}LG9A|<*vmTy~(4L4}eheiDRYwNYX;Md9XI;Ysj|XwX zh)_u6fu7Iec#D`=l<#scu%bP(y_gOZ(;NXF*P9Y=qH zKuZF<9T4w+2cj4mT=tEN7wTh1<1B>&S2%87(fU(*8(nuN_nCkLdyQu$BjrnUHKMNbZCXJk-y|tsA zzTqEV_%n+1p8^Gcp5Z@E?`NZ>W@N^tXQpOl#bsb(p=SD;;s5o>{2!bEK2A;=MLAJY zXJ=<>7gGyUD;H{gYfCa3`9I$M3gPfu+ZhTnt!XzXJuvWz|9R~Xl3wK5Qgs0 zi}DYp8R_V#zfPWsf%y-kfQg9}mx+;;n*P711~4)+(9!=3HQ>*y{tt!zkPw*Y8UEl2 z=$M$O|0wil&Hu2(e_p~*Lwg5OVLICXve4go0y#r{2lYS61qMdyuRs~af6I1IQ267H{o581qQj+UVEJ%gud>6mOnr6e<)G*=cfJJkM{5S12YR9>;F1Y_Lu(f zzo|d$o;)Nme4H-)>bqs4V#Cl0sQ7k`v#H+nvb|mCjm?u>X?RL00pQ*_1KeLp%%-@N;Lvh2tW3py^wC$@=$$LaY?{Yg^s+Kzs~>1eE7 zj~)18He4zRNon1B`wfm!vjda+2R;T8PN<%$~_WkCqH%>$KnAK z@$z|w4knInHOcyORLNg60JX}uL68i&k|sz4p`6+Ewjn0Q8ij?t<5fJK>x@XUTtdAq zX{knH@hQaNu0f}AT!iBa6zfc-yB>DXzYbs`Bz)aSws?jyD<6_rZaW3tZf_n}t~zEL zZo`@I90v-UCpIT5y+v-NXTz0QmV=f)*M4E#A4sXjMqRa%DBOJE-*4iF|N6O=9_=ekXjd1HXIwwb2-7J#vu=yw7%SyiFkBRn++wk zZ^=cu2Fp$FShsSwe+9y3j%SbAEY+>O$ic9-UDMXKzo2XBJggZprHrD}pkflNCXWNu z^>Eg8jB}H6g?88U3CwjGR1#diRUf0<0>C^i5dp#a+i&^yG{)aB+KZQ1zv;zS`L$K; zuzK3`!gs$T{xXFeNwK%vBbyztZDCR7v}?|u|D<&^q=owaG^UV;RPd0=_v#uM&m@~~ z4&__#Um%|9Qih%`@428k1u~HzU=vZdp~9w_nwC%6CV9p-!s!|L@hu8 zQLkEtlvfiE`yE*Q{U|i&`IyDdsimYrSmd6lghmsibGn~g;<&6d;7f~kjGxMMxx+~8 z68+`k^!vMY+yZvy4yPJL4M(-p%~crP_m*^pR)Z7VfHJAXgPHV-`HUji5KaJv*0!u7 zCD;O`*4e{h)&#uVMSz(vX0MPd%`@pLmBBe+K@TaLgi}AFL4vWxIopIQViFon1GUM# zN!T8m^sIt|7DDQW$?P?%ns1xCNFKl5Y;pAExLh|gdMPZd-WfMc5vfPK3Lw(!1o4ro z*r-o{ku-R33?D=H_j_xo2_F`5l@0bI#-5uNwT<4*z#-ZGuu71iF>-JdGE5YBUHBDV z+r|E~V%(H!6XUtW8oi$J`57xZ`!)L~=dacw(($Oy-{4 z<;jE|@&vQJ^0cYjPvqv5?m48?2$h$Kzk6b#qV8d1JBGR_3KnoNu)LWgS9B~KK1~9Pj)&E*v|N&QpoK~R z#J?XFn8>!R?;2h_gJY6i&|tx5-JN&PxMbo^_sNcTftxCsrq?*ti0(V7o&-j;Yp+K= zksqn>LbBuwDE`kiO1hZ-)#O9o8U!)ev)JLnXiKqd*D@@5Jwft-F#|fD<59ir-eI4< zlC9r{deVI4=EErj2^TivH^bR>cB^KHN{GO}U*kn*QQjlSDn`jWg-iwG4@r@-(8Y`> z-Z(JEX^3RzCkATYa!!Tw{3Tl z(yol)gk3_TPT>uEwM(VDmI2s4H&1!om`RKIZyYMpZ*>f*-yUfC|9!?2{8qm9BOHBMX3d891J6J;bY{d zA>NE`iS}H(I@jL{Y`QQpGeVd$v|L#2nDN|+y9CgrZHmM+p<3;`eDq9++ zZu-FonFu`@g-XgbqWCULrUqP7_l#ayZAw~BcqC$2N@UdMjEm=lcFU&46?e^AB@u$6 z?w=qV6T%c$8tD>+gk!Kg7(WZ)UpDBBUKAOpw*&q%^}xuRHgfuQ9XT@ggtD#}L%9e6 z^vK=c$*Y0u>!WXw2$UD6*d~HpadV!l#KN$fHEbp}21JPG*vKqvTvjo-9b{TD*vfG* z*EaL?p@>@*s9wp}zME}fqa)El?=KZ%LwzMhO0iDaVaU3Y!X9k@MmA?o9vA{mf?HRTI zoLp#_5Ya`yNY|J818Sa2d1q7+{O``1?f5H0tm5=OkVNF61^EV9Nt4N`0FE0~B zatmTiu2UZ_sb!j?Y!nk^Xv;{10jx^hHn>{Wc$NdU&5?$RD&&j{NQ}LQjAF8Ze%9^2 zk{*XT^6E3pg^y$bt%mt|oc0L~bW^1m5q4dX!7wJockmmPt~SHI1P>OOY@h z`)$Qb>ife0{Cmn3TuNd`a}e)~gw0|tPjwkw)#*R4`)#W261YI;N7IuUF^;`c>V6*3KmDZW9Z1+>i_0<>Z$@a*m+^FQI9Jg$hBsY^ z`&}P?&xyOTUd56DnPm1WFS29}xV=?hb6Cw z6WDQrb!`7%%@cFqJ^8RD)n7<=w5l7UXieSOr@;^$4IaFLeYY$5&IkgT3`(<|Izu(p zAOg`!vbhME?>=t9$;3<|F^MDP^`*t z#n{Lhn>XCg!k%5mNv@GL}~?l7*gbE&cG*$>eWk>l=bD1>8o#s zT<(z;hVn@IhM_-V+v<{zrFd8S#O;GCTM2&NDGnhlbzx=JlAlov9_Nmhb`sseknU8PZEFcVQ6Y;vJiO&*OL=DVPAV{-DQ*&Bi~)a&o5 zKf^I5v;h^3;jfYEu}q9Y3!xw$vj1WUAw@In?N&JIPw9H6;C)5tyfn?}-fDFP#R&FE zQjlS9-WxD3Z0tl3rKWn0`TIA+$=RJ}CM~#ngu*0%35kk>6f&&IP;8!t2nR50JW2!k z#MywWzlpyc48Je6dAj}v8M>2z8b zf#pUl1zz(MY$?G241tNWJnHL(%#XKCGkpK(;QPA$S;+Z#3Jb!BP*p>J&)6pL%qb*6 z`0rYc2^SSKsfb%nXI*!q@}7|NMS-)z@32VG2;Ci3hdJwtyUp|t#$4E2Nm`Es2zz#& zS=PiI%4){-3>LSF4@N(oCLD__E^j*Nf{RXTS{+)O@vSmA3K&SfnmtT~WkOjKL6nHK zXOb>hl}oF1+zS$Nus)4C8P3IRM6v37Z61>Z4)2{XNWcR`!df|n=tJcvy+*6Xu)rYVOw+^bVas{6d}Ls z_DzUR^3(|{fk=AY_FZg{tIU`swji%Vzpu-kBXct2FQKTBT*P7`xBtak+f2ZF`^4W1 zF262jDyzq^cz&v^T^__TVPqM2JfDH zEYBTbX5-aWa~Yn(dD5PiT$a2AH{lmH05q^2l%qADt;6qjMB$}_=Uxr?y8Z1m_9Yj~ zoPNF|Pf;u$$i{SVgXLZhF!7~MT^~D+yft3~9guA|D1j!J_7FF?u6WrkG^U1$7#)dK zNrPf*zpi!YcY^uygF$f8CtY3FfDIUXkMlhbfXM|#wOzgqy|PguECOHtI)JJv7_Vi4 zhJhjhq>M<#*@`VW_fqbT6h>$O*2t_K_V&Gt>Riry84DsMwM$!{gO?3xrwSj+YCQ#SDh(#OGyBaYQ2Z!?3kk!%;OlCV1aSPZQ zLFrV96x8-3wX_xs|7i3?a3$1cuhtp0*mt7I59CZYjUHo&b!WJ>O@6lQ3(CLolYr~P z+Ml_5n=r6O9!ws2f8TJ}0r%2zD}zu>W-j^tfkW*hKy-btjI>v7!bm?t*D_*S%a*H46Tr9K;LtTFsOttG@LJP^?+%pga zS`ZE#e*mc$UuvP1C55uK)`vZUrrQ9(Ld}b>LjR=HPQ_P6st$CV3zn^GCU`dV442)( z!|ltdvUN#=fFSj7u{fq@?h>f&7S{WIJ&B|3^(tc21(wFi!;o%WFPBlA*y*Jvy4Xx> z9_LbfwYGcxoX`qr`nf3W{OKIn`mwjH7ET6M`di!DTdYcpq8MsSi$^qtePiX(=xF7g zheAfE?XYeV&f>ETe#U!JlQP|zVp8*#C&eWcJ@g4F4;%MBsG4CI>=yD0rIW$DuBfAu zyio2&)pOG<@J3&NBM)ijd(4L3-&L zDQ`VFcQf4n%FsntOBR(HWG=9fp2>o!$>ln0BaoJ9Qkl%q&G&W*rfQmreS0JVi@ zuHgI-{jb_JrMSxt2*Km-NZueks2I?@T4DvF(q4)sHLohanl2q5WiVNNVhvLWR#}12 zw5HVSPj2_~WlrmGpT+$(sm91vP>sns-+-zCkWH_rid4Y~H9Xg6Ua973k%9uYkR5+* zMOaZo(cI|-f8Ge&5>o)G$4dk1eMQ`m0Jeq%C|#FXFM+E^887)DI{ks^wJY^%hL36$0ZawdW~Gmo z()t{1RV(vCS%=>crhlbSl3{~rPX;H&;+Aer?A%0~>-JOsYFZJ1X4ii*&#)YO>xS=| zGII6XoS3e;SVBB3^3#bltgz6f>`f5W`=KI!w%xq)5(3cQ9^I;j9p>A3V=N&{`ju8iTlG7;6t|J}dvAw$0L=IY zYiQ~#G9XiV3Zp6A1_)5D3P9%yTA*-}9Y}d~=caxIsJY)@77p{b_C+ZcyH<8k#wbZr zsIC|^iNP&`2o;$uj^o1}HYdo=Rb@4G{ya8Cg3$zSMbaLj(yN*rM+<^Ue1PS+nhgly z+V0mP&$2;`4{?RoSm#}?Bv!G9iXV+TMTrg{auc{W*RmUUS!$8}O__)UhjN_+=@vZ$ z!QEy>evsnUvKhP_pZQh=cXtd~GqCiO2O-6d4!cLE-yt|(MMx)${@qyxvZWOWbJ{JHhfag$ zrjjvy!QY^{f3NaOmB*BWOiY5hFuK_EXsi-ttIqgh_KrW0NVjfhvNO=`M35Yz^%(3- zSkdeWMW7^+S4DRNaJAxro8JYnQ`I@Q1M66h*Ax~6iv`p$uwaGqMD$O7{4Rz;enIAY z)8*FJF>p&GztT|W$HE|)yh~UEBRGBaVgp;VqmAzvW=>r5vZ`2`ZU;MQBKu`uFDmu; zg(VTAJLVA-Z)8i6kO`qNr)TbyfK`*#$XGbx1Q(jYV=#mvoiPV}IH5kM^IFSxnlXfS z1Vli6;PUr)79#(QiAOj9da@b7%_H1cgeliSuD>q3h29@COCIrIyX5#R7`DDOD8dz8 zd&EkLgMp;yJ;T%DZB#m}8TcZKxyTUcHOgmm=yq&18ioqSHob(*xW}_B=+D~93eHoC zjmEQX#k8KNALuFo*6+Q0&I~7UQ|32s`cVn{_yM=c*^OZl+|Ok_*o@Fd^ny&{Y=sEn znWW2WtjayP)*5q$&uH*E1?g=SBW1-drRThSuU$K~lwRC9&jC!=z1e~hr-LdHfau3yZmgJ z3m{Vewu+dOkBCYpeZvNuYZsiF_#UZxL{j+VU5DFnmT&9tN%|oE)cfh-Y;p*q>0mfi z+g8EjA<8t*@ytAb%MbN&7W-3fPQ+RB`C95n?f_mHcV$s+eglbn5E+#0fbZZh)!lw( z3cQL9Hw713bIO2yQF%9|gZbf=^$O>tNn|UarqiAonsQ!((b)DSzb>6y*dFl;gY?E8 zBHal&=frT93Y)Y?7I$xmwZ+e9PVwzg4zAM9eSch+VFvL_2cVRG{fg&@mAYOu>VfF* z=DG_K2;FA5dtqu&PNM#t6NW0&O8}!gIz9+dJDI{<%5Z0wpZbG1dJ&Gf2TPxmm5-aW zZXO>-s@;M;$??)f^@I{AP!W{yp#3OUH((g=yxR=ez07`^1dUXNa6t8Wjaz>G2!c(> zl)FP#o@8yI(IRJ-tW%0wrW)1^hq)*1Cu9?>*(s`LJIcHTa8{YS(&mM6b zYN{m;N6AYF^`tZM^BC=P_7>@#Fn^0mIAIPn!Gcfub00W5%$mF4 zg}}|tmf!@w%LN71;t*O~H1dM)Ir}!iaW|T8zQNG8t5CfA<_n^%L!_MSg+>bdBw%fN z{*wc`+d|{ET;^fCn`-N#r3Xt_^|pQkaF}hxx_42V^hy-15kOfO zG155_;wzJ5C~~=;mRaCSbk(~O^oRb&6i<>H8`ezVAi)&GNjeC`53+QRvGzUepzt5& zrB{-&Mxswnj8e@I&Clp;C%6@xnYI?C>$^A=*qBt1N@I}3AJr@DAJYV54d~C`<|5_q zrK@y72D$olSLjB+7H+ctbiiu4=U9Z#4$xY zUluqB-GYLMiheJBC!u#-J2Q)p6?DYz-eV6gWHKPhP_-r#;cKpQIu-(e^| z7?p&wqTmliq$U)rXHm|BOKXs5kY}2qMs|bEp-7KMB4&5i2!!;T6aazYrNHt-Is;Za zuBm2IG|FiF?G6-xAgTC{@c&Tv&f&3jeS&t96WjKQZQHhUlAPGKot)UVZQHhO+t%cH zy62sD(A{6pHJ|>es^z_Yd+n>P+O^kxA3`!XHVpi>n5uUsTbr$zL+kK|#)v}`otvhm z$dqwPz!&~V!(QDF!14wJF<JV|ge;3Ekj%ynu7G{#lXUfcT`5KJ(adjmZIQ zMq16Q-6>XH0vL4Zy3%MT;-0`!?D@c3y`oo-$ zIG?tKqAN;53Lfu9TU2BI59Uq*lzlJTYY#rBRVTtU8iV7u`rpkqJq^xriQgJde+`zF)NOkhpEpB}))WU!z&?$D^5MN74`6ZL|9{GjN zk#6XP67w$`ZR#=0pW@e*RmaIl)w&AjR$NiFqO&}``oaAx?91*xVq*PNAavkjwTEY{ zXEXTH;`fsQKdFF@D=RBUM@K0R)E&%Xzydf>YFktTNFuBS3FViS;IhdTLtBYHl!-k7hUS7+s5C7lEYCkE z)&;f(K|=NYx%7xXC1g9x)6SLTdfYBv*(+}GI)4Q?U9wGt0zV0VV=2t82sej=u~c|4 z5|?GHZ@+owZ$5K|apJhXpx$j0=(j1hOl-M=3hO!&xwKF)m7{L4tq0}6^ikB ziQ&RKwA}kd81$3f0Oy6F%lqyYiA{2VOJ`U%o&EbB0ix$BwDgjSx&6mFjb2z^?gC3= zvPE9y1@7xJ@11w%HnY<3__((Ur&qmT5l@EDVJogo)oCa0_)+1--pdtf5gI^X%2sAP z(ew!1C;z2U0VxECv+*C&6nDviGQQc=yVF>F8wO+-x1>d&52Yv-rJLPh6&zM)32^ z%wj(cO+_F4{Pghs7B0bSb*KtfwI0wn`Av4R`X^$qOJtzGVWtT$!D4rEo$jjFv-}{G z&RH9T)dpS$#NF_X>M`ys?g`mM!c7B-u z<)HbTB-Fay+4*f7<+9!Hu%MTnsAjMe; z`GXUdXxz|FzYU6TUDwC#m=Tscx@|G4KIX$SNc9HTlG)Gt^=BjUq9ZeviX$(M{vhm5 zbnM{Dwn|IijddAjh%SB?Aom#~yqpNPAHmOqq-Y3{jR0L|Xh)Wb>6<4ho89l9UW-u! z*LfV(uJn#ziH~Eqr|-9YFEy?8j{{!y%Lsz{>I`jwFomak$PlZr53#ltEyo&)DQ$-c zGiv~R>9|00s2zQ^T&t2RwU`wC4+60uhZItRoZ)OK%|yrp2C(N=$^4k<}1z-?vCQlrAH zWiI}-!`B=fe4#xIxfxyWF`uYX3MnS~SyHq%@kJ7IFm_Gb0hJngqPJTNa|h>!DO`R5 zI=6J@=8G-cHE5ylq{dXIRN*QH+XzZR{}rhiYAvWcnRmfApeFRt6w=S#^Rc}*U_7#O zfZ;jy2RKzzT^Gm!QM{z!;ISFo8isjkE(-7x z%TvS^H9JwbZA`4@H(f|BG{>@tA4_}}QpE(G^8u#6VPAeCLnMXGiuM?0n9fnxS^*qcbR|wZUr&3YT-^2AcyjVFZ(DoH&mY63?V1%DRJ%aE7+4e4AoQZ zSxPe-3+Gn4=+8>Ys^X0!Ma!a{dUfu7?vv5yFj6E>Of~GY!IT(BJJG(v6V%-p&X)=M zsT46gn4J_CVHn>~H@+9;FqB}@& z41e;sCL}cJeZqaIDDZ$N_kuiy8IG({;X3R7LGEP&opt7bkAiN`ND?bD(Yawa+VwLi zes3(*EbqIrcd%X&BWOg1_BOs#o}4&j(+_4OVprMfLqfRtJ2m=0GpYJ`8|CJg;ULZ; zz&EK6S0sg_CKYTW?oc(QS#2q)^PU4C;%TiA%@;*hV78rNcj`DxaD}@LNhL6H&xsSo*$4JAO zya2>nyow88Px1sDSye)P3|rtY*(yl9XRuM9v?2AAPhDi*dF5kY7-mDmf}54<$D=7Y zV$7M&`N?0d)RmY?7ir9eOgnIS7BY(ZAz{)B!S6V+OboF_#$D*KUd_JXx#lNF&kFq{ zi7;YHM>~t0{v{;KV4e(DejljhaY2Wu_s4BMpT85oaHF3MZl| zdgaYcNdHh2H?{oS3W6*FX;kxR41squ=LR6tM$70Oq@E}_GD1x8Go9mD%tuTWM7*F~ z{}OGoiP{mU=+sfCFB15qy!9j^+4Pt_4s4qN{K^(hRHb~v2;g3z{J^lz_KyRih16MHW>}(G$hblNi_SA>2oe6?Ar~0C|jA zo%*+L@j1$8ojiS_^)w~WWp|i((6!jDT{XHZk~S87(&!+E=>ygny6#XmXFckD-?)@z zBG!OEh(BUsMDG}e;2aDh>!8{KF{3q_XWC!yaoSXb{mpek>=V4eu!|omOlqFxBg&xO7;k%;i7>XQe;N z%h%;t_%sK?YVeV<*?~2l#|eN2 zx~gO5Uc-}BRc-Rt9_F3MO=2oTcL?|B^KY|_4$L@Eg8M4n$J|Y)2zNY-7Tq|yyWa82 zw^QWVOhaUP;gMW<+Sy-wxUKx$=JrAl-}{vwReMlYmPV`U&D@dTqamTHn#HSAlr~+Y z`SvDLu&mOi16}bR-KR8npO!ZNxVQFoMqIjTF8!%$sH4qXdVaB{L&QJoelgt9;`Xyi z%ia3ny*>q0eQ9ImX-h?Ce+(b!Sop}lV~0bFxq@eP(5cm)YO5om+qfilmVed+*ZCm@^QQ-;Y+!!ZsC7r3mMOwXk%K}ICwaM-uOm#W z2c0jyj*$o|i#HPoR8tG%49A^Hm8(5RxaP~HrsiT58~2Djv#M>Rs7&h}p3WsM$LYQs zTl_>rL4L=4ZlCq5tR32b?XpuI&(_ZD;^43Rs1^Wl@(J-BGI3ewaFSIe7>`z#N`=G7 zhsqO$!A}#NugIZN-UELLhuafOosK5ySwOV$sXsF-)1`D&n&J_4<*Hjw?b?N(j+=9A zHAION!kfIu<`<529Ws^hd&2@8vZs1?{#(c=!RQwRZJN^S%=)i^uWgVCy1&ENYX>+& zn)H*5t!MRXrHA6f8|LPiaGZ_t6t9~!XKYL7N@f-wHzHDrymh=juQgwHk0i`-aOUSS z?mtt?m*HkZbST*q3vAv9&(uGvVy$_V(xzqI_QLy=E*OQxYZs)AFs#US?eyb#*=ZUY zxpew9wfOa7aJ_>Vb%NTvE^%q#tzK1Y$XCEu7?T8OG zY);V9VX@|{SmDM^RF~}YG^(kC2J_?!K5|`4nmFQj);V{*lWSbO{@M z3LpsE!p7(JBb;5xoG%_!%-Bb6a5w@`0bTG31YC=+rk-GIH#yg>;=+A+7eF&T2P&nL zO%UA}U9ga0(q<52Hrh0TvEDX_)gW{a+CDu87UPml0M~f=hm&Xe1fD3kP$B=M+%P*b zzr;RtSh_w!fM_KA2nGpznuJj6K6J`({m6=gT{xxwuVO!H9_UQZ=M)~mlDM2T;Cv~* zR)b>-KvTqMLX1{XJ~QBa;UF4>Xjs6KXaX1@d3^j2@#F`+pdmouGx^SWV?hN5^fjpuhj$*^8YGkN99L90RL_kUxy+5S5Bx@ zUit*tP&30|1jX`h9@p?&%8v-bIkIZ3@BR!hL7ei2nZE0ETYV1`V~V`|R~bVD&>R`z zU!V3YkTFCM{x#c<%LW%!7QVdHbH1-I}HqbHC8 zsbCX?Sc;Fs$h0!hED^pigF}?hJh)gGv=$*g4v+$YASQ4wAKz;n-Pw--Zv0XG<4b@N zSi1e_upjxX-N}OWphBiT4xr$M;p^!5f%FbKKGPt`l32A=k>qYIuC;6L#!Y8sm8%_F z<72kNKBT6c4o z!4q>Eg&Q=v^GRkuD;zv#Dr-~UUV-gwkyTOYjaC{d_>aM~uKbcN&Zl6-<^AW6+}&D- z;T!miUTgE{%|vw;jHl9Uu};4vWY;XqWfetbdz}&ol6VPda#k}m)9BRG=I-v$iY7*C zan4jgRnM}B!5PqWpm)%)Ai3Y8DkHXM8&Q=1q`jM#=(3oZZ9biwGkPj>YED)@DK&~o zx#N~ul%*M5A9+pUI$m9=tMv!{YR^9^7qZZA>8^=0phKm~y9J5VZQSMHkJo)Q#*oYj zEUc~UCl9<>siD6UTEjJ4!expzsk*GR5BN7v7R%lGft#V5`sE26v~i@;ZX#vMTYKxu3>1`Z$~> zsoG4*qPi8tWS{bOkXr68fUa$(>Kn)7jDeLBp;(e>c-xo7E6^!IL}EmCiO=zxcd;eaxom8iwnB;5WSS z(F%|K%O{u$pMnGh#*+UId?u4!JIw9TZ*Zo>qAc>g~BV+l3{KFLg2H6q)5Q-*8UlKg^;oO$EwuNg)VGfEe{bigaTfSgN6 z{1BLTj~Gk|bH>99RGE8%(F^DKQy5n!YN=UT_qDO7@2XsTCgB;u}Qgg#V+mdiRi|@N|_5OI@QRN^BBwI#1jiic)m$x`t zeyt9ByJJrc55cHt`V}C|Zckpv+tr4|bs)7aS2;fg4v}py6K?EhO9>0b?}uHaq?BBWE0{CGw%(mxKAvOp*TF9d?4Ts&?{5|2L}l<#|tKA8vbd z^EA=y0$Lg558;>x%PTbCt22%W`ti=17lJxhd03uV+F!UO@&nGbQ#mVkZ3lpZ0tuWIuF)pNb8u^x;VKj`jxAr12JMw3@J0%Z2!N$}X3%@RL zDbGGfR{Lox3Z8WKPhMjSeo-{C?_mS1eM!5o+z;Dg=|1yx=|$H1hPRGXpeR|(Ke^`JbSwe21+Ytc>BL~{ll zkFb2Wo0yWL>a_YgYKD@ST;)8?X>Iy^)4fv0Ti~VqIJWm{I+#b&oGvV)t$Le~*4$he z&%S4OYDxK8ey|04tvgih+I)vTc~NfTZ5kVbeLY=`xlAnEIGZZB`dIg7O|y4wpgx0L z8|%L6b)o(RZ5r7oo9ZBET(FhObdvL#;UL%bv}MWB!gKMNgS>Lo_Q-7G?mD@l?K;1} z?V4P1b=%A)U68DMrp}89QMdX<1HJ`vY|?xy#;aZ5cX=ps^)HeGu#C?>a<{b4Jn*m-U_bD%q)$C^ zw}ekV@US>wJn*oXPXKbasE-5iun3?5@USqSvV0dkf}HSv6Bn?UARra6m;fL)uoyo8 z=xS@Yc^*D6nD8$Fa8!Y5Ibb-zKj1MTD8MKL&EWhZ2u%onk?&Uk31j`UHnLwAMLViGW$bPwmmt?@=!s`m)sRGyYPVVFE zZr@=*c>0dh_Z)i^0Wt;lO+o&Olfbo7KX>3ZIk2qo`hT3&e!o}Rl+r|CPmBZ`ZgDK`Neel-$bm-;wimiNT3h&GPIMNvp&YH*L zN$_i8!y9&M1Lt+mdPuO8K>bd!DN$NFarX`4dW^tuZKD&pA`V6^T*7}P@hzyl$aLhU z%(k`vcpq^E4M>AiQ{WEYF^t_JLygbc%Ip2EjbVy6Ur&fudSLUu@J{GMaqFBuQq@v>m$xQAPt=P06%XYCN*1UWCE1$PxX8tX|zBwgg+m5s8m; zmNxilD-oawQMnaZ?aP{h*D@2#vanYsFcP=hUTS>bd~K(_9Iqkp1U zls9%JAA47ob1Sn4vzj*UTLFQSP%v9- z27B5a<|~GRxT&NdRpntG*Gha^4(M8U0ft#MU$9Fm=va}dMNn;e+x-m_Ok&B9NC0)s zIYU(uwsWscQbDfC;O`=QQ938oLu_XBi(iqF?y-F#b+8;r_~~p&73|JlOklJKS_kNUR z@in$NI&MrDmKhfweSdLH@PueKrb_ZOp0hq4q)Itbcuvd{BdIG``Vi-uraTX)g?DJ} zB@wA{+D=E_i9t4X*AZ8Y6>w^T$p$@4WqE(RkV@|Jde%Wz5jD1ylk6CVM51MuM zT&|0i18FUyXRVUqwgOs+xEX9wH5_tL)52VTxC42duNRL-|2fcT+`Y2nX$f>jqp<8P z(0p4#dVRUSP{qDHFTa$EVay7ubvR$prdr+3Y+V6#3-N8g{jDllvr~Vl7pEGu3dCBr0co6@43ef?BpELHx4U z)|SS5VJ}6kHilF@pU$!oJaFb7{w+pW&*f}sbGrdBLB3TQwAX`$vXV#%pw^rP{u>!adi`qx0gr4Jyq=li|Po^c3 zhm%{G>XY|_&L@$Fi)IN8wgPqvv*Qj~U5!_G4+Pv?Z>I`bw2@M8v#gMd2)cB*V_}H3 za^magAHN&**Wzv+GrhD(dEFc~34BtNbbedv+R$3s48oCK%l4Fh;y>X#gxd-AIsX7Y z_C`J-9GE2hyndUb?X(l2D@qM_vnuQ4JkbKUHsqK3{86b5WGcFfys;+nndz)o{8(3# zUL+RuIsZVu_+{4DeUCK!xM1V>P%$m7wX%7Hy3y1$rRLc2)*d>$_AoO&AH;WTEUP#3 z_z=W-MRR$bd)=19gNA)>#ga`>5I#{?_CW<8{->|YueUYsroL5m(#K^ z7_xJzdi`d304Jc;TKSI}R!t02ea@PKzzRh>K+}bnP0l~79S=_vEP}1HBy`_ui@Gl^ z>J~GQ1RSlRGxEKu^Q?jhs<*)N}aj(4|bR%>M@h%zwqF{CfnDzi0n1fImAs6FpjIZE#K; zge^@dKJ9WF@TTy#KK4*L+nZ<;)5?jbqafCK(U%lNd&DNFHw1Ew(Iz-%9JnAHJ|8|p zqz2mX>Z@OGx{jjcHlmg)x3?x$4h$3aULq1faCExZy}#uBXQQmkC_*;zGR`8cgYjIj@XEko*V@xStliJ6&wWjeP1I6NH5OyFRKZLBf|+!BRKP{ zc9UY<@$$ltBBeRwSkh5K2+)0OHqBGOq^fB3i7)~%$-t%rT#L8mPXtdRq+@SNuW~G!CP%M25uh6e z=pb%%?xEAAjRUs@+LD@MT%E*{1<1e-`2xkL@PoHlFwqe0MA*Ccq!^9oa~+Q7zaycn z5EeTMof0iY>^TH<9C`7>SC2?C zc*}j3BBdWjB*`!X)tgX}^n^N=NNSa3nCK_v?S9lcs@YA5S@2nzR1NvjDRLSoShk?F z%%A6Y;83d@Mn>^;&aw{(t3HAuoozocmc)|j8AASRP}Myg$&Y zWGb`VVs;CD>M!mNV3vx9@0*&hzrQIQ2`p$)-bMgI$V_$V7A!QtmsDH>m0?j}U9TLb zgWmjmS)T$B|e;0n;-eIZ`{$`Udq)*POClI4)A6 zg863S(frk=#N(7HF&E1>NWiZwhX0d2`tE|Asw!3Szj-P=#3E_88ED8x;b%0|mZa%rZc_z*o?=SMM-}Ol*>e z!AC^v&yVaj08Err%V+W-gyxgAQVw!p_!^vIUwsWTK9Q&3)PY$iz&ztOJ7n*tg{h0{ z^wVg7=m;5^LaKSLd-ytJ~EICI|eGy!JV{H7;zx;tec#LKMX224&XzMrK1(+%VI#w6E+k75& z(^UXgxpN#GprTsn^+qt)49F*Q0`$gemgQku2LnTV*dLoikRUK0ZW=vI=Uzez86(c~ z3WFCyXSnF-^ixrWFf|Dgcs*+z&SB3%GLaLAAdA>CCTPP@7bh4z7~Hgqy=Ab_kIkfb z+#TMEv)wb2$z%0r1Z`_+z0?758c5|$nAYlBQ>4o<{uuaJi4Y4MbL_aE*%O@b2sKy( zllEsxP=~7KVZ+3a&c}d_#zFkJ2weO@My!?S{Mee*72&3^;m0j8-sz zKr--`03izU`So50x;|NE3`1~m=eTy6OJ%a%-zj*R)r}t-0;j|W zmk_y8G8iO=!EMM%^Vsl?R~v4B&nMb&E#ov=^G8{3%Rb z8mFejdR+ap2KFM4^xj^6n5n|#`|mbA=g#G`hR)q=*q)kPV2C$Tb7VH9U@HF zl^=*8y}mf{Vv-P-3R3pRARmat3A#w}Fr-bFw!YoMgB;z4AY1Q$vU92V=Rg|| z1AFimOrZJ28bAw9Zkv~#$*kdy^97~1Wu#4BV$nuB#T-s!%M@#?B$WVq_o4*tsS?H9 zs4DLT5e~bz{cfe*N366gBc#yly;Gc)>HjbwEw_Bh2(|34Z+Aze(zod6eLu+$gP_(` zYfULp?HW&bQ1CkRMr?a?E?}p5!$t!ukD#!5K4+ibh9F`Kud__F7YmkgbZ&@O|56By z6az9p?@4%u{Bnw-)9V+qykvIZ~=-K%krQ=ITegPeuc~Bw+6L?&niCa)t$b@^f z!Iu&;=OO!>-IReO5A))W2)R_0v}w23N)H*B50Yt=OpqB<+cbTn37Rz^6W1T|eqVX; z52s*S$ZQ$4c%Z4XW5&eC7Qpp_J-b2NT`OtII#yDsR?eu0_ z9eaD!{Z&dDDA}gZ>v}09HEgU1t)^TN{FqlV1at*Gh_K;icHesuBq`7l);J&D=GA8D zF}Kqq^65zP{z!9%>yVugsZ5*HO(I*%b<4!|C-$MfJ8>I_7=b!6Z3Y$kvHT)@jZBf_ zIsja!%+HDs_5WoeS=vtPx;z^x*aE3Qt9h=@h|G0i%JQOD-CoLza0DSS@A1v?B46n2PWUE^cE(?j$-7 zqt-iiq<0mi=fDFq$h{&d3!(F35GA!*x?hH5#9(McXy|D6&_Qf({UM^I`i-vo zsk1hd*=Z3)Cdo9mY0HfQOP!ojB^#~Ev>*GiGn7smZqVZxBI@C-iMy@3It zZ=J@yr*Q)P3P&w7V{QpM9buM>sUzl4kDDX+?njPutI)7pRc32n8FnhFhpAwZ<&i%x zV+i-9U{eW-2R+_9yb&110DdAwTf2jw-ZB#K7%FiDf7AlFx<3*~wJ+s|jX!)@J<7SD zi)rBpRxbH~LJ3&^e(j4dBZTb(O?x0j44-bbDUEWH!0E^CApTe?1S#y*Z{oFD@rRZj zkCtC-4(P%k1y|>rzHcBk6XzU0&6GyiHUW3aabyJ}oX)D`j;6tlladMwe5myK7x0m7 zz+`buoN=?!1Eut2D@fIl0ykx^b!vQ+#S`W5MW~J9Q3$ol8!fZ0L}sLtCJcmvKNf8a zYCkxTc~rxP&2V#^?X&rUwxN6U*R$h^x7zzP?#WsJ-0KhzwQtpejRR;}uliC_;gQYniJ;Q$f zvVro9ZQiDMZmfLosInv>q6ei9>`Lw))$VW1durSzrIMNhO;sP_z2T&2CF2ua-I%Jp z6wSL(d)=mExA2t57MLZc@87bFTzm2_XN>e--MR2+|K$1L;u4C?2I&KTLuV?bWD*%v z#%0E~kU>h++361Gok%#9IJen-z2~HV5O&iHJZCOsPY{8NG^Odpo@2I&2B1`d#cpG) z&1m>UVw|$wwAzId(%Ad}>zrog#^>GI{dBw3kP+s@0;@V_)~mUJ?($<93o@n}xhypb z7thhnzRT?U!@!*6v4^3#3LCdH_lQ_+D!O!~WXgQbuIdtn%v2`ngS%XKqgMkvIR2GU zL{^C-xCd0LwLOYkFB3J~n}iGpo4nz8cU&!P57Cuz}HaJyFZL$AO} z0`@%ZoES#wKroG)Dbyg^;&EGAx_5q?)kvBq4bjAKzWKji^FtPx{HZlyle*>o&m+2!xDx)PEfqEA7?<~wh-n) zg?2UEDXTPwI0`dbj#$`PAD@k`XS4Q*QnmfO`t-b>Kf9pIA2x8+wm^mYHxY!`3rEep z_=-rK8*PP?0Kx>OI>9rHDLphdl0UMANqDW6Y3llRu#2Ghh;i;U5QpDe%|vLlEWZgzcrp zsdkKYB0|N#V@wo580fJL}l^F5sR+A&EClB1wTo$s8MhlqET=7^FQsOiZ{Kgb$gvdDBL6cD4n70?TFWf{^^oB(`E3Lxh z3$U-L-=zQ`aXN#V-ZgwWo4KFBg!+9}gQ{D(3C-I?oE$bFJ2@jCpHq8vdcbayMnP6) ze=P?_B7MMNvs2sFsa7u(rGl(c!p4=yzExL>)EJPr(&r16MtU!@!s;I(n3Hl5ke&Vp3Sc{$RqYgQEt@vEeGu{F*EPLZbiy~!U~(x$>HV3ci2sw<@VDt?Vdk%NgP zD5UhXO~;t&h%`J|Wo_k7||UZTd61Kor>P8jrZ|8>rO-?{Lu8u=rUjy;olbs?vx? zB`|A8$ew<{{t>zvc?O&#g=MW~R(NBGI^N86$Z&VvytPv8GvXv-DWJ;9mU2`L4L~AP z?O?Wiq4&-_KmvlOJ&b7mhwG=on}UGXfXd)~K%pnct0Jlbr=r}X$HkW-WhnuXc6&j% z97pG%TgzAq(7N!e4Arj9giBF})55Nt^mZiP{4I)}0`h3ssUVxOcx+)^t-Vn9PFGDHvOUX~k9-kVL>62#p7n8r{ zdJY_nsGX`2E51=9ivkX;0E@s3E41ZDeVJ_GsM8=Dei}qSrUp3`P_5Q=dlK+XzN9WqvyfLrveV>ZYQsF=Yl5JUJTvP9v}cgv8WK zbZ|sLa**gQf^xC}(AQ zknl2ellX%&aA3*xmX)ArJSM>I?*+X~h!wryDoj4eZu)G=L)gEas4gRD-KPAYNjmp5I$;?6wWP@+y1c=sDxAnjOJ3bL;$$Dd3? z^cLcn22^Ju&c<~|%tL&xN9ag-1b3*^-U;(2U_xq_z%|PxRMijs9R6g zHe2=9Q?q*^M^0hRAN~uWrodkEDHlh4>RxbprkFT7CyM@}Wv(LjB+mKtx*qwd z%(B<4nqI>_QH7H2*yN4(G)KII3Kw7M{WBKR6m7L~&<%z$so28L!@UD8(DF*!nXYg* z5MEy&QE`}c;X;xzfEz7;3f`qr2T^?za5rouBhhA3BAgMX9vHT0RYitXlhl3L573-} zjn~cFi`tB|y_0FVyQb>%xOO88lB^Q9ASVqG?v$T*W9ZTGTb(YRA#GY#v-A%+G*$@h zNoE!jws&D&+KEPCZHOlb&H@w+I87x%;gguEN3cy10j9LH^`v%@+It_8R>{?qzs8(k~!d3P}D={=Cw*2yA|@k}JgJn_U@=iMAdI{!zw z4Ts)3wj|+b|9!4j;tZM3!AZ)gdsSfZra_Ia2Ei9*WnrE94Ts3iR+nM=NCNT@g-P!D z8v4dmpyY_~#Vuw+Ax3`AIz_7aud{Jn!Rc+aG+PBbkFGLKXBP{@VY7CY4Ed)R5N1%T zC9FfDll7WF>A#`k_Vvm4iE z4{2*tsP3Y}vYgC-!*wzY|mg}TIB z_j31(2R+q%>obaWjRHsZHUiPs=tXsC!*XX2`WkEgd(Fw-vyh88qfsa#9>5f2eve(^^Se&s+e! z%WBEX=AL-@?&VvTYJ=Y12Hw=`nGQPlu9*4@LlbFSLx&tRWD=PD?ciZP#osx%d3b90 zjvUwtT4^*kzl9e7086F>0l5%L}jHF_9i$lVoQMp=%+>Dx!xM!=#PYAQfAq1}4Lpme*E!4DvS!y`&t zZK%{uBl8CJ4Gxs8AeMQgr-Z!m{Mc^rKvlVVd`BOx59~WY>h737kI{GfP^vbc@0xz^ zGvbQ$!DbuGms*hyqkbASnOH_sP~CGbNFO3~mN;t}KPWH4Ut#Xy=t5hotqXUg;{yA3 zo{hkZWQCL^as#C_#? z%WRm9#d;FRhLZgW3mq4THcxfkQfsq>@Zv9537~^=SyFMWr|RgCplt)4HYouFdxRGw z(0v<_XP2vrn9*@<;XudCHY$*;(YrwJj3mV-=88Z_fGwbw97n1W2|bOEWxbo)==A_A zs=gOX`dWk!PBddCn%jdUo5-z#TDJy&-Mb^DR2Gt3Gdr8Ck?)O^QTifh3aAf1uJ|ON@H|x$#Xr-;mrOOmc zC6)d=d#<55(x8ff-qBd_0H{kPgHh;5cGT~jM%s|8W?X&U(H1t<_hBSEMmH0(>f$<% ziLhU#CSS)WiJ0J!fYe2;beP&1GvrNfq1&<_b_J5ko?YAL&KFMf)fGn&&X~|vbf~Kx z6cya6?hPFZqxR@HWoFfR;X=4ba$b3e`HnaO!aVxsP*4kuY zk-ttSxQz&L1WxwicAoNnl894@j8f+Wj6Kaw2%dmMS--6%S&#FC1j`gq&0DkUg|gsy z)?H(YzAQ&}M~*Qj%{hAawFKVj_jprH8|=_s@LlW6)ewwu8{8~!%9ZO@)E%!&d+2l> zKQE1j7hI2T)?{1x#>95NwE->&Fam3!$~qK%K!4V-msMAIcuym+I23u1=W&+Qw%T0( zsgsUKspi1X7U~xXY0u%J-W? zP$8P~d+|?p%wZ`|29lVZx>1;&2c8gDbDjf=c%0g#M|GbK+!Wd2;EZLsw|fuu2sAKr z1Lr$3h*cR<_c(aw-BF%fXR#By`b4qE&KIKY1hkTAq~a7`Fj8cwV=uP{fDjWxB~k-6 zQ6GB0YhT&`AXj%9RYnCIzrT0r^7`PYfo0LJpMEjJpb&{04(e3PV$8c$0#oc9iC9kx zrIX>F?Qszq1TS2KPE6b&n{h2Mi0ZRXG=&PY6iqpG&M;u?##bKEA!;O0^3;yq0cXpA zm+C|0Es*e_V1LcO_z_V&tqET;TU(zYre!h~D>HE<5M0nsRbe$RP?PRtuqZYr<*a5L zRo-X`EH-NDX(Am3U1cFx$|CmAGLK*zYbllo6JnTxLBx!ro|vH?Qi$ciM7t;;8CSc+#L4iuqY~v@QJS7waM*nE*MzA)A0+`c1-J!_pLvYC_>EFx|yhO zR$1;5P^nv_>~p>AUE3FYw&2_2jsrB|uMyTB!b0$STl9DLhbGn>cbB+Z5sb&m&9Ozb zN1AKKAM2`7bbF_V(^i87VpULAe!`bcA9+Ynyq?4JL*T-4p>VHI6>q8VSvUoj+rW*2 z4Q^mZ4Bl@yhl^AlZfk7nu>v~F;zoD~+7GshAW*MO&SxSXTrkqqyo1`G4voLpEjIpS zd0|lzZZ)@JUWA>=z9YQc(0zdG@vc-0Yxy+pZ~|vv#CXm-=JJg>$PXYwwyS z3@uBdyrd0W)tKEqc6DiH?}jbb;~#By%)GbPQjxcl)@5{B=$)p5wX6F9i@*BanV9*n zcEywf^N!y;$|4G3j0_WiLGy59$ zVBeE}k&oaO&CHzgB74;QZwId59dP$rqb=d+9}i!4c>Uz+_BUe(&u&r5ANTl9?Cq3q z-Y-+TQ;{vly&TJ^nX_+JVv9qg;Z?oYt(^s5xa??EYv4%i&vqBndLF54aIO9KtPe95 zxAp9M**EHI-1|jS&fMSY{5I*tg!QRSc}sBZ`yX2RW_r=mqJi@|OVmZpXKGwM{rh zXf#tu%R#TJX+H$nb6ux~!@V1>6iM55lkRO-sKc zO22D;f=_R6(GKE%FYPh)kIW(wX;EMdyi;MGugvlDQvBoMf&)dy#v48#Pv8%Gs@|3h z-#ZXn;1ic|j|?f}J^f?!gAGWgV02|LhjL>5VtAv2cRqelR^;}r`omwmy@nnms1CJD znTfw$^X=lbHd`Cs90Yt}`^LRkdV@FjQ=UBD)|q7+=T$x@4t~7#4r}Q#Bd;r#HU9SM zTlTSgCj=&(;ARRYk1egaT=}tBlN((=>5Y9Gd7r(&pZrbOVPhXtvwfK(MjIiC>G04;=5b!G1KQIJqFHY4WpU{QQcE*3a__Rhh?bE*uLq zo}Sj}%yizY(yAc20jgW~c?@Qotm9Lhq?H0o!?fAh0)3N@omUr0lx+(V3sTbLsq@AO zGmkcp%jrnktXD2ez5IE{v~#%`6(b5VwoG^;D7g6Z^W(>!yEo2sZ8xQ8_=cX9u^qb} z={&g2&W>f{iMg3MsQy21Rf6MZ7HfvD-`3`Qc6#zi_~dIhTJEB>=zj!tXTiM5DN{dA zZFbOFIlm$Qz;(xy!+FHTH#^-wH%BR_e%;5(|u72RW z+nLjJ8T9@}`r|y+-Oa$@)NSDloAB?D870f1J3h1?9hU{06{Pn@-`ZTJWUIP%Z0PT! zxo_BHSyK^v?rQ1z6K{G^O8ue^Z*MFY=3Z`bbLx@P&-%jmiY|{FIETqiwc-QIliiDv zN^MiH?0nOg4SWrfpKXt8`=#30GxwnS%#+cJ`u5D-$w<+TUOTpO?e&cLw|0#i(`r@A zR93^Q?xm=b9Rm%cw|ayLsgJL9P9Adir0Tx(UNe5q$Lw2eX0mw16`%VYXXnK(a(9)~ zyOq*x()-d+?e7eqd~RJMJiUuxcGjXkuv6xQR)^-Kk7PF={q5zb!{1*#|NMHsy7X|_ zoq<;-w=b|a{P=@qPQ4#4KbN-fcwv*}I%7u60Th}vW#;gY$1gQ+&{k2HCl_3M-GF&~ zYxkLJJJtU_ZvBD_)$ycr4}WGZDnfrgAH^*=M7$LuP3)J^IdSOL!ipcw2WIX;Ze4q+ zWK!-i_t}NZ+vH4Cevg0JCGK6>3g7vP=ltf2-Ou$;_n%FmBYI0$4kTp##E)&f^@uNX z^~sSfYUnNRE${lG=PJe#&#TQT`uQgj%iE5B5gqNaHC%eX`TI5vY8uFLIjlY&Z+aJO zl(bm$>7$|963g^vUUSLO=d+3&GxWgJ$>ZC~vQIFS=0W4;&zy}O{&V;|{pQUh4y3lv zydaoQDN;$fPh?5k_HUP8Tu`pxJujMi^fY+w*i84f_-o2bUKoCHRa@;2KD84Z4YyE?+tl!ZEl%<^fadF z@l)b9|o5tK4j^2IJacmK1VN_NMV#I(!CdqvC+a^Or z+K*?C%*YC?-my%2ZBfF7uz72Euz1ADR^7G!tNg6<_@^Z|D_$Qvb$Fl;EP4~*sls<{ zOXG4qmpg5_yY0&1#jxjZ2F9Q1+hPy*>Y15#S78n6q_7*m!{f78u6vaAx6UeIoYcjq zmYi+w&$Zor%)7`OpLac1rd+zCA&e_ng_)yE@3^~Z$)?`(h@Coj9lKeHm|e83=~>%~ zcF(W&TRACf{i4}ZbJNYI==lv5&(@1@+qf~wn`v?L4-I*<)k0go&-;`@&{w`55F^F; zN^VQonYi!Vq})GF4n3$1$LLMTh1zr;DBdtH{$WYA3>xVQmK=+W$@2x43auZm(Y3 zxSr~8yNh3&ZTJyt=PArPn7R03!tgHl_n0={+}Pm6gs;w3Gp{7Ku9rM%!D3FDn1A_B z+0*ESA7^YDyl`gMbM^4^?01#+jwb2stT!(g^cuCPJ$%#gPoCi!@)q-N;7(oyJoUw<@vdU;zU=kA5!e@eZxP@9DKBCHvd9C)#oG-!`=g%(_KF&>R-MFm$Zua$nXhc>=QrV=-m$IjIJ)6~7KkJ&RcPCT%sg#tO)>H*6 zL;5VRHNNH|`YGelwrLxttlm~{?dLPI=f7EG`Wbg~XVNp`Rc|5wfqA&^(Wp;}VGE&v z%Y6Qre2f47%$-f=#+CQN9Astxv>u2n2v4g<&pi3{r%B9cbg1&o{54d5;>M(Iig~WI zd9im!y;^bq)Y5?qEJu#4d7pOs`2fe~c|7QaBHh|NDz<2~V-KPWU6d%H7Ies);U zsb*mk+SP01xCea4mFP_46wImkTk;I_rA@{rTc6qxd(#6~Mq8qvPRX_+qZ9Ktpl3M> zlMfBM^=;<*Bg4l`coJLuu3h8mJvR@odhx2s`918BGCR zbUCZ^xlJD}>3*vqJN@Y6&%3TX@k}U?XkG#(*G~L&Q;q5rA>q`|{HiCHhV6P-b!k|W zb2o}Q$7H7r4Pid-?mqE$`AjNr+`*8%FX{V@A=&No+k6=7%lC_sW*X$4=kcX6lw%hx?6Qd8439r%c798)q-9A2Okd zaCqAFR*CUx7g{9}(oRk3*m|RbT-Iu%Emqe(-x4crXo}CA6LlGtwxU%fvTZuiYkfL< z3cBUA@qNCu*f?%{_m+8M+g3K%dOb}~Y+G*H`K)qBpR4i5hc0)oR%LJwUwHeX<Z_J_F7#i;cyK}Rx`KvV{JQCj z3zv8^0`%D#!wt7D_cb>u&Cg^vDLt48Yf_3&J2vH`?P>m$y{$G{$$?fI&9U48-pjG! zC{b?am?#o**8En9h_o3~+FBn@nsT*;b!z>L7VF2vUh7smWR^NZzi&gTguQvpo|1l7 zhTZs-{w#xYRNF18K#h!9G${Jz(lb{%n+=*S+>IurbZR9gIwy5+D}SZ-TYobC(!51m zS3&#@Tg`Z(H8;63yxsi?!qR=iZnwL?y7wB+y9;gkn}dc~ff>-R0pdfb6T`^~%b}{< z(f5ybeADz-eFx~9c6M!}4*ZR^&Fvey&kjth?L$7)=j4Lb!&2uA5J4S}4ufcp?*h?8 zo?JAj=ga$NIzr#~%o!ktD(598EQAW$_}^(~L9K5=N)Bs z($c}!VB38SO_i56HGEcaX-Pv<55~RG->}}w(YK;R`!froM3}VA9rBHBM>O1e^U~0U zy`w3`Gj?@l93R~j>n+39-3xuW$N50TUL;-eE9Rzz8m}U&za3RQ;S|# z_Z(>WzH4h|A>xIN>u`~;U0B#9aY%~t)cP-D?p}L+#`UH8-Hk3>S8~D1?fDCJyC1Fn zIrwuoMFq283Z^-QUVxn+L+ zm^5i`WgI_AUhFTO50vE2nbXDaed@VG$y-N#bEjvVd(R|UEH`#5x-#GuI!OLx?MruethA=&&TDJMMYO2XnUQ~+WTW!Xppws zwZ0cmf4-vIqNA8-6ITdB{{5qVW|9+!?rACc@?up+%BoU9#rzYRa#~MG|Fz?8dC&GA z`b;r?!0`UTH!GNdF^~7B-X2~xq1T>8gPJJ4A08Ewvvx*jT|JjoJn7TmoBezzGFKd% zn;%jvusqv_Xfi&DeePWSEcmWMQ)%wY@;O`b7wi~Qymsk{>yJJ?`#x;`_Of}M^5^e+ z{rJe#sJu}l_JM8RjJ~-|%xZi)J|OLNXW!gw?U#;E(_Vj4JTtuO)}Dr9C;N}>^r!=~ zUX$Q~oGLF96=(`NRDE-^a*ICyJpEd`WM(Ml)}uuOW*N@xe9$-LS>NjO6_cJHYF55` zxA2625b z#=dUjxwiP?g)b|10?X6iO;J7nRGb>!C3$80wYS&DcYksC4!@HOmT&bg?UMX03swD5 z)bmS|c8WD`sJ^NLQtqDnSoyM&*d2SHKRX(gxpo2G7U|l$cqMbkseX&PE;Xlq zNGq|F(Rc$cC$zC#Bp>)WrR+mtVDN^{XFqZ9KR-wHHPn=8&K|?t6d%^V5^Y@bYUQb)1;b+r(NT-r z^sJw8vq9;Ass7e$s!_WKq@;}g>_1t~(-#~l-F&QbK_C2w=r7Z}E7?=~N=D@9S~sch z*rD0hy6c4tTZ%K+tqn3hsPCMA7JM+|%lXth?`eltO^i8CyjS??dH3P=liPNbNC$j* zbn@ipfe$91+;(Q_UFiK2eWFejc0Y%yYL_%=-ylZeVK-}W*=K~#o`bvPK_2;h_Rz3k z&av(J$?EaJ)u);vGv0MtTN-_~yX(mN3zm_WcO6)n{ASWVX4QK?v($5X!QqOlV4e|P z<=sK((&$A@Fj3I8Wcz{B0}J{O`mp8#4%gfGu|M@U|*nyNKb6-uH{NPyrj`3lvAZc}zsF6FPX20&PbtF|6hik5l zD1mS4@HHHbubP9dn)B)*E~{^^-t({ZxV!(dQAx0jGUES@cXU3kAk#;7NUYFfbSkyo@K|LvVL)IU+A|KpuB)GzRG)c*z^UI%PU z8iPb(e%)95CwMsO|0{Sn>c0jLk8tppi(&sS@1*@3F!lf0oiqaBzrxy%%>Ms}J8AzT zBx(q}8ymT32+#-+{B+&I(-81j2o1azAReG05YYFCO#l9Vn!xRF>fBzF&I94IbIAzk zf?niGA=H{0^wPz(i1APjT^s?%t{Z?ZMndEMYW9~KhY?Nr*Q;v?0`@mz^`l+4+AN-= zNdX@{Ui?4)L--y-!_YkWuC1TFox-&oSNC3z!(%!IsV|a}tc-5)!M?-vrl4ek+2i8?y@C|H-Jh>8|k=ggW zZ>IMbUbRUbE#6eP@MF%jw6}vEEKdE}wcp6Y)FI<$kAv{42k%Pl+Q-U;UpgO@*ty z7dEQ$`#iYiO$fdB;8Q|nJfl)l-kh`J*+O{#0g}G*sbk`N z67uHVdxp=$i{3|%CD)8AJa$AOy4!E*G<0Efx;|&o!$)(P^}juYZp{MPb=%Gt%*x$VKAl5>jgzh>1=pGx<~xoy+2 z^4NQR&0Y1W*26j!+&(f5Q<=Go(?6B^_W}n-%&+OV5s@gK|El=;_qc(3 z;#<{gW3fN1ev|X2`r_k1=Hlw7nF@-F9&SIl^K;^ET5yAM|T;T!RK9O+|TXr^O1=7nE#YyN|SM^~PYN z-OEi4+MV5>`Sg9a)`(XRk34$&M>YADGp4fn+x>M4gUd={VUYAVlHQvJ+NP2a15`0V^apcCAu!L0Gr_(R)RUAh>*I`hDQqtC_nVy_%dFg_@r_EUWML@ujiF zWm}64ls5g-dY;{xTLxcqqvXg<_Ka}<`5E0dCutwJqYsYS zxwcC8Dd}qO>aGXplj>Jjar-`;15RJI48a-loPt$^10dP({^uZv>MZd8v3&H zwxq|bcYR0K!y>arf3*%rjqJ6jo^C3pTvH;QGOOLwkL@Rn{R6fmdQxfMZS7V`H;0Iu z^;7Pdg@WpsA?{fnk_Wt>j)xD%^JChzcQu;QsvYXfxB5*=7VKMm<|)p(?{;&n?+fjG zX;IVG>V6%dSK&->e^0&N?q-`t8Ry1b&N=auHaUG>T9+qir#7=JcZGexsKQ2T`o(Y) zF0X2>?{eyO(i`tn${v#9Ea{I&Rfr>o;zy=KfB zccU+3a)m246**6z|2p|$pGVdOqYk?JiMaJ=GZlpbE%H#6^V7;5%OnLIalNq}SHY9? zlLAQ=`KmT0$A%-x$1L0CU+L1c#ejjWicWQ1l|5ltXH+7b0@NIn*>ko~UE@z18o1ES zrLvMrWYo-;n&c-%Ro!hB9zDLqd$C^;9PmQBZ_Y>P*Degp{J@7;e*yibqJ)3u3 zrmom~{%W_Ln+#*vC+97>xoc+j`oel$-@^O0Y~QI_BgKN~nWgpJn>e34FWQpR!7*m( zR((;%kMJ&uV&`yMAf-*@-Z)^f5K%am(&Y3!A)K zj9O9_YvqzVv8WFRsvdq2P*1>M&nI6s?p`Zoe(ZC(tU(JUquJD1%@AkXP73(g>sOPy(FYA1_B=s9L;GeY8ow4KL(MuDu2aMKjv!5Or%>I(G?BI`t zFUkG^FHQJXC+KeM)()7X;?qwabsSv6UyRP~ac@WaMnm=m3SV{`Ia0T8K`5`%n5TWj z=rC)@y)l_1(h4cVhK|_KVjsV8f6C1u&5J`a}UZaWE2iXGrsLLSiU$rw*zJzvWC(&VSS zYX@dW&uqTxnrP1ZU27uDxzp9! zb1x2J6K4!svCrA<{8+*~eSB<%^ww*~k-}|NHLoq+e!bcZT-Z2sX=(2>l&k%xzT{$= zS2A${@$B}O$xlNI-xJGVytyzUZ6T9I z7tV5jUEa0rn;ZrwX|?U*h28nZ4|>ivUvL1c59Z~cC`@uy?T(7sL|A^YS*Tfu_irv< zvR=4w=MG(u8?UonSl=UI3B7;G<=6U!H~EgA^yGanPWc*Mo>ZhR@wK&m?b`Z`2)5PP zv-SGzN2qC}wrOXPZJ*XmSrwh0;gQX03TnEH`(6=B^E{^h;W52W@=rM;-yhd%S$jn6 zt35k;3#W9AKGltL{oLryEqfp9H=qxyZJ$MLg*k;$NZr!PXR603rmCT-*J`5oz(gDK z4aXj)-e%9P_I^0_J*x}lLh;Fj%rpGZ>sWbxkfZCjTC$V3la8!O^4H8y(5%`q;N}v2bfYx=+Am3%?UH@! zb?5p}XSU!vNbwEyKi8^o-5d)qO+~Hkjah_YJ#BFmin9d!>h;fHUuQH1PBv&v$7KI; zt@*_>CuZ+av^Qew`M<83*J7e6|vq#wB*#l-i_`Z?6Wk)ok9~lD)Zc8OB~oLtic5zqbvr=y0fgGrWesdRAt2dB=>@Ph{@r$Ni!v ze0aY4vqLskXu}HKF5meE$=NHg%l;^3wOHxOqwWu|GQWC!Lw? zjc#7t?ace^ILF4Au0u=LHk{Pq+3HKxPWRFSY}&{+KAN{dtZbED^IPh=^Jwx4^8e8theVDH{qe)`LZlk1nVZhhsy?rMBcH2zqY zxAVlchdvdZ-EkWn4s$==F0BcSII{lxHV|9&Y@P422sfEiV-E%j!kUTbUI0=Hd0g)Q(DK z`vyYK?pcyEv6i*2NAg9}iq2f^l#L9Y&p))##3&+=H=m|ycHOLhuzvg?`|{C=Z;#Ku z`ed|n;^*~F`5elDrTf=E@THdRnst9fu8voIcFgG)JEPytIuuu$xb@R}fp4a5O-x}c zZMd^elxQ!TysOEgIK^1R?wmsA*;5Ei#aoGO`&m}o6ks)?< zJ$2DFy!-R`O`f=wYpM~fnf=j2gw2aqe4S$H+Ber3h%`3d?3hS=0}p{W0C>Z+dm^7adcNM2NIUvcR9h~V{2GYNAh^eRkXQg062J?t7|VV@Y$ zTTRW9;6VGfUSxy|#pyKgs%0SNPEzw!-LXxv-^(^-`g*W>FPCKG&0kZnxP_+?X9{!7 zaKWZ(^G({fKiqWk&`;~q^G5aTnzE^UIXol!y+_a!`JBy4ox{puw8RFE{M0d`9`u?q z-o}VYo9i5xdnIp9OFg^GMZxjTYOneFchVQn5%CG*gvC#P9J$=Q<4(-^j34p|1k4E9 z!A{S&2hN?CaPrfc!YtG+ac(!?x-a^R^TuuasT?8B+^#ELT1c!Ys>~WNj=`=5xBE^9Ti!c8x#gWJ_uk$8;|^-u z04nsFpC;E@9v#9zvSD&zc~Q5h^4Ej6E{qrNesf^(is!RGSIv+jV2I2cONdJbd)Yol zen#w2^UtR6dYxAcTJ-md|4+y%u~%mUWAV`C4~N~$Q`vL?lS*X?7%*A~D64kUKo?f5DuX8$g#;k{CymbTjU9?0)Da<(9KkU|(b(9bNa&Ih0)fQF z;!%i1fLPZKhkyfQm6M?}8H`?NhoJ%aukUC)8mQfWkU9t zSU6D2Fazobkc}$0SmzxGIP5yW8*l(BAgpsc0L`GkTy;U$Ua6_O>hk9nmvcFP?r$Ut zfx^~}$<=vPT9sEda-_%W)~Rf*nkS43I#n8r&Ks-N8BF#Oac>HW;$ltO5pgmM7tVE( zbw(2-?AD3HJc%Z3(Gaw8qeeDQ2*w9(P8&omHfXcjJ@LU2aVo7tt&4}g*A@eDv5_WT z%ZNDCFvKv#Py_-IH?nzbY;1yCtB)5^XuonOYKx!y)IIJUywUUfwTCF}vOKMAF$zo(e?a=?y?4N6#@Q*4T1-hX%5($Un zhQbj;k!T456OX{gBTz%(_;@({FGT8E{u>RhLu=B9{wFm4((>PF{L=CN5tYBT{Wm%i zqtB+ct4vnUpF*rtsE8e)vY>8wS9ic zEIwe;dW|EI7;I#TYQ^}g=$~Bu@12Yh^D+{FtCLQo*RQhw)a{p6vjkN7KS%pj_@DZj zY_*tv0Kp8PiA15;qGX8-L=w?JC5nk8CXq-1a6+O-N;mqobV67~Cj^)ju8&J3qJLUdI(eSUG{)gWIJTy_Ef#`;& zOAJs&byFrXMMNQ21Wk=fR7GabAlA-K2sQf0M@S&TLWg)}2aIgNh(W7Ur?4p_1YK%73#qeX*k&hN zW^-aqPM6E)ajUR?hlogILepnbNJ2p{hG$b=Aa2zrTB@pv;EUF=>m0ALZ$dp-T zC5>*1Yr~_OD3~oOiG*6r?D%sdW(y1ajH~y7u)7?VMAWG3+4w@kfK3qLL^gb zRg8is5~OGsjwV;s{j$P*E=e7SZaz&X41@v_H;qo?_!LTum8v2kMLLO<&vc>mG^xX< z7f=yywHO5kF=~W~k1~jyN~b{VwV@pb3|GgNQ@ARkmPn3pr~#D>wVX>ss6}#~pUG0| zv^0y+Dn)=6jmD~A1ekob%f@zUT^g%hDFKLVg`Z<&a#R5?$Lb8BR9qWdgb`Q_CKN0} zP{($H6b!wsR|bgFu`B|)@lX;7||<$h3qt`+F`eeQ3x@{=N2NpK}Ce19O3Y2 zEC{>SWeVx+ybx7mAn-6WHdle9sR%+1C{fd-92dgFaf{s{1lM4q;;9~yRqgQe$bKe) zXOz>VZUiN)C#fR@Rc@YB>vke=E-Okc3WiX61dnMGGbI|o1VmabCI-u36i`7XjjVG7 zLGjRsRIoSvs0c~NLttu+XZU!ArrQVFDdWbp)=%>(R z!dkVH3BszdS|=w6;AV-4B5-LjJTlX*BG+v_kZ!SvQ6@QpiSAi^?jbl~^Ty(2SCF9dkCZkAYw%|N8Cmf2JO)h$b zAj1YDxPg$F?=}NOI?V|LNRWd01xx`;Zxh2kYJm|WQxa5=^03u1p3>ywfGjU)@e)92 zQ;NkryhzK%n;Aq0|Hp0L8@LNTmve1L`zs=^qXhrrOu)KZo+=s?=#7J`f7 zM1(mCnTM)#cmpS8Hu?(*k@yJRilFBt&nLfRQ!Dfk3PCkOj2BD4Qv|A}*hEp5H$o&pID?$)&#|q&x zr3@dGBm7#K-Ho;L2vQ%D?vsKz6N@EODs_53njJD*g=9O2CiNm1c*tDPf-03$E5Y($ z7CS;ru=*gOM+m}jJUbg^3)*D}zmq95^TG@g8&XMJh@@2Et!SE$MIyTeekQ{Ty@~-9 z3TtFYx6Gn5)72p8LvzG5rh;jaiUT%Qolo(iS#BSjDqt}r22U7gx60g7vYQ_wii9`= z+73crQ$)wo4sqG1}*aTmYA+3(7Q{u%Dt2 z5SbpoGidMz?M5PK!_jDfEeQT17$Ec248VoJLUxW9K;i(b6Ya7JY@Dz_gEf<&7jc`A zGKUQ22}$rgfz5}b>*zEVOW|bNtXd2Rs)WIifFp6~c-#nwY>x*n7jrlwFU!m0c&u!s z*G~6H^-QacNAiU|TBOqK3ksw-G)?4VdlYyiQj1YxjZVK!Epf0oCM+BiR-*`BvQrl! zDAomhGO5_*qTnP#AJrz+V>m>;DhNt}U|0bVWPZp1qSaa;Kmi3bDZ?$dOC(M$!;g0I zcnGZm!_k3qHGv^#!|Os3p#h3ENFsblr2r+A5a=LaoJg}xFEt3@2$#hzhq zQ9ww>XFK>PJWPf|;w51$&rPL z1B6;}5cw`0+rbD?6q2w_E_Yj5RI|lsh65rd#)=lRoCFUPEQbJ(R}&(J7yyy1BSOY0 z%%DjHF1{TZA!u_TX<;V;Lua|gIFpWyHmMzOjmauwz#+X3szrV-0dQ(fJ}VaEggNDO zhe{Wgg`s__45O&pFoUiV$%z(|&>gZy2%6CzG>#`#xXk{DS`#5pA_pRBjgSOEwwGtD zRUr-Zh74Yiwg5$w=zI1UKl#M(ySxx6leSE$vAfcEwd=SKnyefoIWrIO#0aCwgdT%e z0C%gcCcRtlkibkltj-=b62yLg2(56LJhjcJ0M8D?gh96;WM=r)VwV?i2N@Eqm0qXV zOq|vaQ@B7K3oixnP<#wC5qJPb$%OohMP?^(L7^Ap^cevehkMSH;Z`(`#B@tQ zj@IJya(z}I2dhO8bsCY{L=+pDXdgd95Nk2hKzRtjIN>02YA>>jmMJ>h!)jpgF=JJx5EEv^f^-CfI*$lhb=$6^o4mW{? zRYL)}S0ezJK|L1I_((|z5r7WHe=Yg1+C&KcR}GC?Hi98UzN`#sAmIWoedg{Bd0)&n18uZNXmY=-RTEvi$h#F%*XcqTSMS)+BjrkXuzOG z9yCJZNmUv;rY@XgdIeGfnM*NC2?7bZHg4q5p~R|~$Z=6EI3ih8J9iVePOs{ei|#|h zta851t5&(y9G#38WKk#_wYV;c!4QR6T$6(VdTnx>C`7{Pp!19b2tmr}^~0PJxEk;= zkWdgngX}j7fIL$O2?WTI3L?h{1*^4&9R>Lpcbz@_*KW10mk(exfYso02xS<&6Dz^O zEnJUD>fxx3L6IYXVrtl+3kyf$^-fU4_%#I9DiHZ2LV=<6syZhw*W+CwfTIRnQUV?F zD$wAOU`Zku0UW;-a(zywmktlX;UTutfcI$aB!=3BBT7jMk;}nyqR|n8E*oUTWq2Jz zhVhX2Miq#GV*3D=!@^RXEIt}Q$rubcw2F~!=K;koEZzwN)jqVYHiYshaV9C=$#SYy zG*q4Wf^oPZ3v|q)xbzn2P-6ow! zEWAxmkWnOlm_Jgj#j2s*t}%yZjNCKCdymPpMeIb`;{Zav7X+jMiGZj zcUfFU1cp@S0%%%1DAmJhI>^w1FoYU#vH=YA>_rPRxNDub$_qJhsE$`_GlU=pQg%5G zgtWyC*ibakiT8zVkQZ{>TsAN=WttMyW5akMXd(wlXaX6sZWkETn8Q@2 zz-4oyIApP0;*;8)5Bu2)vpQ5;WU5^cRSTWp)V{Ul4X<#K^t9fq%#dpCDvwyQQ@Ehr`IV7 zVlR%b62deVF~JCTh5alJ6D43e^>UMgiIdwnR?s3-+Blk^NCB-52Qp@$&Qq66!|*6f zH%0E1GZjRH4DaFrL_7}jy(%swuk)+0k#ZJ7|8Wf`bRS_XI@tPo|3yN4Y8l-i> zpvXlti_|ug%VjbUiE;(;S2$Ovu89Aradm1L(R(8MZ`HC^@$1w^3XSRrdu%?H%PsbX zEKHw@W`iDC+R@r@P)ebJDioZLlj(S7h%FBhYjGjMQik3k3SyxnPnc+p*o(-oIwvLI zs>l+t+{m?9FK`8{G>b44cGBrmpT&fbTjg545AvlJ$mjXP(AJaCTmV2MYHNLt z1x80e2TP(05a8<`E+DuvsDeU5Lg_oC1dVh<|61i30BhrbP`Lpo2R!zmSIT2yIBb=f zq|`eBFpPymA(cz#RN-_cxESsv$Z#-kos%MXK#?Fabc8gXp#lgN7!NYV{~!yac=fQ5 zo{j*SKnM>vk>MVPn~nA1_&AT;$B;|WatRi}RC^;*_Dg*NPz%?}sb;vs;6@OgVjBsB z6rE_)>j@Hp3);T{trI{>6fUFN9m42kcxX!jCMb6Y^M>gRE7t1Z^O#DOsxA?P3quhX z4GL#wv9e60uO|ZJGejSve z)=RJ+j|vsxkO%OwdKr!8_mi+{C}?)+OhC{n(%PUjNgXw;O%EOFDYaQmC^yM-$*m!n z$IJJ*Q2^EE4(UOMlI52W#Bh0ppcSjKxR>t^^t2Ac5isaX2ioB0naDw>91Y^3 z5F4wvqNyUOJWO*DXhfo$rLw>oKDEwAhwM8Bgy~^U6SN+XK14YDr4&xT#e?$MWnLW2 zPLP{nooPzx;-#o-~TN`p|cIzWUp2v1ZB z%qm}m5j@eQ@X8^fKw5++)+wh7CJt*PD2p7cM`-mCf-XzI2&Mc~P|}Oem-%6MB#MBO zU?E;Catk*Ya2w2V`zGBoa48N{~hf!l4{I zbVQfKF>W@O#ReQQxW|fvPEH;v73=1z0(v==*#@*WgQ7MR)#~*&gv)AY>4ZE7SAvq6 zg;=9jg`<11sJfIXpCJ*cLSo1+BLgrG7Z2jiYz9>?G(h=!7gi0^$m|lV-%WGs0!TQ` z>7>eBlCT&;rSd^}d4X7MRV%|9WYAg<%;v}9LG{12LO}-{h)84&i42re z07$|nm(i)WhviAWng>)d0j|OVB_s6;NKH5h=-dhAsi<ix@Q5=>aFU_Q(OX zz!M?%2!h(m02!JS6oS;wsn+@gh(;QKlS0#k6BI5uv@i-bsrD?xsN)a|CqcvDiM4Yi za-;wdnIm*oWG6am;d+mv1BedRD=LPECAJfYGA}0Rlp!5<2`! zjuGb*!;BGvR=dGxw?Pl_d=fd8Yte*BN+SJ#vG>&hQ8wM%CMI@w3$A)^2ckaP-Mxys z-Q8F8mjxyl3+AJ8hLreo;fLthqdFdR54K26JF*=4rj#WlvewkHGoB4l~wJAyl^x)xXT^*fE=8$ zgd8^<@5bt44N^2dEs7jwsfd0+;;_QaqA-^#6kx?9gPCcO8&Tj$0f04_8H!M%hHVfF zU{*IKx<7z#!x_K_A=uB0u?E3IQTQZ!i^v6gD@H|Nf@?qCkx7wWLj(lA7$kxO?lr-6 zkc<}5;%Oje98!X?nU5E73#?k1OaNEJ{X=DRh9g)Jup^c%65HHx1Q6$DK(VWEn?e9) z8*F(_wFE=M2eB+21;huzVc8NP8SGLu%!><$kZ`OY6+bT%nPDf+f_2lVTAd6f^(hQQ z8y^BDM4>?#r7tF}9~;{Nr5FtkA5vnNQb>ff39u0xU#yV9g*K`RCUIZ`-q?@>L9H6b z0%qd?VHOrJVXxe3Ah2|ZxUdLd$RPtv&JhE3xH$embnBC|K;SDJ@(Z|fmxAvCE0i9t z#|Gkt?WbwfXd5J!yF_@Wl&J#er4ZBU3>$F(C6l?pt7@j3qr?hnQX$lq1xm24>cIrrIZ!yH7J)+wN&%^O zV1@WcHR!MqCc4^iXfDQ&<%{}R#b6VG0p>d0Rn*n=Nk?f_Ma5tLiz|WrI+BN z0cm|~5O<^*;4V%aY{kkD7;=CXA}Ekbu)w)pu`a<#FdN1Dgj*Q66?)qiIsrR5d}aPs|n`8 zdGH2$z)Uf_10)^>;=+{ww#?0;^Co(BPJ;F&GbA zBjVT*J`EbhLCJt;Wb(Fj6-~Dx-rVK7t^mVO+og!-}{z1SP&W2s8nj zfGo3$&J11LgHfDrLx1>FPTe{cf8hV&0i0Y4Nn0B>6yih7`O2c(jKuLe(yHv!%Te2Sk} zV-n(jCmRF-7K{Y^3a6Z5hvWh`&qvVUeQGb@T#5~Hx||OuavfAH@FJ89oWchzFg9M` ze@9gdcq;KYcGT(}(LmGRv>w+2ksT!t;EB<>Aaee!wx4tY85tQ>4mKts0)a&V1z8wa zC(J_>A&o8uRxBeyaNyv0F(7!Ygm^YA-t07R^d2UiNzz$;dZt?~<8h33V@PI=Sq+Gz z2=Q@nK`-*)fcGr7sVz#Z3uaLfcxJy-%vKl)B8|^U2Ph{GM39|mNM`aX*!F+~tVbc3 z0&fMl3)W^rxWx!Nf=-cYG;xR1VKO>dG=vzE>`Oe79J9u0mZ-N=sQG? zQSSu}s91msV8ugN70l|S%aI5N*3ML!xB?Ju0_LHoIRg?T44i7hjA#(?M>D^AjZI3d zYnzg91T)Fucm$9Zpd66b3eY4ZfQ7h_U5pIS0AYY4(ov=1AerFPm?LUEWT!CcR2hOn zW6FGJF%qJi;v^`74-t~t{A{NhC&zIKRwYHuQUS0~M)WYSTq8pPnS(Ak5C{a`f1qPL zw;H7Zfl!aiZbQSlMxRAWwwk2)_~H=p5j-?0u>R=U7heGB@Q}u*&Nk%*9-CIp|X(kZ=wcS+$|W0T@FAnXFY2xaD~ z(0Di9C?deXjti6knKfY)gwSb#E5a5t127P?0;^T@B9W(%N5Ia=jBdA4h4I{adl2~} z!YM_dC=7KNPT=b>G&#>Nbn`h>cy!5TfYnC~QwaVnnH-0JL@ExT`!M7jkrM4P6M_MR zovZzU_)wTe8W9PFye_8!0DpijP7T;VBog}iVQk1zeSjs81LFVKsOE^g zpGs25cm}v!BjJF9F#>F{ewR{3HCkyAdVnsnGNpJULL?-BO+^7?88`qEMZ|Ro4BEvs zLl`%36Mo+DLNKctoD5jME)r=`ZT`cPz;XZidaS`;ZTxDOj8%AG<{(z37NX?939*4Q zIQS$@eh@PjvyBvnRqj?h0#V54*U*4}8EY_Z1V08HB>!$1L<)d`!7TpLs8~dUBC(6V zD=tX+anVil0sX`pl=IO6g&pWf&6g_$8V^Bb5zx89kW(b|`S5D5ni7ge%oPy^Mz1ou zu`V??LIs zavebfDOfUfM1VlZ{7i#K5YiIKZdZ__#mj_pwgD8Zw#$x6xpMxPNGKiTP-wT4D-uw84mhCe+F$9+M2q zb^rhzCY1(UJiEtAu>xAGCQ4%TqN8qx8Zfm0y5Wv~qk0ZxkNF|GME=gMStKryTzW62}oA1m3S#*pvVwN1DAZ zw}(sy`9Zo69>lp!f7}X*h=>@+k?{amD~lmE}y|o0|y+IGlI4888`;rAt88h2&w3A zXfd7`1%+b`{)CKUcy0{J1?B)i;gBC9R9K(Pj+{^wtj^jIfCn8RSx!2me! zKzdbpA6B3PWJm-Lt|21?Zn%_!VB_g9;PV5t`^U7$8vIY00)Hb3{6U~tgFo^8xKsoW zOcuasSpuEcz>!-bWU-XX1as?wVHqxw2h1%6fyTp7NZ^ZWfmK5`6oqZ|Dr_1taQ0#i zA}OITODPX~J#4knXy!WsL)>eQ`ji0{*ztIbh{{Ru+g)NnV2-F1NS^{`M9S<;ms91@ zdDLi!$?V5qECRq|6vrBbWY`EvX?2B>d?ePbkqaDw2uTA#@fg37F7y-KS_dp5WB~9LWZ?1Lr~m*!2yUw06)}_J3oH_RGFT6w z`4R#gr5I43*m71xjRO9-(Td@+IV`z9B6T9TQf3qm`H6x2LV-Y5AizryTo^dj#)ixQ zbaxO)3Gf3EEU0* zHZI$1$J?Hmnn+2ffIBz?u2J5;t&!fjR3;xPY?$jzy60|{TPvlC1CMFOuQt3u_APK zlQKXR$Ky6GJclN>i6AEm@A<+12Yto6A##7b4))=n+Jk<{^fyUkI{Yc_pC$D=@KzL{ z*ITJ5yF`WpnG7zbNe_3*lu{7ruz;ABhYoS=Zaqk2(0hVv63LB6#DPKs*DD5u6Ftgg z_Cr;9ofKzOhX0L{e> zI|>zn3sKER!Vx^0r-Ny6mT-XLA;4H3IgDoG=)(Z~kn zoIEc(AcUfG@*~_wi#_tnBHo6{xwwpXcxG$(?0QsZGT&c^9cfzD-4H6qb`)FP!4hsW3 zkC+aTSn$2ckJUcn8ISQ!sJhKqIwa7W$tI zgBs>Af@pw$Y5`F}Mn@ry@h}U`5R!-#NB|L{Xj)Myh@xuoT&+1Q68cq4Cs^%-AS_L@ zk&vY5@sDPM3jkCJ7h*Lox68?M0&tk-6PS!<0$UwlzGQj|iwb%5Z?$#ZxJ~m z7~8D@tBz8R7NZ0Xjhw_|TEa|+6D#n0RZ^}2#@8XaX0+RF0EwF*Os6HNfK!eIjq}h@ z)N73CFnWu~b_nFa7OfH|1nEH_5(T3IKoJ|g3KQ`y(TK?JS3#^R5{;y=qD`SJK-U-$ zbn=P>)c6710Yssx0c)8GI7J39<6Pk9@^ui~1mcK}|D<7E+^|go1u(H_pHcbn#Au(u z7Qs~ju0{t1P6>nJYozcY$hgR!BYqC?Tj@v;ylIux|ZDi`xY6er*mlbw8+Ll=xfFfpr%*;0_g zbci6>FI$RRSggUQnGyMax%Dqz`2AV8gBDE^10ih-9;XgQgnTAOgyqK?v_RyLNZ|rW z00E4G1e_{lz~+!UHFh=#%xC~Hgh9vp!H!6C2?5PiB6VUcUL^|cg9~Uz0}%jSAdx6U zkwXF<#uaPOVb>8j3JKK#$08w-GT^~s!&1A*r}SeudY`1&=Ecz->V4t#5BJ~9fy!8(trXm&D@B9#-s%9hR+C>g6u>FT|~5Kg=jt` z1biBUo#0_QyiQI;0$c%vhu{;5$#NLNh0{|5E*sisV;XgyIK@bZVbM8ECmn0{hXAP> z1g#^0Z7q>fc@`H&9kDsgWXQ$02+b&joFazlofNDOa+(DMkdnwiW1YYuwhL@fgrm~O z!+ioa!RID~=vFP)hE~dGKCZ@01B6Ei7$S?prI`t07Dj1P$Ckb-_Lyd{% z=vY8vHwZQRgMis%b3p8%js(S*FO^OO;i4f}g4G^9G)2R<(4S+c;3yM&{vRJDlA{q` z6p^5Wa51xC0X7KCp*I9LRNzbl#+%2(K&XH-ZG=!{tRKR8*~CDI4A^8oRMbp=Muh(o z3ZNR6s0EgA*up-DHqnd%hAWTZ6C&1ExG(><@i+NPMhil=3Emx~S zyNy0J#1Vv5AlXle(z#R-x*@(vVJQ;1Bftq`XkMp9&oPm`faVl(1+7dpP@Y7il*(it z3qAm`@ew)BOvm_K94Sgf{y_be62ZFKPsJDM0#Y<7p!9he=ZO3t`ArQy{s<%)=dZ&PZ z@#AO$5aFX4VqFDEVgm5fLrf^{!T##ct?)=7KZ_9uS+RiL1&}E4ES?zcDu#mN2pl~? zL|uA7u|fmZ2Edx79KAY(3Chu6BaPW1MgzvH*P0Pb1QVjLuv`-hxTt{6La~5v78V-- zk@j=IQ99W_w5o^;8*4D8oFEBE9Ctbca32N>GFbnh9HHNh1wu$9oDePov=C5pNKB21 z4XB*CE(@l{L@A5QXMwHg~plx7Dp zVL^zdGr^-oPfi@R0APVh$FllVJT_o>i74^hTeW~hMFi+5nKEo-vvo);2ltB~4zODq zcvj{CxEzgaHA~#Sa0tr-Y;p<71imhWO+*0fGr%TMx`RK$eI5)7VG;WDK2QOJPsH*l z43fY@#R0l2B_xt4NEVR#3;ScJ|Baw^6&``yNv2^Fc2;!ivwU;4jm1EVJDkzBD+BzL4<^di2+?2 z=?m$QWCMsX>qHzimKBFTWOx}LRHd;Q!Ldw@*CFHztAgVb$&d;Z+fDUD5hETU6zGX8 z4b>p$xXCCF;PHs5a+nWf8@Oc#grD!^!g*o1NgO|#p=}DHl%NKD%s@DRvDp!Zu!{t^ zk3koUs{y<-mCR)I8RaGf%_C<^k$9YdLq-KTOi&4cC5gdL085CT*PZhCkkv$x&k*!6 z-w3LnkL`)isLk0fx(96U`3W+8D%RJ@cKGi*pH zvXW%F08#TZi6_48c`?z1Mwpn-6a^@Jw}j`zYwToJFyw-)em4Xh_*e%ZdtG1yQD2b6 ztcmN;?^4TDC?7^mjVAL0s=Cc)X8Xbnmmlj5!!-sa0q_BMJiJ{mA#fa2m>o-V5iEQL z)oAm1#AI3!EwfUzR*^)?iAxytps6qrGe%It8jKLZVmb*TxIltK@<}d*QH=H}Swf2> zfVD>03@Kg$*eyhf734Dm$|na)a!QS63Ra``GeM9c9-ZS+=z6Nv>U8Mf?m*BB51WNn zot&rv5QW9<^Qe$WTR0dLkx^otpNX)lk?5eGrE#NWayyCaAYj4M*BN!9Gr?35b_7@8y01=qhMqR_LEp1BqF2xS!|X8^BvHs0j_HW03pi@ zNWLsROhy99U{n>tBXhvK5g(P#L-}n?mWnTgQ9LkEr%3`Zn?Lpe;@W^(9&7O59AfM- zkZ$CU!p1+y?oNp;z&84H>;T7!MF7@YtU;sEX5&f}`iPdw(Ms?nBoBNa;3XqCr{JRR z6Icf5cTN5z@ngVHz*&p~{r(7%qKb#A0EP4q>WPc~4^o4)DZHDb!zxrLF^~o?js!(v zGfM+9f%ry^Szu&{eevwzD9%R)GaQ?10HysD2aw9amKoJ7w!F4CVV{(q7tzKup_ zjEpqcL@JLNW}*Akev{9IFsn>DNW(Iktr!Mc0ORllAi2fDgjgtY6vL-t+!3vqj`rzf zQaDdd)#~kl0~!yEvtzh+Fc3jtdp+zb!ct?-ao2U1liL7_;@ry~s-G#s4E8FWN6yX;?e z8vpd7vi=V}1Bs%YMv3?aD~Mj8fNEH3AT7%SzI_nxw{pThk}K|tAOsq$0*eUIC`>$8 zX!FxCevkv?BN8N1oJNUsGXXsFL%|@l0u&5rVSiRmbV|U|2YQT2__JFg+Xq3>ZsXbs z1MCBEUP)2@LhKDhGzZ~_^8ciF3RV}LJPFniKS@PUbb#4v#laBpl2sa$o&pY?9AQ8z zm@f2=_{3Z(U* zRJs5K%pgefH^8Jy7tJaTIWnLH5!0!`;krUMhr@k`&kmTd4h@3OGnl~%Lamqm7%~OqCNm`vIe?%LD0l}!K%l`a1UUu)6IieeoZqMS z0|4z07@sHV3jEVwu?GL?#?Na82vYs3rxh=j_1AJ*@d9x+^Ph`{#jpOkrdYgG+TV+i z{l6?R$L0R-YsJ~^|5#_v>l5jJ7436)|DimcH&){CXX!KW_^-NsKdPQ_xhWK<)*r3C z$E5W0`^~x(GyzSbfm~TQoJgjS5C{?yg`{Gz6eJn}E)fthmHhd=U;2$!QUmuuXvU{@ zX!XChN&NTP{KI>HYm=h)m;<1Yk;iHOH;cQa##EGI{;M$f|4Ep?z4uF)sNUkYb$`_x z?%!+n5AXeI_IIWJ8styo{AW{(Uj+pmK^aKs_d1Y2-}${r-T#*#eu@46rTKWH|Lx>I zQtp4%^MIbxi2uM(A+u?BfyaNzu6u2q^4WNJg zi;4c51_{)jXvJS9f492y|M9*2pw=pL~qo;*AE(ngCqY{KT$Qu`yqom6do}srlSXXB71>CQMjmW zh`@jF(f`Efm+U1({}ZzUptNYEcknz75xp2S98k?28T~nGeBfsk_!%F6lZNSl!p7c% zXjt%L%t$~J0*_M*YOfD~1R#e{q7V7fAZo}*7e`G6G)))B+K$@;U5o^8#@g-&{`|6u zsC~u9@#EJmAdmzE@_+b+3%lj)X>E!U_nz7G_+H)VcIlR5k%7IsSE-Ay|GWyJW8Tvu34SJ--k0stiRRnMGB(9{suY9ymcQR(l2doemPw6`cUb*>_c1opUs+^eK})G zm#?^HrMbryj+gb!6|bjXn#EZ2wzT-0&A+2!SSbAE>EUPiTZ^;4eSdjDma>@N#oG6q zwxayv@o(F!e*XGo?WT%Q+3p8E>E*z%rGuZozWAiav$@+w)D7MmS=PV(y{B&`E*$ji z$*yc?y?t`+l98)VR2<5ZE$w-#*EiRQq0{raVY2mY)B4wIsqJ}n!iP=uHr3A?KC_GU z-p%fbV}@^hwmSK_?lDS zif>%^ zCa?JQ7ut!@nVW7e-1mJo@ktR*#_|mNP=5Jht+|7HHXfEG>9u~Y^x(NEj%91#4LJAt zsWc6B@8p=%16xh}O4|0VcKyqn257*vsOsN6ok3Dg|jj-M>jQDxyqfP2u9MgOKx`Kg<51V>rTk~H`4qCWLq^#+W+?S&Io6c~h8FB$boV%Qd`W6;Eb1&P_AB+B81@2)6ZlCd=M zxV0oiuiCVWC@sB5xRdC~2+9#fn|zq693@rv_)v#e(yYby*;~B}mchx$q8XCO&w8VC z71%?&uhSEyoHj7C(V0zi2cy4ys%YOdYoBocr{j0nHC{d%H`h6L?(N(M8Hd1Uq8sxW=X;?# zv|FCtweyZ^vLJlL3@`2J(m6fO^%W%++?pThvewWdY4oAtAVDirv#`Um@5a?5$OAUj z|DO1+t5w+i+R{B;o-H3y@?Lsq#Hgyu0h$YaWy3laX}YX|c|NqgTPY7V?N2oCZAY*fwQoF>r=4C{q7Ymt1z7y zGwf`Dc)8xwU5zL85Ct1`+hYz5**`jYNUPA3gB7n1l;z(_rw_c*E3MYYQQl_@vBgIb zJL}YC?|EUmne2O%+P-|@pu8ofMQY2zsXgxcHoT>w_G5VU=8t{F+_mCV#@U7o+uY(_ zQ{6Vtn|>|Ov4wu@;6|Ib+`G~NKEGC=&OP2G*po}M{Rzw>TaKE6Nz)+PnuvFSPP4vUMI_sT^R1Mbn919xv(td zd(%x*){lP`*vo43szAB~uiCKkV7*owU>j#94qyv+7`Jt-?>fdhZK|@!I%seH>*tfb z!XZaapBqIw+Rz>JB{=tY^=DIJWJkZqsu5oz1V2v$CRcqt(omX)n|6GvJ3W z)x@p%ZN>N_X=T$_w|LwL6IeQP!@H@4me+NvHm^JMWWusnH*d`-eheqH*>)FM2fbtT zmDve(hH3K@nJsPO1ScE67_z*2Gf6?U8t9snRN9EsRA~5DHSLm#qP8yW!<_s zZx?^4v}Q|<m!n(hm_Y063WpNy^-E;zYX*Rx*V^bJLwMlIV`Wpwj3 zQ#Y?cyOMZ{lc|lWAHPaZIJ-LAU&+_}x8;EjaOT~zy`OfpT`X?fs8U|Z@#CW8&Dd$p%AmlE#4}rH9Wv7AOscoB-;=5L z{I`Y;bo4Fd4w=aU?Vi^vzB;y zJG>#hs2$GTiMYI0jboIb-;Rcbip+1vL^3oUL~iT6UMatDrfVH%IN+KXX|Y zrL@Vatrhx`gFBVu`Ls=S-k&j7t(rFVxN(}JeN*42#we<%XC#SNeguN$EHCd;d#WK@ zhTCe!RGm@x?5Wk1nrYpKIfwV|ax-If`P4gOKZ@5-Ut4A^A0?Wf^5(ZNvT{#+%J`u- zUM*r&J>XuNzW7is`t0(mEkUYZ5;w75%lx(v8L}la7qq#zsSlyBVA;n{*-dBS#}7+L zQ#Dc4tK6h|w@lfmv&CCz>1{?&>RHP-Z9aeA>!Sy%kFI6dKJxu=skzDKIpaG^Nqr6V z3ujUnZmhcGk!;>;&ep7s{TqB7_3g~w+xYZXse`MCEE`6Qwh7jxA8s&x^2kf+*Te7E zcRYM^%xd3^$9_-!-DLEW<&NuVv#KX9yb?V2wQ&my;`ON7kC&d?(&yrp2Fc4;*@}+r zonxiWttFbh{6u79Nkh!~r1LXJzsYaDAZssS&CSAm3*Fu1*>7v>47u5P`OK4iNfY{8 zeR4&g%S%=vy}hcJO<1U{`uuURtn&9uhkK-V3a1>Xoi5g3Pgk53O=M>5e|Nwe9(}pf zmNZ1Oo2?QNwf6?+TMr*I4H((T@p*osq;kFc`z;ZLWSP~&Nk09mxKO^SMPL=HM`hmC z@?uNJ?$vr!eje_S-%GLrJ$dc@M#s{Ty)tQ&`o5m`bV^Ypr~Pm<)t1W5KY!k+dwFk5 z=hyWbas{d9YVKd4ZP|6ez4ePO%;x{rW&GAAmAM<}U*C>=ym7Q{hoep5ndEQPv$B#V zWo6Eg*1NMnNtk@{pmguo>6I?r-1l{>Yq+>qKVg$v=|N5%>=dZ*V?ZrxZkVp#Gx((C z=>+a@?ddnu{HFGOmoAx1T4|=9pX_Y5>+O+2o8C9A;jfw5v@7FEf93N1OH+KPrNrwW z&K0zpp46b;^Bf9D>6@!rK!)IvxdC0fldJ1y=h*N&{L|`G>y5lrxi<`Wm(`luYc0TT z#z1wyt)^*flGb99xO2e-k;6lO>)zHyJ!iPhvoM6sGw7h7dqgj9l%Bos^q8^PaI|ooKhmEW4$S)$J_c06ISvcT-GEh|52~wjEhgFAiE5#^~S#e=BV6v>b{*D(NtO+ zoHh4+`>&q@cg{eK_ElR}{rVp%>Q(#esKn2OS&UhcenhIJOS@*;gBMO))9Nk4CVzh~Q5-=8Y{{IYF(XU7q_=hjx@9(vU51bX6;9TU%T@0shK3k7SBN=}^P z8X}qL9Y0~P=)i<4x7OTVbu=rpq|$9$mvMxaRNFQ67ZS7kmeI6q|H{yqDuJvng>4G& zY~#GU)*Uv{%CP7Q(beB_2WI<4T5#yk&;6Re(L2UP5n}L(uk)`?`-ZmzEv*U zT3emUyR*lZvEpl6deZshH4?X7gy)=8XnS|YpUppda@?e+>%TTA`7k`h4-y!Lfi;He z=1j8J(5^i&w)(OI`?qzwIdpPhvTNLhm8lEH9u+lQOlPjCx$3@zQCdErut#zEtIZpl z`j6h3p*>PG6dIMYs@7?ChpA6D4J33bN>VMUNbk6_SG(-0d_Ipj|6=jpv8kKyp)cc3 zEI+(pNXG72uz5o*x!$6Fla}Svc|*t@1rr|~+@Y3@s57_Ee5X|3Jt=c#18nhn*$Ntq zG5baBKGZrVnHgh~3ES~|_ivx4g&)4!otG+VyY*D-^Rvc#6K>j4sfT&)CZ&_Xv1$ypLbKE=9{yiqm@$z?!UFDb;761IV*=)GoN;TdGCN@ zk_nwTck0UOrJuHsj67>}uI`XFrGyvwFX63Q*S5&%U}rEMeS?uIp39ZY3Tb4Z3jI#n}3JhI>p|n{g?U7=kE49xf||G zuDFu{?;}V~*uuH;Ah&UoLyJ2o3X{xrBkO6$S}jaUPaOCpqxD!t?uH@l53*4&Za3g2 z&tdiL&~5Ii`U{y8)&)y@aVAu&JqG+z+?`ECWzBI3%1a!)J5&R9bq;s!nZ!pY9vobH zDCsFQ-1wp14QPVhaJll~G{n91&I>m!pd@o|?byXy!|s#sAC#36&|%#BQ$zTxTV*{3@T`zT2>8@6n3KC?{yIAi7F*WxblZ`5m6Q9*)|RTqkqu-s?m73vPG5l%py*kTdmR3(kdpwrg9; z2DB+1J>c=o!`0;nw)r2F4Y#8@PDD&d{&J+6th`fU+dbQw_E)PHV9%aR`8M&ku!LGU z<=o2=0e}Bi_M&q&GLEaCO}^V8i#M_3H;4De-uAjh?l0%-IUn77|KZ7_(vF^Ly;{(& z6y*rhmLiZF->fbj;$5)$OYIunnm9H}+#KrNxelTz2 zs4>+PTReBJESm9R{re^J!kKRajR$u)_vU5)SL60Rsa#Rf?89a6WlxW5SMeeJriPQJ z8b3D8S7KAO%zzxD<Ii?!|?3)46?ij#$N7r#`de;LsI0 z%Csu)_G70upX`SlzLlj?-7i|SYCio%6?u7%KSF7?cSXpx|M|vjdWOCDWQSXqDy^>2 z)E~#qP-@=xntgjj+XgVd*fZodDBh6Mqy4q#ONCv==(g5yS{kiP*oUw_UE{lVeCw3% zeLt1ITIF;44&HHBpCL7_ zO03fBYg=E^g-lq1rE+KHZ^f4<=JJcN3oZ^d7c`z^x^%4e0ViX!`tkf0D~6D@vtF>y z-%sd&5|*(L)P8L;sW3CN`*8Z&W6e#rMax=@_t_(LpS_vKs}CKcNY80oW!qbCYTNEj zrIrthMV;X@i0zW<9~+y#DwQ|nL6cG`_2R7T`It_m>XRwiJ*H1A?J#D6{YLvrJGr$| zV3)#6H^1FbK4kDb#!mlYlc?F(#W_Wrio%QMmG3?Fc#0Vc;Eo8#H(^a4@gdw;`DA~u zg!2vZxQ|oo?-Q(jx^i)!1*rFX=Y8_dQ^<#3tkh}Y7-&2~oLdOhyXa+~e}7I&*KnKeDWAB!Agi z){)Ydrz#dp7j5p^=2W|S1Fxhm#TD;uX=_vaB4yv!2WLT#O&c!na;c=(1l-1Ls}Hbi zTVEA$Y*U^z*~C1H+6BM5GN*hV>t)SJxQbm36&crt%-pv5V5h0eM@a{V#(nNIcH{`} zz?A0Ab!KOB#q)a~2Ccr^{`==M&XL2rh$S}@CZ;y*Hc_*dx#QK>^9N7!D(baAl>h1C zq=k8P#*f@H{mARD=MU`bx&OgFMJv_XG2f0(at`hDY0Zh3!e=M9^<6b>q%yp4@1Bu+ z1iE!IFI{iCY)`N4=+g5=xvxj0h4E8APMR2wvs?Hpa+ z>!NM>_>_uq`?hGM(oUO8*F#Q#De9eD6Z!2EbiB_piw@?i!S7Bz_)y7 zdkHUiac+LMr>lFcq)%(Gpu>@YPp;>>dwFeyXTZwIT#*cK5*-z*t{({ zV`@uG^OQ{`1^eDs<5bgcE%NAZwVhnAv7q$MrMwS!$_mqaJsdeCEUNz{eJEf+4; zZn5Q6yTg@}&)u$Q+@Mun&BZ%9U?0i)ziU@Jz5Z%ai)I22{S03F0;!qQ$5oIO-hrQXX4BbKk} z+Dz6+h3Y43RJ(R4E&T9VrLvZsgwu(wr&ca4tEj#{b)2$g_-of=nuqO;v^4E4?VAc~ zFL%ni8HIhk+!}Mw&o8)gfR(?q=Wa!vlADzjjgRT}ZC+e|=v}z#x8}Y&mKk+ZTbD7E z+ua$}&-gHi?9`=3C}~n^Ue4}ygD!}tNO_QSMZ>LS_1g(+hFNAiA8I%L z#^u?g?BnyRCz&QF&s~&q&L&r0g3Q@=z6PvuY0@NXW$})xgwd?us>13T;cp*p8DyR~ zFf{NX=h^v#mkPGtI(q?DG5bk!M_H4(+g?BK16y@^&NxrP*A|TR5gelLjyldQ!#!*h&t$S4_E2)0| zUbjbG^V%6&&-_#nq`GoX)Z?c!hyxBck%tmiZQtH<(G3`9Or1OzE-%f<-l(k>` zPsT4uRO#i-CM_-ODVn*wW_#uK7SlRTDn5ZK>)7u=*}gLT8pH`kev_7G7fn_a-`1&Z zl@(7)5;xrsHC%M{BXoDipogCy6xXbHiiE*eeYu-?XUfp2WxmTNpVfcMKU0Q!ku+_) z_6Z+%!dd-M-h_%C!(Jb2QMWZ*<*t!BsFA+L_N<4~ z{iNi)MfqK;PGGmXqRT|rN`KV5J)+y|TK!5top^qRWy(lpcl9;h^PC_FR=c<3U6;a= z);E^0o7{UwUUUg|_rU8rr=b2#mw$wfZQwmJBt`e;Sn|fcM;jjnzqYnlVwb5GuR*C!lBbm=NA-jR`lJt`Ad+B&1;(=OUr zQ#X?_FlpSUk&CWsYqn}*Me$gfWgmQx>P_2FS2^|aO4QT7c{xY-KZ_h%*kw_l!p&=5 zm80OYIooO`@21+G96qPS4s3Qp!7kdN?Fuut-qQcz-e+%H?-?@8hx_vB^Qjlc$$L8A zU$tmKrTfZNrX4qvf^uE^<~Ag?=gQ3K3p-@>Jt}UTP-lSMv(AbjO}*G|anJJu11r{b z+{GAzy;^?q7+)(MKc6-7>9o=j5r6$!1#IZ$@k=`wN?dnqZ7V3t=pEsRw$6Dobp6m5 z?=|uj&SyQo6tJFo0_03z#|@8GzJre6n77&S?MPzh3(M|5=`6gtw8xigeZwtb2ih*a zh7g}zzYO28-H2&5zphGCjeRX#F5b<%_H_4|{kaWZ>hf1_C8M6qN!a+&GU&#FTg$Fj zezT^i(-2p)H|$eGHf)$OpwrOXM~mxzW=&*a#GBf6n|x*xw%Vfpk>?xMWsaWVoZ!D# zYPOmw*~smkdDpJhE#qbtEo$ zws)RaJA)v9RXlXgtuGt*E4lc(x6;NADDB4^WB!)R-8f}KEyIRQO~z1+qZfXC6M1^_ z08TjYzVUuVlPY}@dgz(w2F@;~S3hxg^3FA<T zCFnWhF18#<==-q}`$5mrHGMq&>PS<&jL_y5T+OOpI${IrvUBa{;YS=KYtK5|N{WVr zm$G}^2KC6RT)EQQx3lq^s&k!N3$CqqESlsbw|+YDbFt7MVh$O55SFBxp0a=Ah|G%g zW_K8rp~ot=jDz7ve(Od2_ISmbdE|nZtv>Xor|5|r6lW5*KB|7lJZ$2|65D{Hz@z=F zKFc?b`c|1HY+il}z1y7U!ncvc`z{} zu_25%`Q#yE=Ly*dUf4Faf7xe6>(|^6@>oN4l0=t#gJ}Lp)}A&#`N|4s7ei z9T+=iR`ZUH4t5+nju6&7I94e!?{&A0=4bLU*DOrC@G_`6vBN}JpGJv`LwV1)L&vyn+dW?v+4`Cs?>bk!CVeUh2fvPH?t3m1Y+(C3!3wR{fZTbq)+f?8Tw$1QIk z9MV)xmA6D48F7}rS#W*s;b7{tE${~e7s5u|ueGCPUvv}21?8fL)ZWtkk?(4=6RV9& zpCe9dk+|vf<5>gxQeTPo4SXV!-iGj>_XT#fxN_<3*glB~xE6I@43b&a4QP^;e4xs{ zsVn#^@8H)+mbVSV=Q!`AKJ8w^Sd%_hzDG#hq1bJzE}NY!O-N74OegF70gbveNw z6`bLssaof}NB2EaL|V+@$j5!?^x%CP;r2R-^KRzJ)(@zMH@|5=^Qt=I`))zAwmUBL z|1fW&uV(Hv{`lL|GUs*K(js}=*hal?=VoV|tJCgw`L_1A=M8VvKV^2FVBdoY$=7`E zP93zid7$l6rBClO19_LfCO&F*dRp}|Mb7bq>T9F!6y<2hSwoNA-Lhw?;*iQ%vLpNB z-Vr6QH_dLzE}g$eOT1a7bJtNHf>qa!Gt|uQx%e^fbIaXZ20XXvvowgcUDQZ zM45*FIB_d?>im(zYt2Y_p-j#?vT^ck-KE|-dui$6@#<#DNt2iMOM{(jEa;S0ef_er z56SZxjOwd8uV*Z-{>>bQa!8&J+oqM&FahD zE67V`KBBC-abgI|DeC%x)PN?gAB3lLURpC^PZ(#wP0|l4UQ<*`V6GTPxH)5JMemum zDlI}Yz9`qXCY3xQAlg*sb*px9^VlT=yYx#YykTCe(Ms5DTZa{%6Ip?S{*JvS_rdfv zB75eBH~Kp_%hOz$Vd{LU@zte^`kuRXsbPoH8Sj^3`)We!F$42Q&3!bGc9>Y$acWAJ zhv8+@XGyv+^R!gfsm-HQ_(wbiYSs<yM%jc-a1ElH+fq18O)_;s4J&-Zkn1q z<@Q}kTVzG$f>IEh%e7c$WBrIHv90IywtXzUk@r@Lhho&9S#cmTk12Eo-8}py79l84cQp6SgN6GV= zs96U?y2H(Lc8wk6Jlj9N+q^p`?WnS6g~{@j>&gn(Wwkq*wrjQQCcCiwZo$lB9Xb1Z zQ)wfP=a0X>>hqT6wY%4A)9G0AQ%7M%zTIC%(38VK|K%E6M=oaZ8jZ>(5YBWxvV7%8 zRPqQYSzV>)%dD)#hAhq0=}Ko6y3ko>E;_6v zUfr%Ls4UsL@za5_+w$OxhYg=KQhr!zIs9>@uB^?vIm0jCIE~qK+=?^Ys!}(n@y@m% zk98V8YFiW8mnXeX)_v6f!sKs-*B4d)K7Gfck(2YFQ#)_IYumoB`_-X)(`z9*S+Y~_ zBzez$%gb6vDQ%GHx-(cfHZ37{(~-mbC!2OH+WXZN9?_%ZR(r>Tw1@B9so7uN?Jf&^ zdj0HqBO&+s4$a3$j~ey7@jc?=9lGGHvG0Mn|G-5lm#FC%XH@nb>Ud&$jfD@qV(jGf zwYOIn7*jon)vq448>g{+nsM_}N}m_<@mRm^XwyFXIw8vCLs*?Ub=ZSUD_Hw*cme$K zG|q3=H(r~!bJX=G^^BYPArJQduCDo_^{^?uCe60Y%=z;0*?2M1&GXYzbKISOYn}dHcXHXhm6h+kRXlmXJlT8I^ONu1K48|&Jx+D&61?d< z? zaro+h;@aa8N$V-K+)kfWQu?B^ zcxlPk$igd^zG@oRKbu&1gp6qODrwHt1rqtCJ*pX#cN`e?`n{{N^45m;MXB@BLH=oy zy?Q3C|C}b72T#{%ZT-4&u_^!blUm!7YfR{5x$rr&!_)EQ^0C*}uJ%hbRUeFrBpqAP z8Cp|K!+vm>RO$BWliSz7TIP_nca;oDp0K1x4X8cV&+osw6!YLcYb0vVi8F&QQcfOP z^*U$Nk~b5+mKQy!Rqg2h#eHt|yF^+{*_T$QAE)AsH*;7<_kjz$KRMZ_=7`{Pe!qvE z+QLRJ7khS1Yxw+`{j!kXJ7@Fu+~vdj656b;!yj|@`^>VQxeZHALwEl#_UPQ>l%N3gAgF{>@mXDqTLbKwIm212{1a`>wO zwo>45V%!yU#_cuoZO}J_v8o_ zBWbNHn3#R=Q~@5ig9c4((h5I?O16}(Y{0HLX*%3_pT0BUgky3ia96(;0pAlk5A6Ag zF@07zXxLAwS2adGy@>c7Q&h5sQGot>G=Ok(f4+HnkHX)V1aMHmLIt{4ngIcuh|5k9 zxkRSePo!=9$RLuDd#iYdC~+8YkN4*)l@M-ybz;|=w!DtB(b{mCkdQ**hwx-?d3OSj zEC=zGzV8v&2u&~b6tliQnTBfTNiss=E5L1@y|@ce%v{&!2d=McOdJf3BbqoSKT18w zF6Nq^)>dkpeCN}hMoY{jkq4T5=J}+%JSc9{dwxfX#i$Wk0I!=}P02oAK|a~hU8zDf zZ(b`SLA9VQr@E7$UdNC~=7lO`g)&mnI5$1`#6+p)th;K!Bfz8R!YpN=uPulQoBotW zlCX#j5Ck@P9`Z_8*|M<8EqjNZ|7BcH3Bi^y7b@(iy#A>gAt1~5Ax2z%_Ir|Hw^$mm zrS*-ukP?-O;MxU9@1m>#q3=2>O}DMkSahQu@i^i)itt(bj^yy>JF0V!MrtsmhLo(4 zSc+1hFE&eVEeo zi)9cJV8+E<#P*ot;WY#6+82?LDMY9HkUWSTvwA-#7riTF(%&VVdW-F=L71!`QuV|Q z@To`u5Ws9V+%gBx%WZ5d)xxu-yCg-YECJio0ISc82zxfEr2n%nJb>2t7-*R(ax6F?7 zSi#uEi$()VdrLYu5i$%xACYfp{2P=`K?w zF*U$$Y_{-+MKh-`z3Cu+#(^bE63oMku7G_l)S#;%!^jRVRHYk9{?ic=0zv`$?p>qW zSX%|{ww?noP{6NV1#YZC#49AUEcH?d!+1qFxYo=9M%Yk$VSs@TWNi{c0e#=6Y7ikQ z_4$u(_m|h(Ycp?CX==_mLehB|zTNn1mwBYdVyWxdhTzL=4NdLyvojO$YqJ__&{2x(y;6v01mSeEyL}4!ErG z!gZe^rNX0_)}lY10ci5y0*SG&rH-I_2```4eoqKayaw0;kyY2xC@L((H+&(Vm2{3sMiBlvh^AFuZsM%B~4 z8CZ5dz{k0(bey}iJSCX3^TQ)XR#PuF=Q-%uIEF*+*S0LXI7iFLslVU0qz?#d!dBO` ze(?I(Ay*XmE7Jc^;t>|L#mi@fmyehC)g~n&f`-WeSn*9!$~V@bFdzKnU{+|8{ka<~FdGE`%;fdQ~hB+R!_8Aq_Z2Sq3sU>Q^`5wlp>a zGzQ&+NHG9T)P`-KCX#4)9{g#(q&r@SKVhm@eODw1rm8-g=ROEAYiXjB;wdtqkP?op ze-9Xr#ieu*n7!HQM0BK%A`tVkRY)xbPLgh|cdr8lIG&ID>}SJ`OZN}P=ODsu!g&jQ zw(0xk0{lWe8X_$CQwa^}2Wo)flOL{QY$ zhHx2*0@bOC8FhD_g4>8r>F z@Z_T@pv^E<-wgJMsKu6}>n2TY4LZhLR~NOnY|5-`c5;jEiMAaJNOTgXJuwXcaAXx&wS>z5$m9&80F=wYBR}M2+{Zb(W0?S* z@2jpB=Op_cMe-tX_gsIGoBoVS*Nz;y%w!Bdyc^>KD;Tqi&+C2L{um<7@5jnjCzqe= zCuF84>N3xNKfn9an4DD_M1&a=@L2JI>eM-Y2>-6Y`{Ozw}w zemT_~0w`Q{dX78sT#(r!85X2IRvDX;CI9o#JkjFM8q!uXT^WU%BIOHH>c@FdxZ9I5 z$qpS6q_x+$<%+({(I^?Ir$gcVm{~If#eMCVsIlB_pLAz37RG333aUNt*gSeeoaSHK zh8e7CHi#0j;V0vjmy1t_+hbT=HljT|1KaG(767Ci*_&gn14))^eBJb=WQl{Q{v~Eica-3q&=R$~(O)Pw(J$ePF*0Ym}J5Es1la z80%B~6RCP&vfA#C4r2vw>+pe63rQkTz(OxqRLyI&_9O)2vCUwdn8aJ*32q%pEMti# zb|%X_vV5QM*FAo)R5dd6UfyFklElg5LuArI#7Y}u2$LP9c^i~5ir@E0ywoY`Y21c} zyr^}Nf^(stC}3gvD}nVq0L)}3oJkujW-D50T+Efk)B&S4f(1GPl<{GZuV zKiBM!sPg`d7R&L#;=o$xG4|E-2yxPdUq3)|3sR^1=&c9yn#^W#g+E#gzM77(jl~*w zm+v%5b)BT>`3nbTjs&J~&Bl#{Hd;^ymrT4{#=6!giyc!6I{ozMMC27E{E0T$F<}Z! zE73v|-Vs0>IlTUj%=nn3U2)~UphH`6^J5c(XX=$-l>pP?_jXVE z$@CKRgp$RDiq~c3q+BGPQf)qn<-c3u6vWKR6vf}y{dmWDH!hF``>=UlT@NH z6hcjYf4HEZnD5vFjh2M?IT>rkdY4bXAI~6-v-(Xq3i*CN(;9?pzsy-54CChA0^H@Z z*ACpIuQ(S)NU8FLCVw))r|KZN{i?B-RbWlVaPqbDn{9844~#nxUXrjp@=WErNX?_KB!yNqFc)(loG2)KJsmBUiTXPwr!%Jfl1u zHPfVpbtE?k1};sd*$)4*!HYysFx9%;T)+M?Bu}vl4|5+GG%eAx$ibM|PV76BV!-mq z#{6asA+W;y!P>0^d(I?b@IcyvhB&>TbYjd)ZX&E%WIp*@@4%f$)1Y9pOBclYk>~4? z#%ImJgk>Qw9IjO6szf1I<_g@%#Zfq(Hb)HDj&6ZKR3)Xzpu7Zr1_qqx=Lm)88^yds zzZ>HweZcdiCYg%5wIV_f*VlRWE>Eb$vnC##@WPYLxEtO5r}51h_O_>doA=EjbA>sR zJ!5kco8$NGlht{4Y@W_1!b#&@;flPvEKBWKvL-UPmG#$L|AWe6`Uk4{ z*HsqN-{|WfWa&SutiP`C--)IFLY4LRP5F0I(|y^fFD69yH$Sr3YeAlx0plKsGe@c%!?$MGRG9aJR})tE@~up8M`6F79`oT??PT-rgPNe-3Iw zQT5l=(`{|an0~mnN=t~BOf?OSe2l&<6`efnq|No+kk8S>{z~iWYWwB#%W(sR-J`R$ zQi5k(rG0p3VWX|>iO0*sg@@-bpvtTH-RkAyBEPjr*;pHtBvr#qBF$b$K67hJ%Gx`W zTJFS_i|z%aP!kJr+rxd1R7cAg9SZlu=IytQS#QoXKG}ATHmb&M zcD$I_qv{o%I9|u~KPmhISMQO_hGs|6rdFsy_oBxZE@+J3mMW-1j?C5Vn7hHXfOmO1 zn`|EX`eZ&iy%=Q{h;Xec?uCznv_7qdQtOQU(~S0g|knefU{`?Y{tnZc;GIT?2^ zq$*Tn6tn;A72A%qKqxiPz@0@r!&%5hWfo+?A}u47j^rI*@J;0BhPB8fzst^~j#&t1 z5eb6kp{h6xUPlR!TS4g{O$LO~Q>S5r9hWVSX{$IpgEXdrU#Z%9K3AW~AngE0jt(uJ zB63uK`lf&ZHR|-o_wNQ${Y<(`8vP%fU1@d1YHenR1Cno?&6RxEzDe{Y3Kiyz0rRnT_;h0_MUC;3|BX<~ril z9nkV)ETE%=C^O&LNtwgPXX2)Y(eh8mXoI&M?+lxT+y8UYUAdc zA}o9F9dk=xlD=1_C`W!mIlJ5vjDifi&GR^2E2?cP%j%4JiQ64(23xBRtu(K1BjCiL z6o_a?bYQ625wQ4?_9W0(n7qaosGnF5#8q#=_GLSe$oG|uat z=u-(romOVAyfCHYSv3`iq7IT%;vBA=!acfrAlIj8(SZI! z1>CK)0$)o;Wn7&8Wls!Qx!=S!-^d>`q^9fQ69FM6GT{S%_!;?PpKhU~16n6mw>wUo z`X4wpvp(%LD8Wz5vr-fG64v|dR)(!8@X;I0Ocqnjp|j=4kO?-+6-QrN zBId_{4}}clPlSlL@HDDWS8J*O6`Av8R+N#ratuWPCV$(C@VDe)m|bg&v;Hh2VWkd# z;04r*5#*|y^DN)tOEvWr&-d@*k9SHiTYT|QrxW{KC|np+q|4ZMqqL~{$Sm&+d`g~wcleS1qq#|+PhS~0&R~P(gxR1 zMD@a9Ou1=@siD%*%td$f;AsWn@{et7bBpW;6f5h!^^c)JO4WScH}jPEaOH!SCW!OSf(RIiHOp;IkJ(B_ce2m2n?KIuLJl# z1PQ%7Fd@O&YZ2s#2jPc`EDxEl&D8A&*Enep(M|anlEmXI z6U52juEq1-sd5zdhbn@njOcsKCJpcg#Q}yW^$3miXZk8G#xjVIZtNuP$MGHPH!hHt zlY$FAk;E0!yr3&+CMkJE%!U$A$&qt2#gD7zms%h$vg{z)3wcQ0K@;Bh9eNHqohk{O zRg>A@s}|Mihddu~53d%e&@gTJ)k)*!2VF6UI|B~KyNMpxbcqhZlrAInZ&8!mv#vmL zLy2i#(^=z_7dlA*Bp}A-{k|-9IBezvp|xKZ{f4|E(Y?ul1z(MOx=!Jp0!~Wmdj`|p z3CEbSxP-avNtN>@Xz|)Q6yhl>IH>&gd}%5Mb3)S(NUeLM4;=Mxw4d6CVMjf^)QPgP z)Ee0eJAbOje^)411UZwb2q&tnGS@;Udel8%T}YzVXwXdvN5B(K<_o9%iL0!nLG+A62w6~wAWDeeQC}mvq2REF|=-g7Ln#EH$-DeC@p4` z?!;50VLSf&9BgKcqvaj75tLOFvc$EoiNCgFC$1yzYxB<9P&btA#?1UUarW41d6Q?s zYd_HzSo^1ngVI*8!!ko=NhtT5;yFyNGIG=Gn;#N6dL}lVtXq89OP+i^xS{DCleWQ} zth(5A%&4-&c<>c3|2h4keY+dso~>>QJWV4gSsvbZb0iha8!QU$;o2yYB1BNNs4GTU zOrnAQ@Ao+urryj6OV4|l2`M);tz~#>m5891o}s^dnt6c$hla_)M2X5=Vkk8a)0eH<)_QD=A?m7^E_U;=sa2S@(3lCwNu~ar$bP zL~j9Dn3;LQJ6~E+SbJ$yAZ&}eXsxWUyxZ7w5&e_wo`YXsF{4F^p>`>3 z57GrMC!T-q=DSvB5DhGHO_$tNX>Pz_NfU99jL`92mt z`P>_AA(jM=gJ{ATkf*KZN|tChk-Zhxy;1ckrtt~RPoN#(K&2VSt6lcz%~vPJb~PR@ z^m9v=zFj5wS1CU~kK$U_%FtJ}PNKXhQ!rnK?IkLjham%k256LAD-t9Lke$j!&Eu~v z_8Hwa8h-4B?dTtnx!PN-IuXs(g5yF>>VLG>^neg3u4Tyj-9-#7 zeyRjUkoDLT@+>9VkvI%xzg!=$*0fF%&XyAinWLV$^47QMS+gTkFHnkMDz!kkIS;kQ zHf+`_)`jE}_F=Hi@TaQvXS~=uX7IhA^bzKX+3j6NGSH0hSucgoh2=Ue>(4Yie73i@ zk$tSWJo8Wp>bA$kR6JN*uz3WYFF=TV==Nfl}30;RIgD8YX52$tbv7VP}y`;ZA30(M?$1mVaptYNwzk9BZW z>!i>sKE#lv%yoslNzrfGqM>iA`<}N0JnHs{u`*gnJ~)c-1K-t@W-i0KF(l~_R>xT+ z__!>Zq}qpr(^zR)D|A*QNySqpgpH!h^0CN)cRxt`$trZ6FfUcUpc=!WyIYsfmn*+c z@*?JqquamUS&B*bNg&OCoLnL;IK$0&am)VBp0u=ftk3`K=PE5tev*VK$Bye!O!d%r z&8r~;XR2#I0_Jv`*_Y9#nflAEyK90#qdq zm;-Dx7V6Vg(rtC{eOXt0bpbC_tyJ<@d_-2;2~_y)a&VPJ!ZC%XFAHfOH*)ZPcP$8l z;=-Mojuj!7lBg_;q9N9eg1+M0e&@`g2qna7w<6on(qSa)zF77-i7R|TGL(fXih98% z$070c)SD7$D;u=`c|7UH*-g`N89_39;Kj2g=}}cbX=c29Sav=Ue4c(LyRv!k%K%nx z>8JCFlfBS7yR)RRbn2G#Tx2$aEjd)VWM@9jhHa&?UeBX+$?Q~z-@E~YI_F$z)kPU> z^+=(`tFWhUH(-U_V}3+Tgi5vLM5V%FJpy#!w_ijlbB|6S*B7~T--s=8nV4eqVI|yA zuSf!`+y^=h77N$Tra>P+i)mD61n?rd>-VNFdPXd((vsO)c8*&TSyTJtRFoA8JS*Y& zjB2w!S&nm(x;&?bK<&0{3O^iftzj?&u&L#Kob32ZxGdZvO-fO!Lkp(kpa9=gw2Z)= zSi(k{y?lD3&uRjiiJ~{nQ6sWm%xjQ6#mjXu@=A zDpI0wKJTxnVwhIRVWxOU>0@Ls;(d4%5cs{YHDNZl->7nJX`r~9qq%o)wd}lx5e)t( z-80pw+u3?e28YLtm)QQ5HE5sYno$GcBkf#~2in5+oA=KxR0h|1cNRJ|x*mdb5abB3 z@IDxUj#9oJ|NCi`w{HGNeUQgK;6;K55spQ}Qctn6cw(^a*~kv-(}Hj052j6noP;_@TbYS%+`Cv^I>K+h&{4|$jB)2JVR_-1%b&e4tTdKVnfu;F0F!71lfpze| zI{gJOy{@8vAiiT*IWCP$>?_^|SUU^ry(-xEbyvkO{%JS?GkeGwhir7<4fI0hABmh4((iCj#=(vyoMDXQ0-T>_4{eLi6N)1 zhM%&8vNzULQhppt1{6zVmDVo1HFb8ixi80D&-|Q`hzR-i2P3N^5NEdMXr>`+xu{9M zzJw$^L;S#Y!a^cE%13i<`yeEp{c_AbcuxY2R}Ds3=Qp|4&3Ke|>sR7i(M|{bp2U^W z3vF>ISJpY46l44+qr*MgyR~2#i35-n{9umJf|KWrkxj}-x`fx)j+6fHZ{7E*h^4fa zibC%^ngBuKyJuD8!x}RthVcdt33Kv3pn3F)gpe+_pT&g|Z7>NzrhW|8@P4#Zzn3Qi zn-^*hg&?owBL*=w%~s$v2Eml{ko@feB4A)7Q6Y#Yko$>MR@%8UsGD0txZ)XlEdZ@F zy#=ccuPfX%e0Aj-L1#tac>Cre~E>*X>Z2M@{bVilujKZ@8M6Zg~BroE`2mWtv5>{NYNJ|C!mQ%6g@o*>?Dg z{sw^w;f6w#i}w`9z&IA}5P6%%%Xra5&QnK8x?sQVrE>xDU?|W@lp-G+FJ+Ds;bS|N zvMw`Qdqt8ar)HL!pyW}wZEMH3!Z%?c0p=hGYT<*=9z18Te0B;M6{ul^M$^B3-=O3k zzrFz5)3(5+CXz)dqlIj9#SC7L>j{yUA?fXiOq!(Uk8t3A9Z;S*e~4-+9lU7sOKZWCMyVb-G(->8DP<4(h9-*kaStRM(rWKc__^+xDnTcj+HFoG z)X6z|Q;jQQrUNV5$#Mya8OSa)+$CM?FK~$pv@imwN(dK*m%8BFhoB86HHuh<#r=sO zNe7GD^cBr=C$1;>F*t~yeCa_`pIi~GP-zKaDn>O4#h_*a%wT~}WbG;7n@9l4Z8@z< z1ZFg;O79YjKUm)eGaeTNY1h#p)InV~2I=XY@8C9!a_-ekge;JUwo4Q_c1RE9@zbsD zS+^uFZS;g6f(N(r$^f(n!Lr20)VaD3{C3qant+QFdKcqKNpLBz7qW07!#DHxVKiQ* z$^>~2N~@}c3_mc6M3l2Iu(fQ!SZ4<%b(BQHf4}6iSBo};x8%KT*>~>CQ>U+OS>yDq zkT4cZ{#;Wg=*%?CpG~6Z_8k=e<~)ZYlEz<8Tn_A4J)?mS-eaDZq@N7B2R;I@K1q5_ zM6PKq!cHZ)T7W*|BccMd{1)c4<)X$&lisyoUcMGbI#O7IUD)KjD^-zMrZz8Kvcf?9 z>^k70?uWp!(IVWc60mGp3pW~peuMTYmYbwv^(MBF>B{6TV;;Gy*rT)gQBda9t7|!6 zc}OzF9=>W=?_9Rqczi(r5Z`w8c}xt*N_??}rn#*EI$Nkbp514G2<@f`a;d2YiXzjG zT-bPN6IOy!ECjcFTzYgQ%dj%Iv5zuIO`Sn|%dAZf=NLw;$z^?-8t1t)Pj#uQ_R|#X z*S2gJj>x@i)^CKY#x|$viZE)wZ|Z!l79=QLkGnCqdC;ckL^50Kt3G$q>-bY;Z`K2H z6en*5>3sWpdF=w+4H#jzTx7zN1zsYoEB+C1ehac7$cdY|>^@E(5*v~yC&t_bxQ43Z z-xbb|2RR&+7hp}V7O$X#^hVhn2L%a&MX^h*sOjB`*w+zz+mAlp?91RZR4D3)=*`aA z71+Ht4NAi7KJ28d=z=`mHz40#bD}yJ@wq8)NfMmw<8WWidZy1n=gy(MqVhE>(srlj z7~l~6N8og%12d%fFoQ?{sPesol7`37nbAGevq$fR+I3D}^+1@4So4v{X;9ZE*1Mjq z^y2LFIfzpG=iVt~yN?R^I2ev&$WPJwjrON)=nqAsQBo-z;|3x4+KD0TUV}Ipn_POK zOs!{IO3K6JgX)>tal%Do2IqeWDqvFHQ~Cbx^BC+NzGqh2ZfW#qXO_-9A!~sXUVM7D zgKa+0CH9Q7ByV|N*R08Nhnu%mpgb~*OTW}v$d+V0{VKe5@MTELhtpfIa*fHr>MI!L zET413$}A8v8zE6FTSC8I(4IGWZ{~U}7$JB=R^dJmuXNaa~ETy|BHT4PX{NaZ<7XusAvH_!xZ7ML_2wI6R9pchy8 zW?cTvF6dU1;1TPYrKaKh%RhG_O<|yE9S0fpzR53g93`K^%>zs|YGBPLk^Ejxap&_Xa^vI6t0$=G%?tznGH*=AVT^ z*ZQSh41L9OZshTv{Zb4yQ29moX6z6fHa{&(AS4=r8p-%2$Y|v=_w8|n4`7)nUNP&Y zWu$LDP53NUB=$gGWzUnYgFc@xrzfFWk4K}8UA2Dwjx{TFzO^hp3P5>VAqdGYj=5~T z-OIoeju24w)Rr@ru#|a(RzS%O2acku8w|5l=GW|aD8ANRR0SW4E4(isFNd}r8IFs+*jt`L?7P4_jNE{79t-N4K31Ju1DH6_ z13-c`z!l|IiNCz{ITXp$k9H^r`MP>(DGZGu$9IrKB$?Pbq`1wNvI8#>fo0Jkat1Ejago^$u4VGpFxHt7^E`F zTd1kxzW?l~M~AF_WUv)& zf=DO&P>UY)Xr1j9|6tT$4BLFEi1GpCnMsU|3Db66X~;Qte=|cEEE7-O5D30NUvvAQ zzK>er$7vu=P@6IgWxQpsT2=)6$MBgco{|k81YTjj6c_Mg5g6!pr|`J68WKLV5rJ&@k-ivdRd(7pv~FU5GOR>mF7c@obxn4jqVM{0FK_;L-P)h zSo`>`z^X3U?KpamFKE#dlP5uG3?eZNsERB_zDhy*Xnu-7r9RL;5BY$r_gaDWHq@T; zi~7NiJs&DcBpY#_4GIBIp7lA|eS`}d^~a*}PFn7G?Dd67x*ek39h2QWpJo@=-m-Gr z5RWDot2$D7GP2~GL4)I4o=CDK(=Bi@X3=SuZXaZ%dvIUGl!Lde%F~JSN|p*8o{tj@$r|7LjpZkj(ooiw{UOOTMdQt^D@6&IEmJIt%<{D; z?{WB5SV<@(9WI+8k-udHL1K6-vwx#lhNz$YX<%PE&TcLA1PV@)TKYmVj%;{p7S^+z zX?i8wSfEiv;|7LKPfQb8n4_b}nQ>0e7y81&RzCv4@&N?7*z6ZIEUE8od8$Hz)aH4< zhI_gxtj=amblJd~cj3On^%4s!t+Esn?iDHNSXo(4$RsV~TKW4f_rY~a zNr)<#O#5C0;I+iu${AL_yi(6NAM{d%r4PLhGq*M2*yD|^Tp3`y?`*p)DSZI8yWh0C z&$^YJ;QY9z5vc4%h+$%DV_GhFww_8u=S7HdrgZhX@!M857L=;AJIL>~9L+K#z+t3@ zjbeT2q&D4#X~QHHO7-V-eiAd8bv8vdDIJPbK}F`kM* zq!2Ec!b~J)M4?z=?3T-#SVgW6q7V>a;!@yWeT}|{RI`dUXy9@uS~2e?l}l6JJebIO zn<{+M+-+v6{w*HvvFm+Bs5Kz zgQOzT-sfaw**a21kak0t-3P;tth#LXWY$1Glepn`VI0?*v)ITpi_37l#JQdjgRFF& zT2KroSr!Bqpx>u1U*3OC#bm8NEc~QNr1~IM_HGw(@X;b6Tz{?;-35kX2IuY9Ab|dX z)o@sL_MF-+kCyH#Q3C;65XTQu82&U?*?C|Cf=DohG7@yJ`pWvdF8T7wmY+d732VHA zgpSd$(r5KBh#D!bhe^M7XBIC-BYGgjZV|AW4UcueBk+2!FhwNz*N2-exk%?bk{NLi zM{I45pGC4ZMJ+NidvzpXW^;ulJCy(}4h!Vn&;qlhVSy(+3;_8~*|@ z*p=}uwXc{<`dIs!Huu@{u6Gq`rB!0uMd|5=*1O`!u|NnX@A&b6q-?M#^LyJ?Pwl$g z@o^VF_hF3gEyygbpofzl6v_UP8L`y8Lca-W>ik-YtC)e6)8KY!o>T;SJvRhetghhD zB&kTA5udaz&a90r|FE^TIkl?+n;g-^JAXc5EFx_V=DNG{1M55%@^xuNI~))jx~i-X z2~Ta*0tQClqg?F=#A~mGT@g`F5(;xh$gh6dL&VsVKyGTzOg2*Qv}T|mVi zK=B19FfgIIgwl67jUEymQeu1jM9*W&$Mc*ZjqaoY(uh&!FcC91 z177|@np8zSLm=izkUjwqxwZ#pN^_komFpJy>9s%u@|pNkkQLSFB3i$6A`ProxH-F< zbJ~&58pL}`IfEZPm@?2cE;mA*0djnnaMbn6L|X9ZZ$oT+2~?0-BfPGSIV+HG6PqrY zRV52_qkkqWs@vjMBu5xwk%13)(9Ov=fF7|ND0ENrN zMXGwc^u;r@6=Sf0KJjc6;deV7Dys@(SSO?b;J*@A&<#7shzvz3G$8$WHXU8tMycx) z$IX8pHj&Fw%Isf!-X8-p6?bsI9QVYkQEjDQJKl)7C;|u%keV8HGDg#^hDdB$C%tR* z;$=sZEtbn|E7fHC>H8>$WVv?i+))blq?lBkXhEiD$O~nl3S6N(Hu)B9UnGor^-e0X zo<)0_(25w~gG)QX3?z-`FJT}HsZ`v*QRzd_XVW?=T|D3vmym0rVPzEcvK-3CY70<` zf!!v+Jfz*x80FK@QVQJ(%MRfZx(Wd#Gee7MApBm(@MAsfk1p$vJYmJ+J%m`2xO0{8yQK_! zrWAIB*~k-nQJ#9fnfm71o3A4XM1ojT2^9?yMl)CtX1=-mRti`HZ}0=0Y-2u2{wD5y z-bKpxKZvXus>jzvD=K?2^S&*2AOgzQr=*=-*wMa%1vqW%ghU|&{7vUK^+LCN*olw8 z7Egg6huyvJ6$Ai9%!Q=JHJw{QreekW)2ab`%P6XXdv~7HC=tQV`N53?7OXyiEg#rF zN&Ob6yOzuQT_Wth1>8}eDg`yPempwyoh^UF0ILhZjFmfb--%6t)CRo^L(e{(4sa7^ zQ2&h6@Qou}Xa#VvzWRm^Zq740W(<%XCtO)Y+kFoc7@ZPpx&7FXh)vt2(IMJylJ**A zVN^7n&-}fm6%SSjPV8!LQZY4Sl%J?3noyWcH;p_Z=80v#r)SuJE&Yd=rsahM{!ez@ z-mLZasOuo=8|3U6NoHjvH_mW-A%#W?c%dlJxbEI-6G6vnVBh3Y>Nv;E#muiWj zW-Mz7BxakeuEQ9M*M18o>Xh*Pq;Oid=UQ(YG6j=J*NU}pF56CRsQ5PR*nTG#Tji|_ ze_l7cGO+vhk~%<0l%~^Bo?B555$lXL4g*DIu9MCgaT|nQ7bQmJvT=gUT|hk!Fm?q$)zyZ5}>EFZ~ku&F1PYcDxje@P$Iw9g^u21*j>zHav} zei7Da_DJkCZ+N!Eo;>b)#!h{$?>E=Y<+&h`oXk^Ch7D9|Cd{y9LJbLUX z#zZu;r5~^F38e?(8RJn!f!t0SlXF)b^W?G%r#!kx}YBUzex?aYdxj0LRyLCqp;%UN+v6FGWvs*{mn8xRFZ$K}+$pmu?bykBP! zWSi!@KTJaRchOTG`LDu&__^);c^?Si(_bB)9CDOi_-%-W(N7) z#Dk4RnK=CxEP$I??U^}APQyk)?9Lan848gaZ{_tEPVMIGs{^05wVLsT#=5+2950Vl zHV=a~?p7yya)}zc1;Z&uw;FT)p$lGo(8zVZH4wDpb;~?W3(zj)h4hZ6@0pP$Q9AkC zgy|4jdP*tnk;-Sr|2+O+n{A@hWGyO8ef<-ixknG0>uskNk`4cJM-N73XOw$Y$+s`G zn6pRYC^;$kNjyT$xQqtOrMNHhCdM_gtxJRd$QyCuRszHWCcurlYlQa@Ha2`Cv4#Fbj!bwx%{KQ@&6*`!u*dg$bS}d`TGj~-RATE zN;dEx#R3x@H47dK^Oqf9WMclSBE!n^ukPe$VP<3gXS?42Uj09os4Amx_LZ#ps+x#d z(&N!F|E)K^wu=83?ot2GHl}}F%~xVa;QvE+dzu%tzC%f`jcBTJM zcI8iY2{= zkfzrW$}d7wIT8~}!k}DIla$02@qBSP+jR{ufmgrR&2jnIh~^e#P)Vv54i1jH!;Td} z8FV7;9w#)adoLxS&>6zVB<`ZUaRt{>RE5qFt`?P0+D$jXo@S9j@Iq~9@~jQknOAwS zh(6Xa#olxsxxyDM>soZ(XtOaLLNC7jcUQ4Mg6;djZFkk(qIs083@lIiXK#39H%NRX zLWu*xQ+^_e_|!dq8VOgmmsU9_A*PDq_UOcv%Ii^_*VRWA@BH*b5$*fo>rv2xN6?Z; zi4J^eOU&70nvkCESfvbS-(3}jOJ z-Lp2mbJ{>;{z?urT7Y{xltSdKwOoP*%r-d#D5K|i1au?hNDgaFaMS_-t7u7wWA8fg z)iLJ5Q98|NP86JXY9+?ptH0)J7h4FJ^J=(kBL<(j?89qbj6BrpFzcTWTY{h_s!OxR zT1~K+mqo3{P6JMd1=7KHoHZ>Gt)>}4sO0ZtPeXyp8GLg z7|>Y)Tg6)9H>{+cwy?gk)SCQy6^RT#!;Yc^J1lqINU1yunHU0iCeTF78HK&5)fvX} zkB*A6p7NRnmZ8SNN~R5!dr-*qR0hTHD_w=jgeRnn#BcE9GVOjhcI-q3{@dLV^`NbF%wyXlWdf)+w*yYL)9Kx_KTmWf7;Bqr zC2i7EOa~|mnkpEZmA?nYi5ns1Rsk`PY#sT`&VobFpg^IYVgLSAcYyyF;v-;){`^ft zAhEs|xzi&Mk^^9fbD7O#*6*{QvH+cvjez@z&&RaX=Rltf;fWuXesq^8%=_+bhggQW zNyg!ty?BqFwG zJYvmq;*Oh|v;u~N-t{G6v~N0!b028AJiy;5^QcCR__@fbrBnm#Pw?}x2$EvmSQ(NJ z!F)`Ei3d`Tawu0ZHUJPhB5~t4I;UTc%0MSXefnV1~vQzMQ@h8?CMus#;a} z)E{A0k8q9n5vmkcZzLXuZ{N9o+Iyqt5R+^?QMq-X7Gg=f7FJ5sL$r25+J-Y1i3PPD z%y*qsnT}O(AwZ3+VEf%AD%FZ5ldt1lB=);Za|B}6g;brzp{7*3A8%z=SUO#9hcHq& z0GXNOdy7@l!$K_^bCM?GC2a55^d;U1A!-c|81fO8E%`ZFSay98C=4(g`XGF|xat_6 zZdGGNCt9C3;*S{XvxU+G=jvJL;Sfe@RRF-8V}3^n286h}JMUSJQ{T?DAm9JS+gnFP z`GoPKpdyVRDP7VfA+V%$$I{*1v9y5F-QBUkf|T?kpio4xPzjN<@ zclMk;@4oTOGtYdUns;`FQdE1)Q+2G9vF${n2Ppu{N5?eVj5zAyrL_!=`rNgX(E6Q$ zm}8@|xo#uXZ<0&gc<)tke0%Y1|Ddvw41q7P3hy&WIQ2WU(#r_}Pt0q}QB-;*97;u| zU@4Dz2Ul@)kFvN(f$Ev_w3Zp5C;H1V@ff;(f5m*^@WPj6oG5lK+Vk_J#YHSwP7yDv zvdNUWUpWlC!&4BfyE7fwA_QvN>-Bf;6kAdSaGKVF-OTdpGKqEwgM+JW-DI*d-n>J_?uZ=rq2y`=$8R8uGd53?%^cw4se}^hMs~+aNiy(fVHgC{|yq<9%GwV2@Ct zDgXu*geKqPf$5i!$c{b+{yqGzhsC*PeCS(RE)LOG>D&dQTg_4*fSGyMECMd zNcWhYmex--4$foz7J;QGkx_EX`|iQ4hKC)SJ82=CR%{$)4@)ycCl+dn#dnsjVp@~C zhxie#D+5^BrV^fgEso^FUz1LDi#`OQkRV}Ob#VP3XD0rg^7h|^F%6Kpr?0Ekf0NHR z|1EFI%O@bf^M9B>#wlUv>7i!jF6rXr>f&tW>`5)~zs;JX=H}u5JC}}A$IjBzmRf*E zkWB6J=M^IH%bezr!lR6yh%?fS1dXMafh1k}`iw{Angk zd0pQEs8g5}t5QqV6Vrdj*%kw9-vMao!7MqYb&eH`?2;cwAz zH?sV%q*y?55R3UhSQkkY^Gbqf$H?q5JRl_{UUM?(R2`e2?<^hDIT@>I4+I@J+HQmN zn}=SnEMO&gW(o0nCno(Z{qAg_bcb;{d%+q)MWf>Jbl&zP@aT@o7v0!b6zm&r7c!M< zVV>8&oiYY((M`{fN2C@{ES;~i&UxS1{yQaUE zbgzY-Sn5oF+%)~CHY+;jEdvrQc7(4z4-Y@l8; zJvfj!WjyIzFk~~XQSpj(I2jNa>wtm&S5p#bpXzrxS}t9Ov6;q>I$&}RdcsYfc+S`apZ56#gv z2lv6?!*d#~%84BpUx#$gyt!HYzKmCsbu;uX4jJr}7oE)=mU%sM06taE-${@3)*55E z0TF^n?0fXRR6lu*eIn!wvin=a;_~rc{ViF8=>RYG2_9b-W#${Y*Uae~`pvK{9||&x zZ@~Vc9h-P@QkTu*r1P1GJv&jE<5ZEo%{$0>5$F?R>FDshm33aug88pS7Kc6B>+dPs zV+=Ey+j(b=5PzdtbAF;O-*4O8P51w*(j!*Pb7O~k8pc0~dG+To9bhm~!KwwO)LwFFF$|Jvo@>J{dTD21?=CRw!OEz@GgH=`nDuRAJ zTqxW=7^;)lLHyms`ECNKi~)3d*Wa0Kl-)Bv9r)Bcu-R?11#yQSeU(Hcy9vHin8qnQnrR*`3*@Mo+z(UZ4&CFM_5zSp9?GGD-Ui?!umJ z1{ao{{eg7OU_jSGhBZBCno<=Jy5$&`Cp);$A1pP@GXmNg*nBHDHGlgysN@IDGgES% zYcW#I?Xo|>;$Hk~ltmVJw3Wz+bjG}dr^c!@-Y5n<>df042LbYP10ddRU({Dj!Y(+* z#gfvY+fJ3GG_jrc1qLe*-Mtrn4GcDe&Z^6Uhuj;2?Iu3o?+$>f#>bkF-W$smui{CS zlVHf$_ivQj4az65vbQUA|CK#+NQ2Q(`Wx9{#~E{o;@kOTYyS9Bl|eR_*pAWpu#f3b z8z+tjsP|wNU&e)>KbON`=kU>IPLHx^7xm*!NI9vU>UyJI!f*^D;DF#o<_%ld9;EH< zO4C1G6-+2~mY5-xH>#IOhx%k`LfP&ohctH%x!C|~@CG85rr2@ykvlFO!?RuK|E$*A zEU+^Mf)&=~AHeYMNv4J#Ni4=`aWYPg0Wp(`U zXkB=A1&lUtBl}w3T8qr(9}l2e_ zCxMV+&bxfEl+(ZGhZD&dKu?+dK9(fY0%Oc^O_E0k@bT{Tb;`}Xwx9mFB@>%p5#Hk_ zZcMz5F9vKv{5AE$zw_oW>@#IpmGF~4ceqxy>H!&5L+{{O2}w%pkta{;5J@HJqEkQv3BD;-`3D zmf}@dld_2=`=74fsNI@WCo7+;fKI;A-j`rLfA@KYEvKNMYmnzL{e2$el21bt)NyV3 zV}~RBz?m@5g~G33C?S3KK&xu%YH4J*i}U77wXm`8HQdUf{I#mhdo`r5Q|{H^cq}K} z3M$~4g_Z`eq62#8Lvq$QBwa};t>BDezimY@DqG33Oet4H)^vXURPg8Jcw5HRh49PY zDU4#?Q!5|tSQAa2v>h>H+;-mW4;US>P!gr420+MmCzpJW%5UXaQ(#k%6^t`K>4b(j z+~5Yt9rat_E!qMm3A8&#;^2i|P&$C-j;XS$gzvh@L5YpP=y4$XLIm=fJ^K4`4y@s# zwiX{R-%08|TiAXmq4TknLRj?p(GgV%_Vb&dMxiui7c-$*c;%4< zIm%~7DOLZ%&Qqi=l5c0Tz?K`!DcJ9lt*|hZTh>gO++}WgilRpszR`>6x1}`#d5_+e z-jy}%E48(EZN*~hibGBMBl#a;$gT^m(?EV)`+`r7>IXBMDiH*S0TLA{)cX{bUHso` z$<|uZN%^5W+r{$>sr?^64F|c+R`YJ=t9q9l5xBoSGM>y?xJcLMt=b(r{NBvJk}7tL ziF+652vHpUE%tT1<#=E=qRtXHGw&qm@WX-zgmRMbeqpC_%6{WL9Z74x60Pi^tj4BK zixixciga&mT8FasXIGP60ZQ;I4-xR_(e-aRpBLGI)dyk+KRUv1^tJjaNEw^(WsR=Q zv;r!sy6oY5ALpx4Yjvhxh$wcfM{r)^46IyfuWOZSyu!#|Q~U{e3r$n5KiWl+{h;II z^TL2F?o8u|8#jO-i9@~lgjZr9L=KOTy(cfiCiFR`B%S$fy#_e9;l)t>Mlv=B^ z)cT^2b8B>9F(&j|pfk;@A%gwLS>xj4_>UM#7iR-U-!HX3lLy0mAHh$r>C{Y~wjEX7 zi#vn1R#{A*GO-iO<<*rs*U+l=TMyBIVqOq!v@cP#FMP{*N;wuJ9lR5GG!I{xcN)2o znn9DJKnkBzF#3sUe`$XaBxu*uwL)K#LM6h@>;DFlw|M^D1#^C#AZqb?tf6S>{8xyM z!={}lszubg!0{n(2kOY|%Jc93i-)6pJsClBoEATuvzX!?j#p_U!*M9NeRgmqBS--O zA8`0QnaU)&EGvp6v0vHms40b;1D=i3L}H=Xpb1ER(~JIr8yXP|c$P{-{UZGI=ev*y zGH%-+t29IJA5Yu18`uV#lf|Fkzmf6tzFgjw_dfC-?Xeqc@BrK-N~$edvN3jd5=Z8< zXmR)AD{eQ^84Mi_ewg-Y{S4K%Do-BUeiw4J_0~P@Ao_YCHY?U+%k+cGeM{QV))kZT zkaYuzuAj!l<$0J}6;lNk7#AS*-}OfVkCyk&%@a23MU5z0;v5F5IC(!TVa{T@hp z4uGRQ#(vFdaX29xzOab+Vn4}h^*u-!H}77lJf!DE5{p)@c_B_@uS0qTtZng5kXF|K z98O@x+L&u+A&|>iLIPZr{Dgu%7^&1uD-x=YA0mN~3 z)T_IYB+kFRx&G;jL?1O+nMn0e>cP^JVmp6Nk&^rNVDBocOL=_l z+lqCirmErP2k1>Xz=YXh&sdBGCP=KZ=KId@R%f+}!FLkb`^}1(=vDQgZTb>X*2?Ch zj|2(d>`3O$@tDGX_zk1ynN5$(ThlSI=ddP*8r0PM_CxC-y_vk+cb5mQzlA*=l`lIv zv`T?s1!_Y0uP|WPASIu6wd@31S+ZAMmcNK#c_Om9Ss4hHED0#5;A2wA*&gADph5BL z?U6pniM2IyNh}g#zVu`EdpL!s1;nAvOtm#ohO~k(y9(7~k{1)Ft^;KAR z--9fIA@@zaI6yXckqcu8Rfwg=w;&JaDw=$rLBE_k-+sMW#FD*Z zWWl?HoU^azHBtP9j7+^*=O`UYXdis6o%tI zkx-)hVE3rvMH9T(v#`ewm4i=?NK)*e;x8Any;jDQlw;fo#z-%1qJjGG0-qP zR^Go^{tKR{qWCj;(SmKoz;>33STg_8yJo{hw%_-gRJ*<+wVejCsv8j>Iz+mX`is!< z2KZL?)PDP@7Ou(+89Us}Jl-YDIeJ>eJ1-6-9fWcvd{$4o&b|c8TnZ0=Sm0zX0mzMs z+0{8DjK2hMX_JD4MzhVt_*V-y7GKecEZfj4H<8 zX#^~Z%@m5AHsh~M~@;+8Bt0NJ^jKkc(8+$<%T60O<7CAYDJFE%o z#;qXZ=tZ}ON_a@?ghHRS#i7%HB{Gm+u11Sn1^06guytk!(oemZB6+N2P`h*;z;e+? zEb0`ycBK}mqiqsV`MT&Z=`WP@qKZa$Ra5|`f{T7nUGC@n0_ix#aU4pozC=3A)_-&DM=%Yh4a^`|md%kI&R} zsPeXVgS}59C@K11Qz^?%?nYGs)-ImrOy7;0|0bWh9E7Xzbd>S=bh$&^!h1&vL z|5WU9KJUflvmzWT63}zVQIo&JZD6k zLoSd#w;)uiK`YAeVW~Nav`7T3top>ZQaw>1om|KZgBm{Q-5B(8w#t=F`rj`#^rTU+B`7Ztc2>+O&n^ zEO}mrCQCPM7{8*!&r@7Y53!tJk

fM7ChnrkPL?rbb-BX(~MTQeWJIOwf)$D5sK9 zvj$QU%b?f=c;?#VI?Ce~(O9Rarg)M3f+GU0LQ;9g`gKdTTE)}f)dak}I-b%Mihq=% zl0}GcuKc<>Yi!#MnF#nwR7Tv54|H6|^&q%NrQFez)@xw(!I@T0~Q@!?=B(UN3QPlGwc8w-yIaTrY8oi#Mr+XHt)j46OT` zM*Rcu(W>+_uHBW>FYi$se`#c3^FFqYLR`dmkxx3CGRxysNJ|u-`;i;XE^_b{9+s^M z^X%v)zHGZ8__FPtF|VQlh9(e67zKL`H`tw{vlp^Z!n$BuI(;AT^sitvx()W|m|D~| z3IuhD-+PoXs?vek7;@8lY8CiG!<%}>E@96i^BQ0ZY8limZ)h};Su6|o^|cK( z#k7e>S^O)8fL#+!!aDfgNNIts#K(1W);gpXWy zvdG<0FF-fAyy!BF>#iu9ms#S~My!jqK82*ifmZDA)z*sOGUs=xN7`pEZ{C*Zpc}mO zFyc`o3Cjqh=ci16A!+0WMr>v87Y=CR!V)X?=#Dh6(0>iS>ik_x0uSF=$W-(kH_e2~ zZJ0R{8=D?2jN{;i5p5mRn2$d(ONguFxut;G-=B!2Ly3K#1^vPan>|i7HG7Hop4ZGb zXjm1$73V#5v??inW9WeBzy&#mrp%sbN1~s9j0<83eo78lUb8AsmeR3c0JbP<0~$7G zB{^6oT`W~g=|H`q+w%ORTQ<$nx4KW;b#VRrx?Y??a~{V+!`AdeLDA~ZQ_;D1hk!%F z6Yr5n=d6QM17i>U758eFri{gu;Qst#_IuKeSM8KU9-{lJc9;!1q~W~> zY&Ct(%Di(ERbB;rG0E4)|3>Wh^2^toP|l3;O68++g-WI0D66hTDy(^D9j1gv z_mk6JKE=}tq`th#{<8U>3!0ZCXZB|@OI{e>iUnd!BuX>o4x7B=c_x378H$3_ZY-)*1HAQWjiTJ&=_ zYlauga{+Q9c=MQt&UvU|*MX<9rvZ=mb2VkgL_|1}dHUeyER*-`lzM6R`&&lQ4P|Lczx>e<#mJ=rj+jG9VI=}fdQ||#_6cGIN{=?{I4u3a5s^$Dp6ou1 z>MPPmK6BCya)Fz#4XU5lhQWHeiq*Y3F>3^`JeDTffj)BOJu5!C?yIc?`0%^Ymq3TF zwmF2%plD}$sNccNFV+ZXJnOmBZxY;2tV+R7OW|G;5zLOam9iE`b4^Dm2b!|XcRO~K z!&~^Zb6F=5$unYg{V*RC9(+8$oXiXjWTh6O!`QO*J{3uwH%3LQ>C5TGO>w(+Pvn^z zcGGwk{Pxb^UW7jls#VJz%8gw*J|F-!xR+Mrtch>z+^S~7QQr2Io?luh?s&e$quNQ4 zEUvoYNLsJvv-Y@J87J&h!`VPT>{G5aTuDyw=leO=6@$Yr>?4m!F@-=Dq(vs4=Q!lg zk(IEIGRmhyrzz&A*UiuG!y>g7J~98EyY-sUOXEzVhJn&~($1ra*z{raZHox73M$(W zX2fidh+d?pXTX|=Lt;rSK4*FFgvmB7Ejq#3Y{uj#>Owz0n;ifxD|8QNE^&$wl_PPv zUF28S8+|;vo*_)HK{{;wKJ<~u^9Fu?AcVS&S8>w>c(h#>+{cUdl(Ps!cfZkDnD%BJ zXbQ&!fm>eZfsf0anq&tQ1RFZ_Oahv8bI&A zXQI8V@U79_tjA1tbqJm|780F?UTx`v?SrEVR1nGeXH>0PdBMlrxixYDh(B|$ur%E% z&ut8A@4WShqYb5Xv`ciL%~_^DSH>~0I}AFpkUW~5mp39dwCAqib|a0+1luy%tfm+e z^c<#i5Q@yZ;Wz89VTnvaa=o?fnf7shX>@4kJTZdoI+w*hJ|}!OBS#7D z3f1lm3;TG@_u!y};J<9zwTJ`#H2_6$N*ggK^O}I~_O&=-$k=f5N+NI8-^-~)`(EGa z@a+oE$Vqdkpu{gtE&a%#y+f*e*k?5r>@@LiibE&a^3m z#KuJ5MauH~g0NH0QY}D5$F{>1=MKOwS<~af775wUEYFnGkIXc5OHZ+W&wxPgZ1 zFEd<$^Ez1Y9L`cJb75aa-n-QRuJUB`G5COp`{~%}>EkeKd*yQwNa-Ff-^+d;4rnt8 zV<|+Xcz}??2F9P9S3~W0yrI6l_F76g$cDs4hc)s`?@|sM+HG3Zbc|V1ZSw94?ti1W z(Y@dBk&^C-j*P}5WMv_(uwCsz(dJcCm*}lm8y82+e!$jD!?zMtPsg{qjtbEvckKN( zow_BZ$ASY?{}B~Z&9wRFX*@>lTwS-auCYz|l~HSk6tSGV-TeTuFQNQLUye>6hDJ~4 zGG)=knK%6nR9k+WKkRHvxnIhDl%m*1w+`wIk%j1o&rLz(NpI+u*2)j0}R>1JeJJnSK zmnQJbC8XMzv8q8`y;1?@A;Kb}qB2uzbZ~OcJd_wUoWW-l=yijh=4w+l~I z_*&<>a4ami6En5>NAh=qw;6MT?;QoSo|~uLB_@w4pw(j~L%-lzCKg<}Ytn-h>vCa9 zJ?zZ=!hYk}s91i+7@dGLZWPk7Kz(>$4TzWMOIFbxXQlPai7!i@y`HS|lLfCqnt%j= zKvWyJ$yK3=tZ9VmEm;ex8ANgRUo$*t#KCnL=#ULu-33F7rb zOdiQ=6V15AI29~0Y(}DnT$owfr@^~8qQ;(ApV;kaefHza*qH}IVOhgSIPzJEQ24q1 z`x4Pp(B67xzFx^BkF^wtt&{LAw}&R1FneuU*Qii3H0wl+QNgJ0vOVV@;^6Dy^q^T# zH+sDT2b0;+nOna6v-b9$$(Isr@ueIta`5P{?m$!$qg4<>UN7Ju?;Ib$D2rmp+P?3& zFH}aMI<4{f73d+qBnRCYV+tR`ItRg$#xyp~jxU4zzV&|))cpioBWv$El<_{(f(KCX zFvk`4O5qI9v&Fc5l=l$h6d z7%=U#3RJY9@@xd%) zH(E}F5qq*5vA^Z!SR^O$f=4B?D-m zWf((TZKq$7Y=D8YefZ5|A5Vf<7KvHqcbG$UU;g90L2e0=rRx@DCIZfyE{v-EI-r*< z$5|CH^|=S%9A~D(#+d^pk?y3#V{w6*Cu_n!9$aG;y2l(b_&?ZbZ#us0XM{ zmRFlA__y}I$QjJ`k0%p(8iR0g#K;-W2qf5|{_Eo4QVx&rz!oNJWqk0XO;DK2q3`z1 z(dmg;zvHta1*dyEXA?9xjDLK&$iktV(2#_XPdZ7+Hc8OowZWUCTSi7k5~IDqD!-2G z^XO1q2=#yPK0c5$G8<@unR!MU`c@mZ8P46Ac?ghOchJ&EvB<%HhnLo%$-%i9XMl{M zOiT!^rh%|NNk-7b;0_eTr0FUC-|q2w2dSv2*sRXIKVXC?;>#Q1CBo2YLVrAyH_Arf zG`Lg+jYf(=4n83T)Okh^l0c*3eh188KqwhC1YZIz2|XFgn*<|7me0eLh*V01fusIb z9*Qer`LUEknI0r9j^F~97M~tuNBf5j08J=v7WMyURilz{G+n4BX#jaBSqH0eI5EtB zhP8LxcX7a8UIIW~%0;*k!__)sb(FTVdcDw+1{RF5R)yalh%oB@-w*E3pJx{j>kX z@xdb*+OhI+k4p&AfXtjZscu!ta`_I#F4c;!9Y6LEU865DPO(l`&4N(OBR zp})SGMl`Wp@3Hf+GdT)Q2GS>OAuSD_iz7RSpTiGp+v|}bjfXA2OolHS4ygI7<7pL* z_N$Xd@UZ5uJ+;M`y-Pkh^Y7J=JgqOcX=EH`1Nmt>T>yIp+ zX(|f76cvNJP?$2=4wMG`p{#R0r}_6Lk2<2X`JgoD^SVi0mzBmFs�Ck4IaGGFAq- z(?_k}CYMIylKn(S${IB+K5fM}MzXMTTyzxhKqLF*9(wBku9U+|^KAMeaABjOjV{Pj z12*tGFc&7h_i#3ZEdV%A`_M$jH#qv(iP7!5*i_%}35F7+on)@64BrW1TeIFrLvaU2 zBuy;;@m+@Tgp;W7dTkabj6B zifzA{hq+Scd5si+Ck~3aw^y(V9G4~_wtQS29ca_7Y-_f+o1Ukn3r8saCLT1c?y3UT zi{qJ;l?pHhIBg+xgo&dRcJgp^KQ83sueL%`J~Nw3xghu4j3BJXasWWemD^;u&8m%S zjP%y_bANf38Si*Tdhb{{EHCW1P1=QBEv7^*p`?C)gc*dMfjPCO*hT#^g845$_n4j5MJtKU(6Y=}%aA;||BYi4` z?3*S#=}#-Cmvg$LwSg2{2@|-xV^pudU4)qKV}M8dtPkz%6pXGGi(6!FWCmPWf-JRR z>_r~TUP7Z|B|5pTZ5u0Ji6Pyp7se=NNfEA%zK4E-2-C+MD!C_o_{nlLlmmk%l#3%D z#^=H>zpgFj!!!D8%l#EH-NNswm-mf*8bE%9o$+7}hmO$vu~*5j!zV-QRiMMiId8o0f7l35fv%O)w7l}1 zt+-r1zw_vHcr=%%*d8K5>TJUrA{!txUL&K>s zPy7CUE}lfBbmDW!kR>;`9Xufh{(bN6NzgVJAbNzMop{k+V|dlRTEg;zHMEsGY<_Zn z@u_WxlhxzkD1~TjDF-&23jE9HeXJXhhoSkt-sp>wCCfNNLweET+-_zYmQz_`S_4-C zDJQ8$pL5WBe5dU8rlFD9G*78dNZ(L+p1BEhpkgXb>ic3`Zl@DX&p8b?aQnXczR1WQ zsHpi_iQ2zrA6&C?MC?o`uqd{;kZ0xkD_NM$mHdmm$t%imTgz+XES@dP@V3ZW3WN)+ zvxVFWCac%4y_370Zr6U4EjTJrB{jjgc;c*{!d2{%r3G((SaP@WvUJ`&6Sdm^QQzzF zn@#D_ki*;0-}DikJnDUJ3iMabuicP+&x-LD_Rv<*QU$ozGzw>ssi5l~j!g@%p&?vpcn=XTm?8ofE@+ws zgMZ#6SFXK)!QxLQF1Ohqg@8a~&&&3JyI~>Tft%Uw10OW&AK{S(O1W3fKk$jUJcKtK zNBj*YZ>LK*8R*uAwJnF6`r9kaI5b8rxzD%H2L!V=cuzA95}eF;KMs2mCsYboTv4HE zQfmJX&Q;*Q=}I|nCO@9+(lCwhzZ!ipjUSj0)h|V*dN!@k0!p{9go@vVe zb#i@Or&Fg{l9WW|u68~sA3=~7UfgeUy;kfbBn6G^j(5%+qs93F;O4AaEP*Z zm`E8xpA^`533OxEoj(1*j@65W3Dzu5(t~1tk;>=QKS)yhlUqOYD8Gj8b{>bqd@(;u zG)=mVZoCz+mVuQKt zZF@VxzW!So_!YQ8E^DJHc&m@ULZrIBc<#AS-VILGXnxhw}p!8Z8SVJT_#Vpa$KAUb~cN`RM%7 zL|oaG%A9!Ez&R5lyv;Dr;0628ZmlOpmA06{$c;h}&E%03&1yblR< z=+|CZj$ea(-kXkEk-nb+la0hrg@Pa_>{-om#Mo3}us8Y-j0kPH8wprH3Bz3Q=zw-a_91`zdYirvTgdB0^Y890R$GpnY4lvc93`2KnoG<`VEv zQcFK*F@RK_41x<&>-H^_65H;OY1I*%0)==`P}`)(nI6Hr5|I< za?|G^tKIK+$pDNdM!rF*SBTVMJ(~uS^L)DYk6By0dpwvpzD1-GAA05U+%%4YLEvU*?zh6#;RQ-18#qSWMeXhxO@(|!%5WCit? zd}oica-S-g>F~RLLtR{Ig|z+4^5d!JmcNxvI3+8Xl@+0~_$h$V9I~8Im)9VPNQbae z=T}LHio+E0Hlz;v_7+_%Op8l?_$MLaY-wtUfegZotiCLD9!J=VZ_cZ+H8&Odty-;c zJan3#g4ll#i;2Slk09K(VSR?25d?g`ha6YR(ud*(aVJBqq<|UEACUf}PHtIp@N_(c zu>U4pndtIM+_VtIr+*V99-9B^`kOnMGth&EA`vED4vt8xhC~0W=D&<9D-p&{hcLK* zld(7W|0?+FVXY;A{8S4C%^^!)Dc0WY*x!iV96E1zeQm+IfHq!tbST0n{$_VQN^9F+^F(N_Q z`V3N1FJ^tP)AB~3Yv83fBH7G{EOi!5WZfL0=%}4u`~stKsUhD7m6UW=mE!KB5Ffz^ z|9FEU;I@?W>%O!KqwTIyt3a}hMMMf~_@|G)k>w#k5Q0G8Ok2Sl75l!PX0hg&mY6K1 z@V8k_SX-ki{f4?J)))z_wj3E%qBYQaSZ}+5pyEZXz?U z@VI6iNghDWp8~pq&W8X68Yk z>;q>D{DKqg-0fACX=72BTUYqN@N4ewq@gV|B`h;tcpV?HC=gDLRdT;370n=*hZ^<0 z72yS1TD-QQ{!5mojH)+9BA?rn1iy9Go2 zYW;^u(*gO7KJB{iHLWVP74k-e<)#g$Vw+a{Tt++$y)9&gxUim5U9>E&aR2pXJnqZS zYe|D--2T``W<*&3$I{eMLwHg;oS|LFmA}rcMG^9q9CR~!w~iGP=HQdNOQ;BCPNn_IDeE91Nmko1NPl4FGv*B- z0QUQf!+)w@!V!A^zv@$ikU4o;lyb~sB2eJrH4(O%dYG<;#}ffNn0+3XfgOO=A&C7b zcDr@7{`O_K88NeAscVviGzKyAXIE(~W@j42*GG9b5@1gvZ8*W3Qhnev4{0u)(CQ~S zw5tS|oeU(zF|+3H4A^XAf(;R01T31vRBj_nRk6-qv;&{FOrpG&QR@S4cu(z0>#g&{ z;ETrY7C0-EDlRN6(J|+dZ!+;?*=<1g{*_+O@jbdme!ig{PlFNIIT@ z<8eQ8r9!zSAUq#Nmc``ra;EUI#{=iXbKaS9mFicAYy3!N;s7B%nQom44t@=l zgz6_4v|<#BBo_2=v5+2D9S+s-0)7T9L~s`o4{KmQ4M`nX+on0R)zdbE+&=8%O2=X> zhY7Kq1A(YZy}>U;>D$X4zDu02WWUInz#{ZXv5kF)p$tDJu2s?J{lgITKZfEI^60hO z=Kw9np2W1FxSze4KvtnZn zX<0NH%aTksP)T>vd&>zew=u&xtPjHij4IGVIOe_uYWW^T z+W;_vn5=8f?k;@Gp`W~M+s*pUDz@u$R(2{in$$G;)+5&0W_l3}PXdiObO6ZMg4pkl z(%+kw`GoUMZs7YBOb}%H6(HL9|1A$I17KV$t8L zl*lcW*Vlm=_dICKAcVsn{4fASHLFW-Broaee+Vm(TNig1j^e*uHK0!6;!VrdQoA5b z!Uyb-oQoJIkjM?Zk%dbQ?wNY}WF>N-HP{CeE0phT$c;79R}0|7K? z?i4=ezr;SN|A>)5^cI4ef)TmuXYgp(@wv#7h=-ZyGR#ATBI~5nPtN+{ysXoM)I=gU zy(&QuuKWt@+$*bXIHGAksGSNO&h~R3QiG$>gc5uN68As4EUKZ|<_MQoe;aYtvZl=w zit@zCSl_ItlSrB)&bS*AHz2NN(AOaVg zex{#cX_!VXSV_>v@mDAa?x_m)Qwod(s~c^Wp(veNM|$oNf0XMp|e{Kpc7S$$|3|9N&oHtu-U zP*E^oeMk6+-tQg!Cg`HJ4*`FyE=-9ZentOUgBZhzbZw3YN=}w8A`z|x0p_823xle4?~RfN-rx> zUriQRZMRVLxDFi-IR}p1U*6i5sYDb+?8F!payyq_+KV_(9l^B9&P&7oZ%m|0!@UsM z2xGivS!f8#%9EYAGp0t!V#U|8U(=yhMH!hipgP&d30WlMlo6sNz){rvQ@h>;yLNo1Z>)oQ;uoRV53BUiY|6^S5L;tC3l zWye1(4ATA?{3B!}$BLtIN2BHuU>lkb>Jn>Va8wrcDTB!u#KO1M2 z1XVgp{v$m7>SoFo*qb}yT!V(&&sA)!M&uhViw=#Cw)|9l+X_aSf=a*WreO0_0g=Nz z+j^g6$uO`iF2B`4>1V_#B6HzkBy{}?!SU03ASRAK*41k`72wF>-b+alU-y+ES=Xmt zd&uJPJ}}^1-r@4n2U+%~@3P*V*X+D~i@gJR7s47ccZZWu^vBQFTDc3?FL|h1_n8z! zQrWdKsL=u|TrK@gL_|U`mSL)ka;nZL*z$QlE}@H^mM&j(d^`6L12bNv#hf3e9*#}+mGDraZ_ha1lmec)C$qKD_?6zEm!5kQ6Sy^ zU+14tRIfm`v2t)F()*MkAyxz6*4xjRkdz^z=9kRq3a13Kwg@P!9&;9t7|l@tB<>K7 z<*Mo;a`+4UGw;ofOC;=F)r7pA1CqyDE+EPhAlI|2A@X!L;TC?~>Pu>GF9 zGK&-uVqXzHj3vwPSDD`0889F;3!$a~Zed^*G$tY`ypoUJYw-#lu!jAPx$b|yd3~~G z1+pO~U>A;I%SdXGD3JoV>m_{|fcZCEKt|x_)0%EXJ>0zg8~4IPNnDdo^?>eh{pyfp z&4BIm7d@jNV}D{{`zzo|?eRR&YHv+mH^Q{ir8DV4v|FI8=J2-{N!aHfB{q2{$%dYG zA&c}XCO!jRWut!adU+X&?O)>2#B=QyHTI# z{LcI7{Ll4%`tyN{Vb9+8>b35**1h(w@SsyY#*G(p&*6TCI$r#4kh+!)iWC7DQ|C3L zf(;ir^#=O(Qh086@L1o_zwKz%H}s_N|O7CaiFs=GxkeIDr$k3z+RcH#>Kms9V0TdTX@AeP8b_ z;pOwjP&d*r98pl~`yh$;^uP{obi1iP>oF9&D2Z%X+S3f&LzAehN*_AFe`?qxFw#Y(eL496cCa*#W}7CZi^Pytn^bS9a@v z{JYz(vI6*Umm5o)3ynO|5_ViNi}Ghtm>c7KP=Ju4FW{_a&cE;aA- z&3rl;bTax6no{B9yy)Wvq#&eg1UWDAGu#u4Nrsy$q%`;``g}orJJI;fc}#1TRvAgM z+aKelUSVDmcx_#F3dgby(bQ!j>dKd1VQq-*O(i@&3%Gd7dPk?>Q*eS@-XgjsUjwu0&2bYs^l>=1GVc%`wDo2FBFu>sq_dLBN2=W!<+}cLoYf)7Iv(dM0UK>UY+pjO zcHrzSTg#d#+$@@kpm|KjPolv!ASlikl7$x6;tUagCb8iS^&GI_8uk>qY?c@_rsS3^MAHUpg-I2mC8!q_h+3i)A z)?94vyQ8KSXi`A(CG1U`4Sjflu2*@|lp{4C5AH1!Bb~)*mp;s*sN#7MrqpJY*0i#g z!;Vl~8W-sgA(B8Q?@amq%iFIh(xO6IdsxRsJX~4Hw(nO)4Re_SFxCozBNV1)YjJy@ z`#+b2t%za6dr6;DP2v2$dA(Ra^+q$=C^j}n|3%QJZ-b#>Z{>a%#5T-+yuXVFR5*%%k*(B!eF@y zz3}Szy}&=vg^!YKTz&Om=2>h%TsXL*3qw=*(#x*p?(NqqiYV1Wt&iMRf|Olg67Hx` zpfFyQ?@g{LC`+UIJ_C%S>$wBa922Ilq+{YkLW!MLy*={E#$wJGmsDrpKr4`EkUk-} zfLb+epWf_}d_WOG3FT_xJEkbFeMe*ZoSxMr8f_N4yQ-tSOzi{}KYYq8iA5KO19K+N zxBbDFEiy*On@yGq+892nIe$-fTlfui2q{DnK6LjrBh(9B@Rk+sUEO)Ma2YU(QnDfy zgW7oGb7yH3t=8rfr?f(xhw0PmQ7c;PtcLWVbq_Fkqxuz)-0~=7X2C-NhlLRMz|@>_sVo;fQa*@UZrmGgYo|VfY}JBz(aIg4A59czj&r-={fpYUW87 zRsBO9rO91feNpIGNgAaQj4w@1q6{G~Kw7_SQ(kypMDZ9pnsKmuDRQy1Jp;3KnLXAP z>CiyQCfU00`rj{0gpQBdE@%lry+VSJI|^fljSzbJB?^UGy@{ZL>7l`!noIiM*@?r-8w1YI~$^U1jZ zyTJJVm*6xY{5LSG1~VT{I0KbB0pEh49R)DZH)TbwiTZ*C*^MlU5nFa# zBCk68HBt3wH-7MgswS$W06JZMp`FlLw^X(_$w9wqct8u`2zF&(JE{$z+#t?jIHT!;NHp_6wsmkZGzc<+!fyRHk$Fd*G zujuD1aOo4f&k`OJZ;AnKxpyN*GhSV|z-85alp&9PZwfQ8l^6IV2Rm>yEUssRPP&2| zu;J`P_cr?#49`kKZ1B-DONjG`-PV2zd-h{)P!AOOk;9x9e>?ACbU-_kOFPRI=ciqe zi<1oMiV$Qd`im}4Lxn1ox-KtP?T23W1npdK8piM4s7(l1kP%N$cKtNDCR2!zv$HU)r6 z{vi?2#6Zwy{KlsOvY`?YcZ)7`nE1aWx!6O(;_#{E`oNLK)X~o&_Y)(plAZx2$iMzA z6QKMB`*kk=fw0F&->#O+d8<>E(T7#(;PtnC{+x9sSF#&-RI!vW{>sT8AI1f(DtZ+1 zmC03|>KtBWm+7~wZaR$)PfB?iw4>rw+hjM{_o1~a#(2E_Fxp5ivW#!r52_Ojaeds1 zd~rsZIM(N_opRY$bQt%5n~vfBU-=wXLNSpoc-Opkc!(7u8B{2f+9TBvy)qEk%Z=-# z#LhudYblh29Q?@UQO3Kl2k{$Em9uxTH?NTZg699*3B3$j>;0^0?tJ8if;)v5l=l@JadyBRU%`h(-xZNgd}My|}!%=rgn z)4_xPs`WbDu$_6z6;+n3rW!*zXh6Z}H0Vk@%or61k@%VCT(1WKL1zS11t%Q=bA z4btBv1J z2%hlT22!;>ndRyJ)m?fD-%ZaVDb8d5we0yG@gP!oorP-Ie}enluxH7md<#5K(;7~S zIYCvjibh|)$^i!5W!A#LLW=aRcF1Ye*AX`8^apO-wHPtj>*?y_=0|wZl*o#J`7SRt zeagm>OH_Evy$FL-bTj8xWQ70!ZOXsghH=IK_U7$HF=w*gUU%`RT6+5HTixZmI{llH z0WEl3A;H47>Q=^UY1T?W?WPKV|Q=e zSlXY0|KE)3dERBcmy@NzYVAE@Hse;sf)ye*b%NfTo)W2O3ZZ_+dDPn{&R-u96i3pg zDBbj#fYJ4C!LT+T-D=~Z4>QmqeI_T#iM!oX%W3Rb*MC8=aV7saPQJY&<=N8qnHa7O z-@)kn(aJ;d=0DLtV3vOZDYLu3jTEmGdm@5XV8fBfPl*Q#l`SGo#0w|Q(Bo|c<^G4F=CyOOnE z-LY%uLKVk9U;|g_;NP325X@bj6rGqB$;xgkuRs%%!AG}P8;YqNXfL@*G0tG0GeJL$ zv4FO=FLswsLDr>$^vgqJB@|5*n=h3ggm;H)4@J}}Gg6N#Qij^458XQX3{@!}^|w;H znEdwSkoo; zGFII(X zO>1l$c<5i|gPWxYv@!0l8&^mAD=&QcM6nMnVOJzD+99|dD5r`7b53=nUD?+ zqUgH=2HMvCtk7ekl%qNxfGPJ&lEvsz8VI7~+T&^*WwYbT*6{ki3RScd*wz9XNhdR+Mo#8N;NRH0E}n68ab#eWt6 zenN!9QufvISD$!ySZf0!Td@~c=V(TkGtp&HtL0{1W5zXS=yH1 z^|t#+D>M;g*(?WOCcpsQaoOpsUOjowT{l^p>YuIo_4O;oqheMF(@5M17#`}h`c~`P z)oTpCl0Ah0FZ{gcQ9xR{`O}qR&bZg*oaBU-797(eSchFDsM5TFoDilix3c=foy|cd zhjb(#>BcLXZ2vMRzbs=aakFXhn-=Pszf}Wcpu=KQDY=_MS%tjNw9wD_mr+|}^kBhl z_xJBk_TFx)Lwu^zcR2AWx*X~t@ZN#~cCEKygz687=8CPr%46~rT+75HF6INrfSWN)|wSJIx#Mo9uzg#|!c|;r@g$o5rXKe0lF+nCej3lT_GvPFWHEk$T_MMxx z*nJ>kjQSpjbb85He~gM+L{g{}b2@t(nH^<)EJ79#KxQLIzGqUD08wU1YWUc)EBPLI z$(GbcX!3?190#KZRkK}YCWJx(ar$2Fi-ONTFS}hAUcQb7yD5rPy2Bp>o!}4q1>iE$ z2BM4YKM|#!{LXJ5!soCJxCDF1_k4xny=8rczBoL{-e&QpZ-(YQp{$UDUgcYq% zw4Pu;XOGHUk!qGbMfnm+MO;QjpQ14U!|SYPq~xlakHeRC1hxk3IvxsmY<-c!-r0St8}oiIj)$2kPca8S zeqi>1oW4%;QVn8fWQ@WOZ^91`iT2pF5@VOf@-h4CUVyeKk-kP=Ky6-ub;*$;kh6?ROY%74qL6 zMp0aSUbLMpQhx{`h^6qe67iabaPpQ@hnhbp8L zIrDpCqsUa52qI|U=XogX#eL`!kiY`iTpue{=V)OyNmG%c;mK#Xc8LiRQYG3G|jL?6r%R_&5c~C%2P_ zsajPYoB+;u3lt>E3KhuzjuSLe|DjB-cz4e;(I#?xX>9fJ0$`lrVihTP%Fo{~p~lNc zomnA-(pmvv{_B&MUk6VvEUr%QuJ^v%3K+XtX5czS(Yf8>iP+nLSEsE}drX$;7LRU; zoZkETTJi(iUlDCYW;}WQW;Pqy)ZIk5AsQHD%<{-E&yaUK>}NNrEWHxUD}(lHP0%&} z8D(^@LIg|A)w%ud}tIJ+U0y?V(YkN!@viKF^?%Te;Cm4apVV6L7oz1TqY z&>sk%UUn$vcd5HFh2S^HT|TPpNR}ihizgB zIVRLWS%^_Y8yeGc|ATqxa?SGVpbnN8N$dG^KII+CC)4Q$5ed)obhNnAhJMMfjLQpz zFUj;-Vkh_cy}?Wm4_DssQy4Yi=s5N0aPs;(nLEMOtyfEi?y1qf9^V&`S=O?>xlgcO z*H zHh56+o+cu`|F5EclS6%u^7DzURPug>TNPiK10?Jkunoa;kS%Fk(rO?rwf%d!9Y76W0T3ZU4LcNtIw^HP~xI&91|Af8M zGVs^I^P$IpGw)d9Hm^$NBrMiCxDP}Ro6+RZi>uaOjrO~AjJ<5kW5RW31=v%(B&e(S z8v1oX3fq`3N;8!zYEM3{)!Gut=K2dOWlzqhH%?mI$}CXlP`8kjt-JaZc-AiO+~IZ_ zO~h6`O&|7}-MCn&w$RLAdEd){@f;Wr+6dY!k|Odequ(P}4AmRROA;bLPn74m--W(K%0?w0YjK z?`CNe%nB5fvA`R5u7R?*kqP#WMU(RQ;y2y|gu}_xASTXz1ILZe^}UZiE{odrPe}MM zY-3SlvH_DVM>^9O8eZs(Hx*lxbr=mu5Yix895#IeayFH^w-lG~gMW{fV7+ z_%DiXg!9hoEpDI>nnnucpU(g-zYu|OwkaYTFAt7Y)i}4T4O^G`Q_f=!U@+JzUvt&$ zd2`Tgvj?|d;h^!Xc}$Y(&u?d=H6qGuCW^DGY=s4OIy)vD5ealwH1(s6J`0n1T^fSj zbCmv|=f?L+W!jND*G)Ci8BvV}P(76W#tg66lb@3hjB>zJ$<2#KCHm9H))~j8ZBL*% zMfNng_Lz_La*Aft8d+s_VzTgjsme2Mm7v47A1mfOXa#RjiztZIBC+j^=v*Y6R0bMX zRa{UUa%?J)p)E>E4lL9-Gy3coBpfA{nmD7v~Z4 z?ns{8cbP@K#l zCH^c>qYA{lCy%J7azg*oqKN@|bWnMb&r}*n4omE9)$1^r_;~x-xImTedCv>Zp+<=j zC%6Oo#QqNw(0}$ltMg+rWR}qab5=N$;~0`7W|r8 zew~#Ih+wRlQ7kz=H{EuuFZ=XsYP)<>pSP~Zgj(!NVCgS_^t0?u))DUu79>rteu4*6 zq@YSg&FW4?h|iO8Mm9^HW3Z_%W9^6c4T74*u_t57Nr>SeeCqf8Wpcu7EQ}iks&dkQ z)?B#2NPh}x_J2QWvC*9>fS`s8G4vF-O$F@e8P*vggAvg#sP~$x@fT52AjP>?pTiIfpv1^Y&{5=)zX=kEYQmp}uy% zJd~j)5yiXv>fOLW%r{Yk!#BR47PmVOc_D`!XuIe@^KP$T?++Hf{)-&8#DH{v+Za5V zmMrzvhVzRdIg~#d{0>@hh9qj;(vzD_F5E?7*^SSxGZi#fH5>HoTSRj#^6d90X8^?! z1>G+U?qf>XT^a|5@b=hwjBO|&qshhU#Kpjv3Pa!_GQg3yu#)1mDSE+CXr{TCZGo1U`2FH>aL5CQY0zcMQ>_$yX3xRmsjy6q}L+;gW9TP5SC z99?OzGw%VW2v`ek7#%!CQaQG!m_u_jikh47j}`a_@82ftpYPp>CyP=J+s)_3;6FSy zhI_>26vczk&?NpExM!$JVEil^+UMK<2=s=030W90>f#jp&wnI=kr-x7e;E7^^?nP; z&12HBi>u>kq2j^74R2Lxp#kXdgO`a&I52YCIi5bj{)55 z$<3R>F|-DO<RppqFTb{wu*Z*ae0liQiDb zI7RWE3g->AQZ^n5z}rWINGhl3{(=3J1L|Ja0Obv+)%CfbDLM#DBSL$XzWk7MZQT{qb^>ZvU~^|0!c;&hR2l z7NY1D4EQz`fYXI=8j^UA=w^1hD5uv_a6BU75AQQHYWvl((a->G^iu?6&=oc+226GF z*ZK1eV9Cj~8`1Gb`}ZHtRxb~LcVcKrqNpDNe7m`-kEOUE1DKTG5{FY@3MQde*gDrq zIpn3HdZYYqIm_IeC^IpeS%4RWyV|g#bF|5jdF8Im>o08c4kc0Jaa^;xo ziaCdPC#n`^qG3n|coyFqScu*)FG`cO4_PaMGV5{{cH4jXREz(M5jqpW0T7veD)B2L ziBf_2)ZzpaG{StB)X?)GEDg>EDtGpKw(6CJnBNBh@G65#?}1BCh|ti)1uhd2^V4av zyFwr9Iehhm^!>@RnA(ykhly5gnCOd=Zmq`_kiE|;F-ZZfW!HQI^!UaribK?w*!#r+MPJ>RwW;_^{23iL60%EzG;P}l(ILBJ_5u-pQtklamqp6MP*GBP4&c= zgt+-dXAZwI#3U85$-pa;6Fa8lc&@j4!U8>;2yAiHkU@zHJVVWYfIQs2>T2)kaMZxe z!%JQg)sow$X$QPp>*di4{i<@dXE>;i6W={f?W#_CK(KyrAF89ioWP4mkx8$uJiQ-7 zX4k)&0Vb_3rYuf}+v~nlcEo#gZ%Q~RbM~ewqe%)vxb~b2ux=-u&|j09(qcR1+h>%x zciA=c1iF{_s(_H{#DtisL>!0&6wh7+&GAdj1K~h9x>W7aYARVf;BP@3Po7Mu!{t!O z#_OrTgda%?+om;H`E?^--SV>q3fjCzG{ss1S*o{{Asdu{DRcH^hI*Of$+Wj44T^>m zKoYH9aKg#V=AgyJ3ukREc(G!sLF(Ylmm$7xUqmr@to`}v@p^=|oVxDeMf_mbn=5$S zn<4+L)<%__3$+Yu>we1%&W|mJOgx+=5+75YLBd zOA^8wfJ-_Nx&?^LdJ^ae{-opx2v>A;46Ymv@~|f>bxbe)Ck}b;!lF~k<`Y0OnGDL% zP7F5p9*Jwy+=-%l#{sPVdlQRlqpz|~q{~aT5qgbcdfoadA+u+Vp9<_SfY-tFN6nbE@bfbH(IwH{pv;N$adz1i2+BgUIFQbQ1HfWWz=Eh zU^&dc2$)Erj|m$ED(IZQvq|84$~iy*$oNl_FA-44C_uLTNz$NJnH)QYpt> z_;=UUZJ^%?-85v-O2U{_Y23#yNUi$*g(h%7o-@B#Jubbn5U37B0%Z%;;6%pKsB~+& zgh38=_wKCxj#vPj-$^Z4J~9I>2;`g8E6NX&6?#qrh&wQ;!LLQJ(RRhfV zok?QFxWP{7Wog(eZt>Wf=;0KQ5UIN>MNDB<3tbFnDYETdGQbZacoH-kv+2j~;4b2p zbYvJnHYqZQI^5$9(95>nql*Kp21lN%gHeI>LI-9NpC7lb>4oFF-~UT%M(D@2R2Jyc z>kPeH6)(hhRpr7XdU^NwAezhPSL<0LEB+UzN!bicDMlJb3o+G*oeq?DZdwVvBPPzm zWn!pd$RAQT?3Lk*p>%1!;96x83eI{*>g!cP%VE?w~j^7sU6|8KvZgk1 za*(xW2$ahPc zWY3uJP}`ba>h5gK;i`qLA46ML5*+Wal@*T`V*>La{^~-rB2_YO{n)~$MKv`Yq0|Q@ zAuJwRouDh81<_QQPBZZdd*b!GX9;dwka)`BIj-3sG$~xrq2kkD-BUc=QfpU>Faz^N zeTT9TZWh&K*^~ts?<)|xn=#D`P6v~Y(Qg=^z~3wO%6KWR?IopjC75pX`M(h7ep$yy zBk0CH??^lEc;4a^X>jgi6tn1DlTYh8)Vzw}!FHmyr ze@;Y4m>P+N{_seo24qj7>spOW2?(1}s8_F6qQaw5j%slba~Rph`X*malLT}j$^s?E z8@s2fM8)LA4vjC|S!$VZ@~14qt7f~6x!v)d_P$4}f;B8L7lx6eN;Wom{siky_Q}bw zbeBt89P*+Kl`|ez&be3O@Ozt8S7p2B;^^+>Uyb4I1MI3|nTd!^BL?;FEM4{rXo9=W zo)g;tx#k~Ri(rJmay-2eruCV=CDeoMvoLXN=0RD!KHNzL8-rLYA!1&)v}^{jjVEUe z%TSRP(*H~4gng2&fl1Y~<)^hGDGx@r15jj-Fq<&>>-r<2JPU}NVIJjoZD7?%PM~)@}q>8E-sC;Mn%MyG%HAKbP6S zkBFO~nIL%gR#txr<8$2cL35-ZwWHe9QzbcD82u!5D9Ua_SK-wBMaK2Cl}VsovK zWD5%iRPi{@s-+;?{N0(wy0P8*7!mH!7=XC+i$+Nc@FGKRAxU-XaN)H7MbTzm+nqqthIOq}IxV+rAwo)WSF6S<;he}LBrx#4&PVu?_HNqY+ zbpQGbRp4+bpV~xK<|k!{d*;2Op`Y+jGl>o#ijUiX_u*!QrvK^bm4rW~n|`qVJ}4P+ zwSo4F`C^9){wn<4K7Lf(3e1zf^Ty&MkXut>6*q;^6(-;?6s?V2rn+;zC;$P79qLU^ z^S-xt`2qHfr%hmi?G*K2hZ=iR053)ZKt`1IE4Upxbs^z%KWJ6e3B`EXp;LF{BiMhl zcZ(oN_r|5T5dze}2L=M*Vw!t%4>u^`M>Eos+>x?&w#%e9U^Jr!Z2bxCZ(D!srawy& z@Q}^g zp`iKk-M2MZc;nX!F%PopU;ShuBMD-N~qC?|FN8g9wVE5^cGRF<9 zE;@fQI{H>t8TrxO$<4aI5_$hY1bt$Zk9#5(h8ULGsMIyTOu`ILlU*H>uJo!lRxm`r zyy&egRlcav#qbKo+IE(|C>Gtd<6o!!$FqAe0`JS6_nEL0ch0@uqig|m?5obks>e4V z&Y68hPW~z`N@H(XlP_aUGhy-l;@O#x|6$PaHfdv&Sn#TMIG&(q>pQwvGIt~HQkuMb z7yRm0go00R(bpgM@a|IL3K3&sQ&GQ@0%5zc7-Q0LKBQz2!rG!WjsPM^A+OM{Knx}lzOcGUoUj9(1PHVru+_O(~Ilo7Gc|L@c2|AiASDz2;+SzR%(^LJH zE;7*+a{trR8u=&k*<-xUrQwU-voh@-yEvQA%^Nw`mBcdb zJKF_Y@9gJh+z0RnWw>VZVq1#qW@k+rcFc}Ln9U45vt)1mygoisTM@KY``dUfzG%E2zKE`S+XB45>BYXU+s-F`r@Sb{Y*A*w z2p*@~ml$l@1eLG(~X_M`RdZsR@-o8G{cVNkC@KHMBSy#Ba1?zEfEC^sXr; z#kC{40ghQ60kk2q%m}@K1S+$%;KlC62Gq_x@5?MTI~}Fa%Nkh6>ivW+dJgY{!2Byz zzOywiP&9`{PrW2O1@oLyrXG^l9;Qj`?tDI^P(&eJsZ4!`JD5?30yWt zh{NTTZ_h^j#W;r#(vH#Bcj08=IdUs-qV8(&4mKXeT@ebS7>l}eS2)@_v6kTLNQ4}| zJj9bh*x{$v$vC*czoC){d#ZNdOr~!C^3>K1KAy~2rT4_?f%t>TE5nA=re1J(lW9v_ z7gg9(_GzRJKxUJPd6Wz^lUzQ4R337v1fh6`D-j-*J!A_&1=%rwBIGplWku|h$|+@i z4Cp+w^Vj?G-38II=g1uKw`otrXvCa6re-zI8I9S3edLfM^o7m@eaG^N4NR2KE}zE= z;f3rRWvGR6`ftODfT^0KPVxbP-$!hO@7SW(TzOH7NlIi6LW?sdhaXXuVj6=QcxGj~ ze$ZJFLD9I$3!NVkKwq1M7w*#Uc2yXW`csv)mvp9j%TfjVNdH-DSPFS`>^mA;bVL~- zl6h>nLI6cu$NobaZcHuakHQ(pY@EqXNW13HS7mp&@&3w+zbs_bniLwA90@|p_^V-& z2y86sDWd(X+wrV_mJTk<3jX@|dAa561GKlsbbk~PxI>Z+cnsTczMVwFj0})q1=FE| z{po?!C>$RuDGq=TtP=^M&HM9Bs(1}qDl}T+Lc9;mg?MQ3_p~s-5o%$gDTV=Wn}`A? z9~Vm_Z=LbEBY8-1?^>E;0+&A@D{7H+lmee$)xBLsnM%r1#aE31HwUxRqk#E=kF@3kbpa^FTOWv-H6sA z5$n7}h@J9s&jFYW(*u}HwiY^X&P_SS#9&e^cd^Uo0Mo_yAKe!QU<9lcrj>)L*2Z_x zTGra+P->H-Pp<6?`J(EUsfzjPWang}z}cC=@kw>BrK)-OCeco%M0Ex3Ws;49BY$IQ z+XP?fHmQ?-s;-~TQ%oTd^;!?OW~UzifkUZtl@8lh?GDLYCZKX7m0{L_4QRmx0LhyN zh@cbgy2Lr zuB1#yN(2b`b*Ht=k;3h<0?99Ix}!h`@h>?28EEMD;l19(V6# z=QjDdPtJYi*yC=UJbu0NB?g>GVa`p8JHRMoopStoRrH3W$7KN1t48l1l>6k#x1Cqh zz>30QXU9SR_`ULq=on@>N$eF%&>yqf5AAzp}vRf=2-m%_qR> zrNc$lmk0>ki>95wY|^|hM5WMx@K*+J$EC?5csLb$hWq0Yb)oGtg((`b``vBolerv%4LgUnrioxEwUz zfIUDCy>Tqw5ddpi+}jI34J?}MC9aNOmc-J$w}l)&=3~|(=^S_C;3BMhJvebshOC-x zLPzg- z;}J5IfWl2ViMyZ*eR+M2mFssSc6HZ>cjiQYi!HWn&a#imzOR-k{p=)W(bf8dS45X4 zU&?W^G9y(D%0bjYwtKX3`MXex2ywuxbPr376Akfuheb_}cA+9N?{Jw9rl=>tY7`R} zEpVuPAeALvB&Tj?x$Bb!mMz^K$8I}^()K+R+j{b^RYVv0@Wjz&nb=5GiLlt<&qi&M z`;W{F=D3>qyPf7TXJ()lG*@SPvC|4fepBlv086iDxPqnxQaN$kUF+ncpBR?`ebnr~ zTc~d)^7TX-p-V+)afT300n_=o>E*avxT|pyz(l^=SLB3U^yxh z^(HnT*Q<{hxh4G^*2YY(}$%MKXOt2M#AJt+$Pf27e6Io|Tb}yzT86)6O{T z$>|;6>-qSxjnnpGO&YQ@nV9xE#8PL&=l!hVsG;ysq3N^BK&owbb*n)3x&&_HYZ5>B ziTW>DLFFnhwH3$%*vI&W*?Zi7hZF#_+vuc@BenocXn< zYn$xQ*PT+A`(#b#_+0#SittL$I1ze=}H41T6r&C*)){kDMJ1Zv7C7d2JUG*R3wCjtCsC+YS zs>Dch1SLQ2vW+2UJV?2RE~zY7Q{K&c?B|B()rs@8;*D4;=Xdvl>K6_L%z-~?31=R* zZj&tC)~oWjPEh?Zgr%OlNE~%mmCaO3>zxUg=VnaV;CpxXvp7>x>{3OaY<8`WY^Ogs zf?>>KV-4>SJK3*e|AExz(Od9ZXIbB};6>c@v6K2}N<8P1k1+&fOAgy9ZQVi+Gi)z` zTrq>{BrCL)4@M9{tS&%#eyS~@XnXZF2^7$#h`Hf9kMX>(KjMz)W10h@Cl4pdFfqAy zjR!SL*+?j>-}nq?AO}l7ICWigRvXK>JCy2WCM^0R+h@uM`S014k+``=`U!j0dA~^g z&}QG1I&y#h`IhF^X&1k}>|OaKc^gZ4>&h^Z2$7|*4BY{06_OWinq(6u77iIjv=2XB z`Inr8H$3aA&nLM1@O@p41x1<^-z7o#+qG|1#GM&Q<4mH-t{So4< z6n!-m*SVjjzs!ImM!~wGkI5Lm`x(gLVRC6hC;6!b@NeBN`N&@p=TUg%m?8;mogXr7 zCnH8*N`vv`5hf6 zy*O|iPXuLml6Iv#FhNm~0`{-(7!M|HhksPt`%;$FU$z3?;7IV8cpgeDBm<)eaNv7g z0#fC=|EcPYNvntViZ99fk4mvrHh|~u6*hU+X_MSroadr@lhOCQmDVI-_pYrh$N zrC6;;7@18SW~&+<_O>Kna9V;4END99$pbmg^>W^FglmWWD#93)75|{j@M5c%j$}9_ z?CWU{)4p0`?tiI(V1_DN3p;Q)oKm|r#Z|H7oFoCXczu^gmq&rJ+NW8|v{f!DHg?CsD(je#z^@J#J3JN7M(Y(R zRU_~=*RvFZ*e7NM1ras2Vx`#f1#%~{kn_B5AhuFtishk^zR(nyhRnn;1FPqOo zuM~A#IJ|_2&^-og9&E^N|0}?B&~bE35j+z`s!?5Nz$Qd7sH5(+qzWs`;+%0D1HwF7ZqoP86+OWzDfhz#yy@D8Mhr9W?o9*n z>lu&hy?`}|@iP_zMMZ*K9=5?$#0>HSp%My9;xQD_lg5-&9uV8TsHM?DBteOMF#Nh@ z{oCQv_<~;hsn?a|j$>%ZCyXy=>QnW)w_k!46w@npP<%pg5EsZDd@Eb0Y9|#GUxcNH z&6!->ifjvC9e^)X4PwV(8ryIAyNVZeXJ2FV8WPNE%(dRy*Sdyu2iC;Sy;CJlC?XST zoJe8wI-H{8yxrG#8F|mj*tKmdB@wY}q6h9zVYm~(=w3~}r|166!A&VAqsk+O37^;tBZS=7P6@W*rg!%+GK3?SO&x_3vT@;eK0F$F_vs#c-CD7_-gG8WV$|`V zRiRNd4PIoC&dh_m7A~}8pr*4y_&*(_Zfvr2JOVgOv3lS(Lm3E0`6mq+x$Tvusixs|u+>g~7i(S^>N0m;))B`k1DcJ5^{@+`dKIBBi7I(9Hl>DYHFzKzq+nKv|^0v8q=&ux%{ zyf6NM`~i8BNRu+G(Aw;JB(TXa##wIv*&EvDcA}17$62BQff>ac{O%A-`@ApDxv%VM zu8X{@ZOnf@d0;$9WBUX}`uYlCix|t)c?E}c0!ASy!Zc!&b@2N|Q%ZI!BI#l=7FX6-oX&bJCzzaRJ0MBXddyks|R(|7~8=yZ^M3wp7Nz% zq?;-3m6gf|kV`Uif1G))W?wklKUwlI8T3iSn=~ip2S@3B&|94e&!i}Rd}VP+Di;v&YbR}7y-}yfJ{gsB?%S4>Kt;=y4jZn~~cXYQh>~@8x0En4i zhLL13Wac-G^tXcNv+vZQtV(3O2OjDa;NewV!yiSh4{2KhqgjvZGi+_M&PzOb$vj|B z8A9iAZ+JQwUF-}ni1PifZo|9WwShH@fnS`(ok6hCnOn-Ay+6MVDxk#AJ!BcXt4c1X z<gI%M@}m?B_p7&djWLvF50bwE=6))BasZ zJ*B!&<*rQMz5! zkkIILf=E$jw9PmDvj$Nbg8nZqmj8VPJn`V#&ATdg;`Sejxo!jc=IJlKE4ugGDYCHa zx;f97G-TpdPyV6Vdc?w%hpl4vEVmlqu6CH6HfhKSWc$4Y$de2?aIG?RDs+oqVtxyz z1uS-=HH=dtlUYN}4bGnELx3?7pv}0R$r$cDnn@@fcyplZhKTCFWLpZo*$2d`Yfg{H zj|bN8^5tJwn|AAw$jkxKV6;hfluZ?G8qw6wAKF7hZASiZ34Y%-Zt{f+G?pG+I56nD z10OTLL77&f8ET+6yt441@UcZ(F_JiPeOOyt8g*|tCClkJi#LgtZ%Qs)`5KF^Tj;mw zKyS(v-{gV$VGODOEcnvOzcu^+cYj`ea=r{{O%QOUjML|_=#M0R%qFknx!iY`jq~Q^ zLDL z#?}RtCDHJ7F6(x#Vki9r5UuXt^3`q(DoomRfPQM2){im>$X-P8(&)r|q=DU4to zAXD&yjRj>BUG4dOxOzN$b|*7vB2K~H%buJ^M5m$OXhQ15pvAqY@LR=V`Dl0f{nM^J zq0y_=%f&pgDQJ#b>3Ii*MkEfmxcEUO8TrzcdHX?ek+2j#!=5yxd|EpJ5AW_;PJOJ{ zr}6%g(Bq;PjUP*j_Pz1ZCNTgw?J%_Tl!cz6e7SsodHt}@FHP!=vMUeMD&+)w!81KY z{l&6f5R;roDvPhl}bv!NifEx9ZJuIrRzz6RlHNaajs##8b1X z&HYrwx-&yp!xhT!ehB4xQc_}!rBd&m>s`8(azrBz8xjZh-rEZk=dj#PS|)*P7k%?ljs$pmVI)oA8Cvq@$XQ&h@%+;$%NYRdxf04h#IA#BJ~YWY|;9Dfa#M)4q$q~ zj4?7pPc1jckyQo>%S*Ak;!^%HYRfqth@6Z;I!~=cM9D9h`IH)W8`Uh9KZK%9;@$8v zzYJ#su{&Ida~%b=))^{}SlX9=E~5w{&0_{eFKqY}wG?^h|FnepbPId9F&f(y70)T< zmN)R3Uj&MJD4^AH-XI+&zpzp$!jAI%+&cBy?OaQQg9(+bR@yX0-bMDzS!a|0+DRg| zB*8y@RkY*W7Tu}sr+p6D*C|bkBNWS`R7bkoI0l z*ZPD|hc)(WLic(s3agL&DjK!G>G$#OZbTwbRrUuhHtw=vCEAEj?N9x*YbTtiknx3U zI@lEC0~zJ-I?s`0%ywy+9%#W(AO-ir5cq}0NeIyOCdvB& zNb-KaNdvjlq0mHb61T=T==~qs-U6tOpbHm-BtY=sF2VicE+M$PySuvwNpQE|E*F>J z?(Xgo+#y)-9rFM0y{(n1t*zZl6*aeK`dIfl)3@b(Zxg~;|35xbPJG15<-4Qv5kemi zV55|yVD_~l!Tz&Fk;|jsjan-egtqGc!By$UrbK3q_7}HCP4@F_O+UMh*sR-)j}Emv zJDQ%0*g0|zxo|_2p}bL>Qn+);*#&0bmQ!#Lq5|&8Moctj`>bU6Wk+r2jP|v-xma}1 z9^H^2Fo8L79t?CB3z3G_V@%PE=D`#D*UR?W!w7*0msOwjo63hR)>KAL>;$*rv!r8> z%y~95#0>;m0oD3S8>@@a;&|eZxv`W4SBh4Z1@QHE%a>_+?{!x1dCHL)rSTiT)Xbw{&)z@?ePlrJ8C;Q!;+c|$5;#U6 z9&yui!l4UL^#7;~E{L2ySQAH6mXxggTXU$u;VV$_s;m~8VmK>RBB&#?n-swfwRmuP z(A5Kz3cgSM=h7@`5y{~&b$l+%L#M4Lr3lHV+Vmw#&YuX~_IB*!UIZaJk5F8`Wmtzd z=MP6px;G~)^Mnz(C_me-MNo~h=5oDt&skZ^rn9RG=$^xd5r&B7pTA2yYk@6Ly&#t? zm!2l&8IzuEnwjc2y5_Ng#}^ep-#zJBi<+hUs5$@PZWA6gDogvjWSu#k+vxWYs=!LZ ziLur!wTmfqd>a`JH(z90(R1-Br|hXKy)RW8>p`>apBnf)22Cs6@wCbsr9e;zqG<#- zcn!>U#-{AHeERp0YL@)LuZtB)bh; z=DX(uK0`Og(5ArL#eUBz5#CI`@^>Lm_R*Q0U`(y5;Doo(hW6bWz1vnPguVa&a%sC+ zD8WsgOXy1Iyav+Um|QAP4_wPC--Zgf>Dr+!<0s#;VA(0?1nIf7ISjFkZO%2bwG_cq zC50lfeF;iJjjAi;ZS%x!e4Eoc-U%tccu4)5H`;w7I_59e?W23z!=Z-?MFOtmF*w%G z>y8cD@j{k4%cq(N(ox%wzULwnC-S7JdF`4GvwZGm5Bg=qZr<|SYA#yKTgX4Bt8l)=AsBRF7{!#?HBG;b?BZ?D9E3p?;Y z^m|*+*#XvbT;EoMn*QHE(nFpHqKu|PehG50{SW=*h(NJ{*)6aC@YiO3-7OwrjxX-HBmEbI8jWlG3dGJu z1wWy;Wz7*ERZ0G1akjz6mCovCrF+c)m-A}v;)c~0UTg9yX{GsE={xnPftZU_3WPFO z&E#U*j6K_?vB%oee4>MhySVfvuPK)DxaaGFLIaN?US4cfI~6y-rO04B0S^2D*nm!6 zf({~{v?z+AbINc!yAkF?cxoA!uB~GOUS`1gE0YDjXEE!(=F&Y$Mz2R+=QLK7B7e<_ zwP=b^C_=6PI>#M5_noK5wX5=Adk3;Yu*zFpDOZ@ic{vgB8_{d`eRx#5({Ovi;^Kq@ zdX@%zQabqfKz(7<_ksCT?6kkFe}5v&WmE4);Pt!~M-92RRCwmaeBo?%G&28$awJS+ zJt@H{nSnL(7N7ig<=D=LwKZVG5aHEA1>Z6KzzMtvrlwe}PwSN33~q~g;JD>@6yoKC zeC$^Qtg)(&&qV-;zPDa@jNy{S{~V7tvmLuX6@rwTbx7aPwlH6vlK0+b`YmY9e&$x| z*d8x5@?E-7vNZ)>WX{=KV~=BRVXOJ^*tYf8zDF1l0m8pA2K(^gsz zLjg9UnT18-7j*FfubCSRSj&$449dHBlfHxolWW`j(!-=Y_L;dt%B!)kWjV(j9M5D{ z!G)>Ap`hcAlViedbs1YyBZ-R6?#f?h%65fZ>~1I&^*Zgks=;FW{Ob$!(E<@Y+Z=+_ z=IagW`w~UJVjs^H-82r)T_5DP&O z7#=8d|I3KirSygH-SDTFWJBf;TRGWCK!&_Qp)Z8otAafQyyk=1TUt+iVwK*`K9zJs zeq?$D9l_z8cWwLWcYICf}zv^9w1FgybIXqP!A|5`S}u{P@{%pNa4BYbAJl3x8ES0UQns(M9|* z9qo)QhN*DZc%Mb{GsR}_sLD>5a5`=!9`@Aj#7ZJ%V#bE6wJZm6;+>g_?aic_Yrn>d zBXjo2QM5`!SbObQhMwEUhlrl8NlHn{Wpy3_M2+uCy)2c-J||5L7&1v?zG-=%LQWO?S*4rX%b4irN)12lI@`k2ed~IR%dDj z)Fm<+`2kRc=^+4{1ZJ*_n=={QB@6}b^wvDrQed-evqITHvB8HHS@LNLpKjFudX!s; z^@K+Z@xddE!r_2tW~CJE>6tl2F`GLQ-C37od^B4h#zSYQc~+Jb0fc=rl%b*R8- z8~}boh;xmoVlYw$lH6&~Z)O(QB8{b{O(K}}I$%ODApLQUsFYKhA|5vCJwnlKt7uwa zrkE>}(`-n;Av?aE)UyhK)`8CnlO^LjCBwL*8JZ+86r&d(9p6n(@rtD+)j zB;eYtC8{#Rr%~WIwydMFr+E7`75)ZPi&#t3hVZClwB(jKj62aq!y zAFbbJ^E;E6B3U4KuW&QV^T00Nrw1Q6~Q&M2M?u-L5K{Bn5p;l zxL;s_3ImS1Q8J}2NPid0g)eIlmwuEtsADIGXTo6;F6y}bd4V{R1Et{>rfC2## zg5oVggyze7n?7+A*lx!Ggj@hzmbYH=o292M-4NxPlCA=nZV7P)^vuFJgV9?sT(I#| zGt~KSX95ylFns^-ePB~2HGpA|kM<80Q|j}7+76f_(T02T2;nzG%-@Rt!tX!0m*lVi z5eYcv(1`wDNdSnHg8xdef3V>SY1mix2XA48oFD7Il=nB+x-ja0y8>IRY+hUWZcsWR zd39uPPVib9Qnfjx9xK@^*f?>#O`C$$Y5v7OPYHr|LUpGCD^6bQ8|pg&>!OUUrZaHl=D7c-3aRW6G(-a6PpO6URFH*KDDzZ14g7B9Mp z>6=lX@SLNYGmtZY-wzI0Pc1#W8;G=CvBS@cX97B|zDUn8w(T8>)n(dW%kcjj1}cb1 zl*hro%Y^BpTsRua$39lJ4OA@o6-^t?0;I1zCf)tV&?wwukNPP2TE=p@d_J+^$kB>k zx~05egy5d=q@kI$td3O`Y!f*@)qyi;L8 z44U3z)@(*8=^m!%82mWUIX6ZAnX!fvfHC;~83R{BXIq98aB%OLQSaDLLZ|ef1$I&? zD6ApqM3@@r4J#A%J+Y4NFjqDaRLLVu7)gVU-5!b@T;Y#-gLqgu)mM*5EVI6qQ}z6; zKPP1}hc=ZX#!PF;a(%PDXHJp$#5|M=sQ4U>jma2Ciyl|0xXTlxpp;^YcPYiA`NDb# zV=`=PZAQO;P!HCi4HI9R^(ZtIQwZBYztY=8)6F7=`>yF?*KfrrtJ%3=hZ&8h zZC%Uqp_)N4_+s|%2!DR@yHm+j4*my7MTM6N{HXcy&*qfb7SJdUVu?KsD1`mfkmp~U zwT&Uc+nnnU2JmRx`Rw2xOU{~=^A%sZ$nFIw&);6x`2uEcyB1JTVJ4R%^~eJ~$CXEP z1FWM7qx3ZY#sccNGq$Tso3&oEE`$dq*o>jIHF=rk3jw3wikd(iA?G{5SquTPeFLfZ zCF&tyL49p21gt3bj8@c)n5#HI(}&o@~2Wta>WLHOutbXKBh|f`cE9;ZMj%DYXuY)XleG z3KC8cBK=5+e9iV__!-k&d|3UdZ0Jx7+b|F~p~v~Z9~j)AToQ`o$X=XTTMMidGOj?b zd?54ZN5J0@Rb^EE*7UbOY$qpnk z|JwIx%8ZHVc%1k`(%&@xAx;Z_qRm2Tki56NDoW99?0Y?+^g6^vtf zFt}`BoV40j%KC*@Jq^dhi8u}%M!%mN+?W7B(H7ClM(MrD-0L>127lfO#fjdXzMdq5 zLhvkz21r#S)KL`P{-(aGvD*KBhk=;xt|5!>kMTC|90n~*k3pW!nG{x&PL=r?^4A80xB0c6Oaa`FBq-Akl#(kC~=4(9Iu`b z8YkImiD#ron$h!!jk1!n;!f*`7x3`< zeVx~;#GZKnG_|F$rQ0Pwp0*cIFplUrNNFNpl3dd)TTr$lU@LVSgmYC&D%1LsTOqmq zzKC9?D}C+S*pu>H)|#q(r4Hxez_n#=K!q!fdyX8=5dHUWL+$j<_1EGN-hz3>mM>tX zf+XibN~}N%63}$awUzOZr$QyDiJ9$vbd6GN59a(et0tdjYNZ0dif?n-tVZ2677vJE zFzkJ1ot_WF?VW`M?n%{dY~t^VP|%{ zWuuG+yJ9EoIyc0VYT5hBn#9}6Hf{>^9>IyzWf_jVB8}3w{luk}G&juscWA^2#AeS_bm#OAN=UV%#8|3NoCWorh z;4zivq2}CLW^SB6lkpY~$cY^-8V~Qpk%j>17L2?+#4ILAOgW%Qh9>Sy#0fr-oICgkU!|>kjWo0^NWh%@f*FP%M{-r*d}zzx8?guj1k9| zp>txd82l?m&E2dEF|Detr+s@O^h4*%HUn#~Ij7R>ju-e=0-wAyv;5g0m5@6V; zklmK|Z?&n#LuM_oKaT`O;P8L^&fLMg)k3Nm^ZxB@f9@wWxSt|N%qWL2CZolI5PM?T zQzD4}@->jf5xdmhwL6+Gor1nI=e*JWl-Z~Vp@;>WRtafq93}=25Elm$RVY>|Hk>GQ z;=WbO$IQ2-CU#+@1di>0n+=$yhssCV`v8aBEcZ_| zAS1;WOTi4Vae`4;Ks@3)GSwsW@|T*ksApq4lhWwYyku4+yzgF6 z6IZkSE7#86PYmc%u`M>YBrXjq6Y7eZXViz?gu&LE$XF)cSZNzeZFfegpe+`ue1I#Jd^5-@%pNmA6rP!4+49 zf%WDmyX6tF}uJbl>as|$fOamRnw8z~Xb=vlc2LtUdtBPlh#x3zcek%| zY&T9hC&B<^)F9@1YhbVmkPHm}t{X|zv+#Dhd>WZtOX&ryy-w}M?_%th5lw)l%0J%` z$F0Rh=2Gv!_;Ow!*8N~2Jxu8@{68rq<};8?v*0B2@q4701SL2BzUv$A z3A<^y)mTxb0rp;i19!5;!hwVlRABn!&n|!_fB_y(eb0_6TT9(LsL)z?*N)9iU%tUfQ@BMb1X+BLl+N^913?g{5WB?wUtDd)PW|H(BV8EZ{F1npUv3ONdTI;QfRG-VRitDF z8}r`*EP)g&rm=5)AjCiZquq)7mQw`+q73r?@z*bZhVtV~D!I$Bm88}>ULTX0rM7IK zzQxe_bVzoun=8)M4AgJBU^k#k5v>F)_}>}+;r^Q~P7B@+4CA z2$MI{#9+Yy@Md@35ktocV**jZqoj9$2W?4A_=eAF#Bjqanh74EBK_YN;prX$h_;$9 z4-1fBp(8qRoo+#Z1uwuNPjW4Fi{kr#s3hA1_Wda5dAo9<*sxCQf|)-aFiVaP1cUC{ zcaJupOvbGOhUAgH8Iw5s_^(HF$D}T`ZN%a_5#~Noul!LQ6pAo0gxBp}Y3uWA<-p3j zUkBg)zOcpd)5v{}nH&a`2(Qi-#g=8|DzSB! z^%KjUHfeTg3fnCLGUNyz!wyBF8j_do*!oyOF6iL2N!_$SbcaJlw{-zE zta>b#IHng5p_o3&HFR|s3w-t`HiZHPS36i^CdGYSoPiy0A0u|X&)^lWn&hRylh<}T z^foirFQr?5d|QE|{;(QnXA>al-o0_J9cpQ%`d6c;ziK?gmIew3Axd47I4&+y)r?;mj~Eto&F-PBhe zZI{G-Z>~@Ka%y2yT>U3x{3xzZJY!y%FKS*3T+8qJvb*~9?0B!%lKZ2mTz%Iw7~lAd z*5NyDu+ms#8!N(Af$SZy+9fhcSfRBodXR5>Jx|MY$X`D(`eE1KQIWvKkPOb&eM`kh<)qV1yG7(pq-W3(9WnX9SAWr(1 z&mlh z39I9MdKcCGh;KI!SXfv>W|alDjMi*QZmLJlIUgpj*IDjIRB@Aetn|FwzbYDpFZq3W_OQ7BaRV zARXP1x-%)P?AtJ07+guVxIb)_v9btMtO7XGn+Syn`xw+Wyk+u0MH0a7?j`ZI5^1 zcDN_nBX55M@uH2Rpe7rXUlc*8$` zmttr8>g}t_vu8ERt|-4}{Hgx4_zq=MO+9vY*O{SMi*>ANdwSyxE8MA%KRTRiQ$V1S-61zgL&9VX)(_r>$H}Ye_Qf^P0Zn zZRY7^8)L)rz2F?Fiw5V*binNHIKN-=6cY;qOM`8AG~FVwcM3_tVJ=gSR*5xibrJ~~ zY0dZb?_4-iMM0sYPO7cITKiSG6IXj+I#%RGj{$DW8iVFBTFzW~0zvn0C?BIkk3NIqN5!X2rmw{JI=6S4fyB`t1AJMN)UL=KgtswzBdDU(jEr}N4 zeMhueZKyPowWnuOI|?B@gFiUMgYNUKmKMPO1M46hx~kM>=NU-(J_%;w=j-O>w}J3J zN7@*WJYjw-o24CPBe4-w;g`_{^YkCOCicYDQxZo(#r~VHiK6ua8xh)zw!4@M;{QW$ zRe={Hzx%4X%5nSqD+$Ntiv@>C@yI4*&=Z^-3rEjDfQj@`>mLJW>E(-&n3qPa*>9f; zvGyF5`@Xl}mGr`HEzO~Ee*dFr=EQ@hdxVWkV<^VXRjnrX^04MIe)rPjTOXG_o8zP` zUf1QKjw(<*m&_d~(ifYtDr@+k){l~rtZV5w!L-w{KtJ4_{D>Qm;Ue)*aR`p%3KxwP zelzBDr+vG{%0A4pi9H)2NW3OmqIC}_RPPPJ@ygvZWPi5Iqbbe8r|G?XI_^Y(U2A{e z_l}T#d%c#=cR=uEBR(>VU7C4jlLirqjG22Qk`9=8tVsSj3)LX7(2Mhxo962;CUCgT zmcG{344g`%Q?P0yafEzY2CiDBt?Us$z8rPc=I67yvz;uo3MaRYxMnA_rgWw8jd9Tz z*R6=CCHsY*&m!qWzTD*TR+aV@aSDj(p{`c^!%}Pb#?kuk+BM=wVB0)@h*HoFWc+F1 zPM5g_P-BS*@fnJpxKy=|)Ys4E4_J&}@7vv`3dISkI5HMaPyYCxmhSi2f!w2+3_?;M z>N~CFd;Z#*)3X8T`^gx-65>CkpV(vTyb2N>zt7FWs9j&yH8O1!tqx;zV`j8ik!yGk zE}H4qBA_wexKuHLkB~Iqy(wL&en*&E2%#1CM>&%W*3Sd0eeL&~QGTYJ{s3m?E49g5!5j4P3plE>+>UPgF zM^>$&XT3X*9M|6;gt+rE>sSPzvH@fK{B?6azvf)-()7juUk5qLXCQ!reAoSVwIk!2 z=vHIeg3Rzku;_gx5eJntdD!u7qSRK-POzFy0CN4N==Qcr=j1jJfm;hMsC-EVN;_ zpkht@2tgu4VI4=FQ}T>Y;7USK?G5@}*uz$~HE|Xvj$264Z1l5BUxb3E!>&VoJ=! zEbRXX@&lj$Ww*E6{}Eo=#MaE&oS2J)ixVVjVeM?<2okjhNC}%5*%<@2{%@av6Ax`w zld{7fLTtaQJlOsivfSqxqq1;A0gbuHOAN_{?X@^MjAtjRYRL2FVIz2F;OsCKgY-*G z=QlB9wOFE!GOS8l^OyUc>#@`8-)Z+VJ$fwZE7)*5xc-Jep;tB*7C6 z14A#v4+}+yH(O~lJ(n~y%t(G|9UU!x?tU(7nB3m&%@tAtqiU^#TXSnIEq4OG-tGbd zd*3U48=q|-PEYfji&V{Yp(#_fETz(%^b|8UHe~F+g)t}`I`A<)Kox4^qiuS7%~0v- znBl+^z1TnfwRahl;(FSZb=a*~Mo#cG@>AX2OSsU$pV;zYc~{Bj@F@)+^W6{#xb8<(4lScl0l1SDwdF!&lnCAIsMm zr32H$*b_?((AzO9bEhD)r-gF*(0waSNA}K-I`4lAv^Ut_^z_KR^7^vM&5;w?R$NQ- zKRuuQy&YTGjwagRZ`DGv8&}sXq=*{Qo*s@X*$Wkv95EMyoUU1(nwO)m#_@jnZ7?A< zze*#e(ko$ijGP8~>eV2{7#j1<(eRtYs>oo~SRaqS7Eu?eHjUl6_l;{spCgm$tK-k2 znBpzuqqhvU=8%;WNk{*dQ1C&_WX(=&{Hy!cxSnMwUJ)g#_MW;VB5@m7z_XyFACv)W zde?4T=g8+EV9_ke%_56u6bN8`<@5EJ_cQkK1ESj z(CU5R?MVCapmS_=Gx*%kXpZ|j!&H zsZV%#E)h@veJ{D9(-+O3shRc6)IwIGb05_NGp+O_tJ)A0M@BI|6e)ei$d2RIP#=`b zJo(^~eWVyUm84l}=56H~P?u`C!jwu^0BKsehlpV+nl?!jf#&hWYWvgFc%!VGf2x7s zL$d`cIUDHr*H=cFMaO*-pPWF5Od*-JW0g z_1^Az-Ih<0%|4dZ*P{qIr;Ls)z1Nt%tU{oh*C1BEHqmn&jb+r35NzQY4l6%mkH=^V ztyM9jnHV3GM$rD|GI+pk&c4QdD6=rfw`jb2ky?1tlV6wCvzZwx@jAg}C8v*?a=qEt zi2WOoHx~o)>MFp$LMq7Z+i>;q#rz1f+dy< zE^~E8*^^k0>-%r$yc_hi%CpOwsm53yoAjBe+5!J;?;(xAKWZOn1W}7HbK*7GqqM{? zSQ<>$198f;M; z$w1ak_RIfwMfj4EAhXfbcron^4p)vGwQ!?CamE> zSP&Okwj-S#FRIp$U4`!G;sPH*KhYN4N7n-GR-D5j#kQTg0H0j&E4RI=o&;Og96>ba z)MRxEN}Iv?J08L8mbpA%yTIFeT1qBujc1vBCB`ld^fkVL$f~)$*fNVy3uBf2sngDw z{-biT#m}1rR@T`!n3iTfO$u72BU!krIh<2YZq1CS`sa9du_}6E^_rGfduJ=DjzP6f ztr#n?S!VSH9+cpEB({BJsmPM}8S0_6=M^jONL<1}-`!6=s8R-bFe1X!7h)KZH=;M? zS>AH2p7yP~WpbsWe*_CR;?=4hhdU#+{hR;lE47Sb$(``}avhXx^&ysO7|YUh4#mQ( z8fcs=+{$#v%yqr{G_;Ze+iAsw0oSA0XsABooqJs?M~`SN6rd^!r{&}(8vhfEoIQox z(AR!PRVz(D!bR%`9CSF*N6Fvrq1)t1+-{jJVS{CmEqC9dCX_P{doFgrmHB8g>iw8t z9W%t2@BPV6c=hAnQtE?3R2fs+HKK4xqlO`IcmvafzcFP3;Ua0g9MMX`mn(Ii!rn0D zk10cjzSGHlUxMQyLshy&MtU;?l;`7E#HiM`l6K++cX#UNsLH553g1!27lIyel(dso zd?TmBC?*tW_}LOh)$>cN(dId}(49oQWv&p&u6y=;23(I+gpRAIovzi3Y7Im0_xT5x z3)Dbt8-ca5#QDMJERt@Jg9)DE2h|ePHEJ<~)v*3TKB05^7{g(2PiYnk3v9leSHD!JQZ_$T7rzXps zxTQ@gfv%i~K5#n#RNkKxmcC16*Co5_VtA>0*E)za?BlCRo|UCh&sErNqM3lARHh7d zELR>uUQubKgF}9+f3iH6%%D}LpBRBkEc#P0g3g3URYi;Z*5lIN(m)}U>{!85_(F$h zhjQ^zVBXv!iqXpQJ|R(U+~zFw5;oKuT$?1>Ba z+jxm7m!RfSVvPzk=rf-%KmW!r?;r;TX<)?3OWkAXw0Bp;%56>i1>)xte!_@L^N7PJ;eG9%3qYe)*npZ;I~$$iqU(%nI*GBw0fTf?KVe@z7Nn{zCWC8u(+uRNlsWu=x|iiu?2PQo73v^V|zj-gNX9lArE0*aF%n~u7D zirf;yJ1|`wv7MhEMziaYimU<#P8C+UZ*Hx$b28uOV-}bqeYr!by8tHK(yH!RFfF=S z9B%$E$Ga`ONWusRM=Gs8f5QjgA35 z_ZkNK;3j3(CUGU7_>qLPTy77;y^t-?a9LP!QG(L5Rb{roF=l z5i7k(Ud{5EopDg^t!KTQT2J7CShz--aj7CKV=QTRTjF}bm$_F#uX+dA1)+mrPy`Fc zq}>d7fpHz}TQINy=8?7?9B4wZIq3q{%|mM+(uiBrEehrhn>ZvB^XZD=l84=&f# z*Hn$(j0Y!|48iBa9)-G6VX#)|0-BSAsbbB1(DY6jV1kDL-qiYQh2AzpI|760TjyM4ilf1kXQcN% zdVz~#a8`q=f~US{Ex%CVSd<05#H|lr*|uZVRc9@|d08>wgFYJrqxVVKv+JXq>Z$Mmw!e@gA-`U9Jb* zWr|_L28g+(7A0@%{jldP?$U4}P5&_JXA%z!&`id+`q1P(Bt18=kb}|~$|`>zUNe-KWHleTP%hdIo7*DiFFV1yceY`xWO=EI1y#7tot^rE0etzj(xiiJX;?7u~h>o+UEmCZuA#{7@v9f zF_edXS_cOd#tdToGU5~9f+Rt`g>A0NWBeG}8s=I}xYJ|MBtMjoql7%QT4$(2NRR%$ zylH1@_P*r@3MeKR=CMED?Pp{w79j_86YG7A41+#uanh%en-$b_-MH6l*<=`vKL_BK zO^LIrJdaz~wM{H@q!&49p8feBuxZibC!+XuHOpMWB4)EohmX7lpX#x}nUIsRRR`&G zgp)C(5=}9#AMGhX)+*^skyp@D(7U)n?{toSW!$KDL*GvRVEV2uwafn9A!F`Wx>~w} z9!UV_Qh+|>sk*IN9*4i!ax1CYSH~P8Rj~*>(aEzy#@n?V;=dhp!q7y>#}*?+7$uZy zi{jX5wZqVlBn~LNIkaJ9_^r0oYdU(Y)Sah`{)h2}59r47@I}!NL^Ony0X_y3LM^5J zP9_KAp1hr)wzEje!Cha04QcPnU*nc$i+iOfV<9K$$MQ?-yM9JU3JWG~hptW{tK4qV zX0oXpZZlEYs17vnWzy~Wpf!gIRfDcuS@85kTi~n_tS0YFN#$uNu-ClM`cc#;pcArO z;Wj@qHd3w1W~@SK{ue4j&j&y9l$l#ssEgBFrVkX>xom8)hDcIgSm%^KD!uyJjphqi zjwhjSUyDKNQ$oa%oxipxPrHU}D${;)HEkUcAnBzO2s z3_v?>*cZMy|FJ{F`%b{1@cD2nNXmWg5Bj(aohE{CIw9u!%krinzD9eY}Z#dJs zqFa;Hru3!DJ4FjHGMW_AD@6Dnn50h84*`r?{!SFQ5v3yqYFQh|x@IWF88cytJK5Q% zE+W>Sohq)PuIP4fW0emA-%}HT9y`%h&=~G|-dEZb=<*cFEGnC`9a)Hhl}=`Zl+}#W zDtIiF_vrjhoy5NFU4H+8QrMh0o!e_#v9i!t+{x3}y}ev|Qq2nU$%N^ie%SMPwK{{x zd&*a0=iCmuM|#Dyj_j6krpOz6Zu9Az$p#jS$E+6zlLk{4X*v`}BuqpPqEK6jU{}!f zq}o&G*IPrV+n)FHq&H$b^Ts7U5~T?gFq_j+ZFWZmA82kY8v1$3R9vN+SU))SBU0-j z8H@`eSt!-spnf*7l4hl`FKblo9IW(5wm{ZQBYj(zZW=5RMbD1WUaH$x_O24^%V0-^oHMJ$%|k@U0GDsHeu0VZ=djD=Wh*b2nSTkN92{Sh?}{Zn1$Sr?&bl9hj~=&O%yo z6kZM*Uu>DtF}pc+dbzPD$I8I!gq55e!N@srM$sQSk6D=v&fVd)xKOGEF)`R8kj3s(AS36r~%jJ+cL;^XK2&ZDYxLyv+Y{ba2&rEmTMuHza#_;EW+p#AAKcJv!E zyN9nS=Z0kgw-T-2^RKuHCxdyiOVh0;AgKto8`ShmW!BltIh@<`P;DKi5|XM&$NEcx zew(Ty*3UNIIA)jDy7RHgx<^0N>i!9Q`7Z39M*UT1m&U4kwmOVe_OH?-0OImTh zFGq+*W^u@S7@Htpvw3p`A3YV>f}Prj_BpuSfgi5owD|S=aT@U3Xe##TUiKw@7a;%+cJkkioVV@`kB^aKXhySgEecF?aKBk(oJuL1%ehXT`@ zUzeyXVHYF9pt1Be*Hy$m&L-yj??Q(KKG2oe@@rK~x=o4~gy;{9;f#!0k#H?jCy^eK zLH+7Uw4G}CbsdnVa!XgAf>6WmI*io>YiuZy((Y(p;Cva`vHRN+q~kN6N|a6R zs_7gyj?Z#9?IB180L$_%DRHM5*wX-IB^G z5#tx!A~Dr0Tml_?7|!W_X+0IOh`$fH+*RUr5lwkd8&2&ziVW#18+L?UOO&hy<0dPr zr0to;`P0d?odNxlAKYdz#nQh1q9}VGST&_Z^0CV*FWK-X^!CRgh}Chb3vwE5J27s0 z;pGCHDSt7wcZ*Nqt{d(etf2IcowBl(c&ed7FhOC1+i#ij%un{bRzTNsZeKvcP6WkHY z#=qQ3f7c)EGT$V$9KRk=Kyp%?ZeVL~DnU;ds*Yy&Sfe3$YD1lA8$e^q^1&u+!1!&-hZBlj z%V+&X&8ly4oh%P$2>hTa*lbOS*>U?j_D>#y#R<9G2KTc6mFz0XMCp@Vp91aSQ$f1m z&URj_5PuzRxC0-x=y-vz80S(@By!-KJQPOKdM>xW>xfye*uxP`Y4Lh@j*Y2 zv+5j@#rga>La@OwmrK7eDS#tcV#~nnS;W1H*4?`Q^5j&CtffZ#Yk=AEgj;=rka#)v?u%ds~BeM?)U+ z)ZUqATKUfX0zn>@gIJm)>|WEINqgo!@fgfhy88HjSix2bSjWd;Ue*TpZg>m3=_YVl zIIxwLotrR1Jhp%Kv#=5#-8Fr{-yZM&&cSPTmCdI5AZ~Wq%tPuXWYPJ%XGf&QU406l zcpI9g=T+_MJTK%~2PL|F%lPy&y}4{@)+2E-#WukVS;YuuYfioqIV3|R|L=TpX$hHvY+zH_FiKCn1gPl7=~kFxY3#FUhyFc(`9g5nabm3W$6be7v1 z`#8fXbBu|QPU~(jGVvRGL-K-gjpsZJ?gKf=$sg7LpBPHTMavnHuNz|Dt$03yXO}6 ztWNZf^U2cCa`Owy9ZOT{Ygi@3z&gCT9Ta@n-vwJs7rd_?zFY&ZA4sxR$GHv(n}rqm$&X$#^(KI)QA9Z*_P5Kyr0 z1wb}&(Ic?H=~jXPb})RVKqpG%*GbNz#`=PbE7J*g%z~zGCkTC=O0@i>)m%b11g1?h zE14C2D?(75E$&F6Rs?=5@~+aF+%AX$3D-u!cZ8qsP4XRw^B=4zf8-yBA=Ct>oens& z8C)t7-v_1`YhisA-=1OP|-+V9}W9Fgb_czY6#O;qw$^LiDrzO(R{NmWN=0DpR#G;Wx z>OQ&(W>PkCw+Kp@xe@Q9>1+GLZB)N%x7`$9=+CQv9EmTyE*mY4uWQjcXUL*og|=V# zUZAJxFPcQ^RSo6ewMP_`tUbhO_g$(xz2J-n%T9ZX{oL;M1+}sAmB=HhJK4=s)o%nw z@FLDEY5fqIIeb9->a@-xm_<8+h+w|EEQKOq?#m!X9p*_Kv`6|#RcPPhlt3@`vFKR!YnI-5hr#vVr?HxV@E|72EO{%5pA4AT8e|Azpv_%m_6PrN zGu|II9{?m(tzi;qO9{I!sBp?KdwTW$*tG{mcQm5d#TEPP(pJqW3?$NidegkQ>PjBs z$Lbyrx2jF?_}z|xKWcr44SugQxla!U0=~~LS$-+WVYzH&uo7uOD6JsTY;!&#v#+?2 zJRLo=PwTYH8r#b5`eIIB@$Fx~CvR$NR=FLeuA|j6EU7F5d5>J2vwAULmUKy@T$#hH zyKrLkHkX1zutIPh@?|2+`Y@)(ao5HyTJ>e&X1IeajS=z9eZGXk3jPSNatiKwsS&-M ze8&rIUy7?ryvSF>iRyG4F*P9oUh_x&A}W~T{_#Kz5uw#JqM~x_i7aU8eT3UVveZJW znfm}(ap3+vd_|{Z1>-ZbJmE#~`t)+B>;FdFKL^>?^KH0j+qP}n?$x$!+tzBWUTxd9 zZQHhOTf3j7);t)5MnVD7%U zBdbo(IH!c|Kh@pxJMm23$Hz#$vH4OoW}s3tfa<6+RBO}}PgZA0w3>q*3s8^v2Je*^ zAHyBFesqtHTm{h4VmZhQoX|)FiX5*gUZcD)=!8qEyXkooaW|Ld8IH(~_pFW!g4*4@ z`zxyL!~EL39GWNpTa8%#rjL%wD7x9S0 z&v*hxUEq|=Xol|ERA-VFRBV(weV!(nQ?w8V%oHb+)6q6mgPF%eY*MX@ zEemvL9`J1gJdxB#!kiczg$Eqs`*Hi z;miY3@eu{1j!;5+irId`bPnvkFaMSl*K<_y#ZOEj;M?nrYA8Wu?UO=33UYV|6m;G2 zhF;S$8gMC~?!t&Z2v58_SPCPYx|}*b5iJO>W!`MYgsI~5X$ zCXPHH=Bn&Jd>r~foxieRwvYfYAF~qjPJ=rDb1POmO$Sx608=pG4-fGWGu<+ zKo=dyvW%Ly`6wcTbm&kivV6LxhM;bo6A)gho}e6Kob;QyW%asKdNaQSoCK2q&SS0-{4{L^17dA4m8&qLpU@# zgJMnut-yn1DuM*#g%Lt;UnN*wB3zZOWV*ceFl9!>-?<_LZig`C4zaC!7F@2^_>&Y9aTih;67`z+sm9vrE-3c|R z0k+6u>M%q3So|2Hb+FJMuqJ()QFyTtY6TKDB;{ng{v8K zT~fp^r0S)Q@F-2*j87AOJ0BysPUyeD){7gvVy2VnYSr2T^i=N?4qhvsPU}mJFwO9E z1kR@SxGq;ZF$%}>jgdb(&~u=_CYqcKM0vLs5@}XX-EPv+hdw*s0wZ7`^vv%T&qs$I zHGXH8roBg2?Cx*Quz#H<$KzG!VF zGqn~`e)MO<FgO)gqB7Ur~%iPTJQTk;R^icbO&6UxjAuS z&HTB^Ov)9UCAkUTY}@^+noV6~+5C^C4$$(z5;L|!FbWA##cbj3L?3CTJG_$Ndd;$- z7Yn{mE8_ix327#76xp1CUg!3E6^?TOU|(n_bGs_K$J-50=B$#nycm72y}fbD=Jk4% z_8W0lP0U|$8`8e4b*xqDx<0)0$0T4<;D{T$c|1?1_UFLXCN(vDKqb@aOv-dvaMB9C z9k5#5rx5>X(r1zaF7#+ZL;4}ls#l60uVi&=xEcP|0L2KPq?Vo~a66JbrBL&Je;i2y z@+Cif1tv{SBK|09$Wj5DS2zgCsacCzVgkkYD7HG^WmmC#FQr;1MXkh({-EdS3pE_H z+QJcbOw069R><~0ckoY-aftSEcR^l9xhQg~hxhu;etS`u36su(OvDXtNCg*VeXfZt zA(vARhQV%(;8g>4AhTHJM6@1%cC`;~HJ|Zvy?ik#Yaz=S3kyLFKt$g$o5PdyZ;49# z-dlxTkz#S4Hci86;-&ZgsE^l_Rae9;Y{g+k6omrS!kB8ibC#cPeFx}3)q4ntK8l8=8KDoF z&)DDx16WOF!awj>DJ)Nn00o6t1wVldp6sOZ7lRy+&kZ!f=QO2)V+K4~uAH(2YLdZN zU}$w5I57glLe-h5a-%IM84W^)%%dJ=B?TKCuGAFVyh&RN&(7WCJYiAk2n2_GeF8l! zaXD%kQzI_~wSy!Fa@2Y6ZWy^xDN380ihLuoq}=;{EZYZ@XTgpiM~2|KnQGa!nhVs#S_7xOm|a9%@SGS zrxMrqwQKS0h=JPuv`3-xtSd%zXYzzDNUqQvVHl*?hU}>DzWB5G`z;k25k*M0tA{)E zO#0Rb*_dv3>3u?xDWa*YoNVI<&!x$f8q)P*JE%VSF39SVPLas}DzvP=Y3xuIk8r<@Zzw>s z*vBier{s|w-pZG?>?JPJE;@Y%y+%3MlLOGDfzksOQ#S)))nzXNmFhESx^A7Y1L>lt$qDD&R4S5x&SXm~$)cA*Cd z20&-A74Sb}hV1_vjQ0N>GyIo;`~QF${>upJ(Esn4;eV03{~MSg=fB+D|A-m>Q}X{y znBhOQ`cKj7a)y>akmC>ICt<@(!1y0d@COJK{{K6E$oc~j{);Wri?aRP{@YOh6N&g! zu^&ED`2PnW@!zNQe+CknS=s)tfy8K>Vc4$eA0QDX#zv)f#8aD=90-O3{sM>-f{(*! zG`60aG>*vQ+eh5DVhit*ixHs*AxERG{N+e&sUCgV-VSo_{7TIej^#mgJKi zZMSe#-S!I3;`KIWDM7sSes}E4+NJf?+uh^iY~Y+@D=Y5n`)WazD@%^ViucRt^=WbR zs|EP|^R%q`)&08T&{<{K)jL=3>~`^#>O8sG7shSN@0uTf|LiU_L-+i&J>3qjTg@oj zuVnnSKTb85W|H>H-q$Opj*KJs)r_t`>&BnptyS7oPOU5fpgJz6$u9~ zSC`f0$aFt@?k^;zn(FlBL4}x`zf?|}3!WFt+f0X2!GAWtk=}!CKT+|j^s4FXl7QWG z3rFV4PIlWia9b>r8!F~B@`cAM9T)0#pE7ip>NZ<_NDfsa^&>T~9LnM(vWi_D%}q|_ zPQ$dCqYqjxs{G7`c$<1$RGF-NEk$A6Z_>tG`x>4T--lW@G2nI0A(M+a^Ke|PidMuT zDACHmb=1|YT5GQKwBQ<5EUB48)zSu8cq09Dw#rB*Mas0iukbibjU3tkESYXOkyr$q z&S^n8Bs^zKG6yCr3@c^OqQ{M`4U3SL(4D!4FPzpLUrcGQStCkVP3F~APLIhKsT!7V zbRe}_Vzb@K|NYB(T3fqQ0ulKKN+sA(6D6X|Y$!_k?pMLw=ijhe4s*4J3$wC5y_GW_8yXX(nDKdUDSn8*Nn4gf z6dNe}h9)qSQ_^|s&fjH}nf+f9^_V zyQRkAL(J%_M!}x#PSzU#cvQ1Vi8?k`Ug?VyA4e<*)2bAB6<}F*GCztdPcq1ZguGY$ zHO3j_5d8H$E&utaNf-YM`$TFfkFG)3OJYgvGw;0o&nFOgUDH5`SH+7^)S$Sc+QAif z$F}Sx$*g3boW=Ugrxa&Tbg|D}P4!@2WnH73l!LY5A735eu@w$ctA|Pmr6k_cR1SQ$ zG&M5sAsdnV*(C7SoXdbJ+yyss6qSN25^2x*o1WjGN5RZ#{9Ef{R=_ZDg&pQ$C0MLtuk@#^E_jh^6t`>{0WGPg(Dvye%^Knfav)=@0;lnNBPG?)lG8 zfle0P7M(uE8v5GJg^1Tc2J3QzZV*U;GzN2&mIO=IiHpr4RWYUS2Mf~Pcfi)$E??BT zm>RIVm)?mSJ67d<4h@Hwt1p2T>|4inpCsR#Aa_h?A3Hsz%zzfLS1Cz+dJL7G(kHqT#twgjH_ZNo^ zZ{H$RV4NYfybvPSI>okG+(QSW!+1)8{k7ZPNt`%q;K*S+Xo6<$2wF=Gi}o^PT#LiJ z0+pLWzSb)WO;chC33oCN?{LE4In;}%pH-PtdQcPUQ?Epi0hs_rtR)0C4AHj#hmg?O zS&%~MWfKGQlJ;Y2-VPjZeioq=i`f{V!V>ac($tuH3k4F$$EzMNu!dm>Ha`<1(llc& z);mnarzHNbH6qH)4#!M>R@!i6^Jf#Rd!&t)2$>KH)kz}STCMV>HKW66H1T57=3^DQCmm}p@mLva=cYk6Hm1ymPeZwCa0?s{Mc6!Ub zzaT6ROL^5`C+?Vsr8!f^VznbnDWb_RZ8nFAd%tAFx4IIq0Tqql6+PJvJP<^ZxQ}5% zq^LRKa|bhS7-*puvS&6xa<1^a6Jyh}bHLh$uyT^FahsRLL*oz=s@4$p zN=EVOQAX!MaqlcsRYuiuJOQ+#MSw)?Ktbpgu?>_gcH}c^QanhY;_9PeaunFl0Z!@j_IeG1~C!W4xl0^VsCJ^k7)?g8M@IO3;u6)iykfAoHZeqQm)9>UcM*Zz7M}fQ9 zXJi|pUN^+15#~gZyW&XWsvKD5U_hiF#cR9wD?rp|jYecZw& z>_GiNKI0->PgOwdQUV2QlI@30o3{ib!puom2t{a>* z11@B8Iq<(T22!_ohIww;<&Fgl*cSri4Uw|Q5d&vR2&<7#!}4Y_=bnhI;3H?{9MW@h zw6+qtePpL5bAWk;X=|XU!2zOxlE$fg$W1hg1mFZg)j&GIW6oySj|_wcL7uSxK6@{l zqtK^?6)Ow!oWQdt59iPU=bNr%*iSVj74{{#0shSP>2?6v_2>dASkU|gSURzCY6t{@V_&a7r|Let_p5Vm>Ff+4LhPip5cT9rI4k@Yiq;7S$c?&aH}H&g4iFY73Eb7;I%Wu@dO3GE@aXv<-&9bWhJZqZ`9UVtcqm zX*uo%h`(8fnIxNrG`LHtvww@jm{9_yX-2;tf6wTEjyi5~SZ6DJK$DOvppfDcm*e!X z);pr52A6xk69SgB`@Ng(z7=VD=TRU5T&JK}W9V7mUiKBxO%U{ZcBd>ZAf~?!WgOz4 z&+vH)@uLSz&xaMEZ_~IQhj;3pQ^TDh;807()cDnj`U|_9mOh!Xcts$}4=v(Pxd?-W?Ap|K~ z$*0jphx>%wJ^hyDS^&9P2uh;&$h`>vRZQ2)Mf%?M3zUH8i-Tp@FtlKJPm&+S01k$Z zV!I*vVrg+{BuMZ**&+ltkb>Di^Zoi)0`(Lu+(rpzJU5%9dENqo|0DH#QyxgV7^R|a znO}z<68bb9Ni%Bn{vHA<;o&47uUH$l0SfqSunZHO$Bf66?vr4;og@RIhJef_d7bR- zHe4ZWTPeXU&Wgc;o}4uUC7T6ddKz9Gtb@9z!$}RA75^LBk&W5u;XT0wW`&Lpb6eg+p;hoz?01V%xmJo5RpbR&yT^(b zMe?~shYCogc|x{+Dh|QyarpNODn;Df6v3%R*4Tpl&yoI91uiSe{o5_rgj9~nXON_L zG9#w4*(mdbzK4|ru#05e2uA>p*ryZY6482ST%&N;TQq_Gz!V`IjNlnNx0gJCvmg;l zdGl$lxY)8qOcU`Iq=R!!wGALoEI$_=i?9d|+a<|j{?a%2Sc*sQfUpH|9-5(`()~xb2riK^23VgZ2Zd4W{HINBzAd2-$wC#t zv_I3dNft=qPRP0GL-QB~w^t}YN?0_zJtbtvFo@j+0f@DoO5Jjiq`3KjU8~|yPE27d z4gD{{ak7S>k!uvLX(!A>w$U!B%o=%}RiBgV{ z;HHAH_qY(+OOAwcg2%eSELP#(e!A^H3MpFHYrXU^k%?0AeF$93H^X)o&`5~}p zVO0tPczyfw9}Cny22wDrlF3UbeeM}OUaN2t@7z)HMe*=hw&ex_skKAeqV_o!k*UzA ziyH5QdDrhZSyzrR6D@F0BQ&V%a6`(0kkG#Z{q1Dhp3yGRcA-jTJXjzj3yFB5;}j8P zM@wV+E}N}Y5-xWQw5ZP_dWna_qMvnv1m%!3k_E69^;#W&MJY7!-2)0cYw@swXJuss zFqJ3zKzis8TU6O4iG{NE!g)}tF+49w9!L40h*(5t^!yRZL7EPB>aX}fqjO{0E*q1T z$B`klPSB_nk4%W6T1RyaLraN=gH&>~ckuRiU>w%}TQ8U4UchCV&R#?bdkoXI>K^vz z6sbAXhT8eEy+2{CQtMF>z~uf|7Hd3MURTp!XjP`qlxdzsF9O)P<##7HJIq71piJ)) z&Z5}HaNs7&tT_%nwhVONqr>;Z5@`Wu4@aNVn#0SzshJ9+fZzf{O)M%uj=7aIt(Syr z6Jvs!!Yxxg5t$5;B;5sDBhpGu2;HJ~lVKYiV5=H6GULQ$9lG^;#O;>< z;VP%kWG^P+r0_hp1>I6UF&96AXR%aJ#q(JycgI++MQ<{j^O`H)3v>L+KA&^CW-t1E zFT8(jPDgwH7m}GsW^;>w?ILnOO8;#mLsHg?F6>|5h9! zda{E<8AD7V@C?C9YTQjqRft5#LD#TT8(fh_ySR&<Vox%rl=!SP7;QIm^no_dkcUE71=yXB zZNIOX3LzOGD7}P3R$Pc!b9>rR`}Xy**yNMHRxs#rH3v z4-%4OA_;$N9<})Jk8th36yt794WD1nPxlKSKxi^V)IJ=rn@?S$|kDzXE_BwiwoAbft>i;V9}$U>rZ@adxY(+F|yDRtbLq(#;J$wi=gR&>zQHL5!&pu*R% zDx5D4HfjpLwLl^b>ua>MpmaJEZ-y!7`5+@$`?t1nF4-B)O`aA@nK#Vx7WzH*i!?f3 zM7Q(|Pf_;~=e{()X!Ah|IiUe-3Xo8#wrGAShM613;#+#6nShqCg&byckG8IggB2q!7EBSLar%r0o^P)5q71Jai`khEYc}m^*95YvgIvK%B#>5Ro6uUb&;u^TdUNSp_U=b`a;PS2;sAmy~ z?~kJvXF9r(xh=)J)#2+-`9c1#=j`pTH}Rs9HdrJNB59;S<#@;4_u}Bp%sX7ZKNgSW zsGKI~FyEep;rs8!fqojl$Ng$q8kE65BT=`XTpyH@H}jWL?Yw01zw7Qee7wFrb4OIk zE1Ri*d1={O^c6=-?SHo2*<)RYx_7#m>`fEBPy|df;ZR!vgz-amd?jsxTxylAio&|w zLHijECO!B{gf?_4bs0HJabNM&%qFNByVTT4xg`1sS(2Jt9hqCFYC15TtZo=st`{=P zEGVc*N8=GX=86T%jteT{&0Oy=izWi~wg!06UV;YQf6N7RmKV?2D#2khThck}=3Dt4 zL#9BCnMNOub#SBMlI8UER+?P*ZrcEAO?>y<90A;Y$Lp|4q!hCKtVbUpkzm;VxJ1QW zlB8Fim9_fNNO^xQPAKxg@O+OrN<;APManV>jf+Gz?TnZpvg&z%Cd1LE%05q2Ekq2G-r*UQLx>Z5kAh?+`;w|4kLsS z(gI_HS37u{#c89kBNv@IKuzFobc{=WDgnXSK>QR{lA@^~X)yJ!AVER7X}gb#eW(wX!uQcg0?_}>CUzlTW7xy2c30lX~^awG_5O-;$bZ4q1IVa zj8UGUTP99yx(zF_gQv0*M+QVgPpqs9|8b+hTJw z{TfZi$R2vC`p*vrZ{IVRD4xE0=DIQq#ih+h?M{-1eJ0XNM{vu+TIG+WFTulAM~v0l zQ*xe&lsY_*(VgweJm{ifnJFn_-U&9cN2}|w9m!v(BOX zVqvtO$@fuzmEZl)99Xa$#;Ryggl#km$ixu&>g*Zugi#Wc&9;QS1GfFP9Tbg{V$CU; za=6iJ6S_zHR)A{e^09SCZ;80-Z}kS8IwzjT6A|wgO;q(|Uk7n}F`u!vPpeXw@sF~z zw$0WQ@EnHcvTc*Lp{7g_?^Ry*Vi_?A+FUPRpzK@MvW`5mH&w~_=xh(DymP5EI)dm9hd(-uNsYGwpAPA4bdYwlOVwJSLBFH1m>;*Kj#Iefj^{%Fq zyxbX@`Oi87=}&Hfd~}YL_)MomJ!eUzR=ICyC{qOAh1fH1XnyK^K)@MoD^VQZU3)*u z{D~?!S6RDccPZ~HqcV%`!k(F5O^4?gVI(e@m*52NVjWRg1Yk;>|L7J8F)KYYb%6r? z_{~*6jKIRNWSWtHvweL!g^Q4cg3Ha?Kxy~VOuvH04pGrP zd}S`Qu->|bCaE?l%4t|qb&Aco@i~2c8qG^=M2?#kaHL*#*0EjT zs%nIfZpAoET^g5=Q;@_fqL>T@t!eE*b=fcotDKidqp0;lTusL0NK`R8(!xjrNET-@LBzN?R>CGe0xdd#VT>>@Zt~7 zsgUNh%&A^nE2F{YM>w`@9E z%%?=8M9U&wUTL&!R2v8`zlRkqHC#~QZG`io#PvO@fri11AmyVAq7P{3(f0lq#d>WE zkDEvqY7=|0jD|+ct#p!TZpyC^(+V7VDY2LrZ^q*xBB!dI#Alk$R~`TQG<%GWc8KOa zpA4}152ZA1OfBRIO+8Am&?ykk_ru49)IhtumXYa^drA;j80E3Jf>x@;XsDz?bQh(} z>MOgMErQ_hC#VN(w3rdl;rDxjjIyq%?=nVt8wwyBi?DWulb|;l2t%wIX4REA?kB?O zD!~l;+kK4-LOe&;(sl_gUQ1Nbd&XX&7dW9v^0PkBFBx&A(;+kV_+bFqho3ZUFfteQ>#XeO)k{!KWq!g&x!dH z1#vwP!D{ji1TMdIK5^~=X?tS-KE>P{L95w+eP{)I%t|ORhFEU0Ug<HvQNN9566q+7Vp8}Hh4R0;3`WV?YPFZQpLb|4X%_-3y5XBFQYxbRK2xJVa0RzOAjhQ0IAZI1dHd^c-6>+ zu^kqW9%t%TV;Th(HEpCsFg_%z_!HRviv8gW_p0a2#);V7e(;0U{nZ<2yzgpdj_ow; zG(C@_&6fOJ%;jXLP8#oV{mtMNYG8LKT&Mx;tL~;v#rCi>88cRVA5u~rVXj}c@?W>0g9nv#01$#Cs5^Y0VXBrFIhK-%Iq1}xpo zS;}V5u$@BR*15v6EVPWm|Aq|Kv9sDYN+zCOuYmNa?y`vbw#ZX~adr)3bUkD?cOTOHL4gJFl>^l>< zrKQtrGly@&jY&OCc`EQWTIO7&(O`?&*tvig3wZ;xCWF2_>8D@No~1WEjCm~MPrMhQbk zMO#Qx?Jg;H_(F7^u1h=qF2;8fUuPR1LIVZD$bK9*Vpv^Vv)5+U#$T&C;=$zu%CEVAtvuNy2r|sYfex zM%McJLt*=A6y?!`ecDtD$a8+RL8*&CPKGeW#z4u6smJm_AHf?g1+OKjLQ-(@4@*fX zA+wMhY|22htnqsdt6xZoCUEmxny8wpo*h;D=Y?oUz-|L3J~X|1eVmo5;IZ5jx^UbO$0UsfR=?smI`CgJ#C*C$h+s@mb_IMx|`M zX_n&RnS2QLgX(lMmoK)CIaXIQbBB9U6A7zMT5g(f+W)oL+4dx+_HwCTX;g=6+cfTG z=g$(kjm2#ck%0k(LyJeIn76(baX!TLRWj=-MQ{>9_leKL!VO^Lr z7Ox&zc(X;5UbeYzRQ$U4D!=tY**bh#w@UU=x$UUw{VbhM7I0epV_ZZ%wxOrPwp+L> zzY;9x1uL~Hk{Stp5^X?!qV058B;gUJ>|SmBm~*ftqaH0^)sb*}L8Q|LLDZQM7*}zK zZ{}eMTMDT%EUvLy$b>-Ue7$qFN!v8?NDgTZBu|KiXl&}9uw*^+^13TKe%oZG=Q_O> zSX?BC8jvDEbQh%fiqb2&fMY(baq|wur~)CU{4gsa6awX={}e#-&}r^LnTG6RzEzBH zK;`Z>jG`>GBUD?vI83S>)~2$oruFBulqxm@q)%!tAYqhK#OM~N?`_ho-wrydjNa~Y zZ!SB_QUmlMY*m=E6ANfUf-zLvwwbmtnZ@e*4u2SzM$phrM6e=F!k}@khoI+ntnLEB z-Hh~mw^^s`caJJ3(iY`hwMS#nB7H#r0>>>u^F0kum^+Q9;MA=n^EWfIKAIJmvrkYYhe1D1t-oOkY7MY}d@h1I01B=5js`1E(`EUsq`;nJO>~0U$lD*du*;hQENN#d)QVl5wy$1m6;L_d| z0%;&cWPpbSupSd{hUD zT~_l8l_)t(ur^%u-yE4^SQjJI(1)f%4FeXU;_V=(4diKlZ@mZEXhYxXn&Hi<8KSR;SQJG8t#{YYW#ZNl4PQqU0X5qWhz3Wg}sG!5< zJ>tw`?nm%DAx{O+KTb^dQj?*yn{csIVM5ICFkhW-w9_w_4I$A(){=`()0I&)MrzB> z=-1E5NfALg*oAy{t8%)x`s`aZoX^|Z92ml25H^Gkh=dw3cQFJ(Qdal$2q~5Uup|Op zR}_vih=XNhzyY4B49ge2-+%y<9GA8KBi8mWpY3`Lp`xlR*|F7a2GaD->^Z!6N z(F<8PJIR|k3ftM(+u8mjsK@btK)n7Di2IMWoSKF4k8T?WGbg>ciG`WDGXXmj!+)ge z{tJrzAGOUDY#ecq5l3`ey-q)yp7RDYW zp`%B?L`&YcP!cQ|^)#pWyd|6)x40%O`fo(tr!2_qX&Nc2<-|tFR^tzZ4?obihA*_A za4Bh?ZFwjwVDXrkP97Gh6!4l&lDc&VjCtCzH*2=*82=~YjY`vh1O`f}H!!3!^ZkgX zu`QCFO7rtwS4ETXI}hqUjIERC$t#I2Ij!hg-~BY3#!0u=VWOQ=xmny|V*ia{M;ezT zt66bN&MH(XoxIs3miE}fsS~{p^$1K{+I@#7(K7j9=hr)%Art&WH*( zEOegAM@s9vpGFu@T7q-qkETj)Q5BDGk-G5I*(Z?-J612;8mlb@9Ozd#?JFT?vbj`4 z<1@IJuuT1uyW|nNXJ-0095Xb|RvPJ&+Hz+ZX^#<7ZC*SC*ruo z)ap#{g9jL4>-iP~?o>!5PYUbXH?5irwiv872&EkE!IjWaIBj~97Lrq4z>f^!WJ=rdPW zkcW~n=WCWp?5vV$@t(SxI*l_2R=HT^obO`4NlcZdGrU7MuW8Ro2JvTDblpQFveSl} z`6IIsG5?L!u_P{6+Bs$qDjCW*Vp7Vaea)tYLw8(k++M}u@e{Ks0W7rt22RSvjs%>EgR-DSD6$<>4XE0-p4!#+0yXMWy-u^C~?(4-nu9vx8C}P_t z{!g46me%WxCozgmST7rvO0liQnX><=#g5U~MRZQB1qURxnc2{NwYiO@vL-)ydNEjy zbxmB?(K{7|*(ux{lon%I$n7;~L{Eg1b6ujqr@Ya0pO?0>Z8!dRta3&d*a|KGc(B!q zCbhYzD!0*m&W|gfTrtsb?Vi=6Q8h-)DolPbsA)f(iu`BRVoUvzTK}mE_11{ua5%K* zzW7;Ill*Ad)T}7`dB3)UO~-#T;*Ha|D7BzT>D-DYadj5eu%CsgpQV*75M}PCy-Jv> zVB7t-4lcC|GP^%RY!F-GtOWGmsTT#xB|p3%ibOt3m>B8b`FVrlALEdpApNmn`R7v} z=>OS-og>N2?RzsHkbesQecm^j*e32Gyqft)`A-5s6)3yfSprXluMJ0F9{rDzQ~dqE z=@T*7WG=vzo&ouBM2g{t2zqf-qsWM#nrg*{uE)UtWJ!T#mpU785YRew`N;bGvN zH~DwH$~c)vS(n>hqR)J2Fu}TIn)K}K?lI=?B%e(3P51iPHtXH3fKKbPOIy@TJ6zA~ z@#v(}OO^7)m(9u3Zu+;5N*-O0*E18VVp2IXA9;YDMaRm*C>R@r5`4u6BNZjZlFqgd zcZ`RIagj1q5lLH6%VQIiDX}uKHws z)@w?r_pXnNQJrflJhX%a?^5j3`Av`O;&%z^_=Uyq67uC4V(xJ|hchj}&f3GmL!xX7 zA&3uh^xx})E=gR39~5Qf`K+(GR?;*mI^UydPrRk1v|)WW=?nEw)z!#|SvJ2u(|9b$ zqr1KfaC!J{zOBKfz&GDzzGwYc9!IX+X&>MG8n_c>>&~aIKP73hl%I}Y^)<0?C-C1uBYgN;mr70D@%PL& z-;Az=)EFx+ui0=~jTjNSfZj&?te=!ETJHN0W3*&R6Nz1kDIK^s|2o6M$2y;0Qp2mB z>2B1>2K|lc#9KIZ{dy*dC7k{()s62Q)L(zi@Xt8Oy|`=#k-`u+w$x@V676o zT5S=YSE_R_g#H^mU(LoHUW+F3LFt+a!J8d` zPNHiec5qd4-}_9G%*9G>`2w^6Cy~=_vT#~gQ(RM2)U9}}P%ls)!bkG<74Uaz>jLO7 zAm+k~I6ne?Ln!F9Av^JGSf6MC+DV3GasJc!tOe;?G16z*uiYABl@3j~cb!Y4Y3@6Y zu0+@R5ZY^7rKf<|k?^0R7FyOG9o6phmlLc3g}|@G@_N9n*X5rAwl0S|RC>S^wCLiQ zH3ha+L~_HX1 zHpK~meKLxAx;<~5O1NFSO$}H~*E?~&#R$u97}JpVccK5yqEcZRM3y>kAl4ec9`j#v zZ>eijH7SmpJ{AmoIb?H8ybOC?k4TMhI@I^K`)p1eKYXE(88@xRQ1?`tdwvXdD911B z)BRM}dD)o@T`>+?7}R}NMji=y{Z(Wx9tmu8{+L9ukz%tel3TkB05g0Hb&kG@kRL0a%%^4xrp)W=o@OR8|MMnvTEzv4v7;`E{)Ft)1-4keZU^Aekl&v*34fLQ|*U ztpX!AIQM15L>9Ch^j96xePuMHElET>i}Y|OaJe9u^2Ud6VO=`$?DU*Ze)#oxE)gVA z07J_|4^T2xYmWRXoQ=FKo+0N<;b*XuaPg0S0JU%S(S9o8J#w8vd`I-8H(T9ePdpig zbXd+)oAmkdAY^_@7Y7c&$5N?e80NtJ9kfa+S!mt(;3QJlD*S_DLfw#IVZ@O^pO2xq zUMT|W&oKScavA?rV8(~P=O;TC>R~!&#Sn2pkfkGFY96*>r8cRn-()P1=LqVoEL9)l zU{0`m|FooVp4F=2(-cjvfrRnVWQH_L>1<(z z6Lsx(N(7`oOujB48S#7z#u?s&O_Ai|gA0C1zl0>sikD+%iOCE3`ccYB!r`??!FTms zrstPSpl~KhTl|61uUl}+;0TnS`Zr1$7) z3{?5#T|MZyXraLGN3(gtn!mO$G><;G@fmEF=P#+}wSt%sbk0&V{vf#;sc1K|15NeG40y}*+vW^(iJLPOoX}|;; z7~5&l{$3jT$1#vE^TPLd`I8l``Q!lO#nchpC6HC3PwiisKc+GiFWZYh5X zxq#-TiY#Ma{KBwfRw*N|8juH%L4N0%a-gE`jcUa2;ZeVE!VV$JV5}A363f_NfEowq zrmFJD&V+jj!&$@NZnLOe2g)~c@Bg;(f6(!~s5J=Lw6y-4Ef}5t(DPUo%ARAr0<&_>y^n_(09{Id7au=>}CKvkS3w%qWt!glH>wh(Ac!_K$W9%jUICi78Sr{ zLt6}NFanN!dXn(s!wKS%zDzB}y+E`~9s+4CtjEatg8(EXP3&*+E$d47dt+ezoVHE; zY<^N0_XIS9`8)o^H`~~7JBc1QKH2p#U3<~bdkBq;knFqclX2_c&J&s&^&r8QeJthM zPE`_t?N#v6q`g6N_j!PS>cLyxr6ne}AL8H1+Z{Wc3yC<&x_vHx=PsACBRZ~Y^|t)| z5xr{dY!qd?F&cXr#1LJl5c`_;Tqyd?GvU8ZPnpjpKFx1YV--D%#KoYB<-$FcX28$B zlfA!zNw`hJmEr+)U2b9}<9QXvIm6e1_zm@)>gwHDnV+;a25E+t zDgtZmEntFlr-alemTR=1Ck!}t|EyYx;tOoiUos-3mx zkAB(GG+N|uE*r>6fi-@@7_P{YFnmk7nDrC{MF5M{UXBa^vr8ozbpbx;_|iWBM&O#a zguzE*LYu;*@uJ@Gu%K^K6>cNb*W_{uag!s!Ih1q{ zldG{f4Qb;pU^1w!(a4sqR!12vIYEgGg%O&?#eZIhRl)uL3v+K76xR|hj3!8M*Wm6J zEHFR_7Bt9U!2>~p>)`GZ2m}ZoATYQ)gM|<*I81PN2KV4^C+FPz-TUf3se1LQK7LTu zd-m>LYxVM8-Hl&F$A2?{@!^&@Ue_b7uh!W=9gM`1Vq!*PtyrZfLdMi5bjqG?gaGQ``%gOz?m%QG%mQSiCXyL5N z;BPs3=K6r5u1lM>wG-%Z8bL$d%S`)Peqtx86tr@Iobe^rRx^8+64o;IRi3A(=h(bN zOOXT*5)W~BU8?*_ra9#`J*wbSIJ?YV3`q{Ib2lk{D5&K&M2#^ncUVMFOY%3`Q1lmi zb@}4AG`Sbfa|r!Y$;;U+yi1f^Tqo!Y+#ZCan{)Z$?f{eK-scH4s#h0UtfE1%2xUvH zi4s85gyTJ7MW1<`Er0%wM5Ra{e$NhvDlsRMBS5{>?G;m#s8~W5O|(?xa-7X9k3_$v zR8dM|o1)EMb#Sr#f*>?04U&~c8BT%9}{v!}ASTt*WEDBR6E8=}CK0~Pg z(v?!w`guS`39D8`aoz_O?Ymy9va+ABe#CI;=QF;YrPEeFw7N~r6db{arr~18mphM-+v`&cV^t~gl%IH0 z8BNdg2<03UtcVM2>%|i`UlX@(d8Ev$YC>TjNu`Yf-3M!}&oQ`jIB4JtREjm%zm(*%<{^^(sle_9gL}G*Mdd7}nD3MvUa3%E=y2fYp zT8}x*v-k9M47DV6$X=wti6K4FW~}ueLtzn0|1swj^y%NCb8}M$AJ*E2w2Hr!eUi$W za~*ITAb&GcaWtoFoUBx-Tor?R?LlpTpW|>hbA3Gvs}oDP^)>gf*XVQlL`W>(@S1bZ zEt_(=F-<|9=cs}CM8+6>JI zM?9a|-!I`sWO^Jf`dqqP9$a2vE?SD^UYSS)%-B$y8;A{=u$G^8eWq=hZ0qwcDd%Kv z7&-77@?{oL1+G1GdJ+L@L%&Avk^C`+$+ms^xc+i~yJ~KWHy9P|)R@&{ z%q!oSSneleH$n&=(!UvPqiXn$XXY@gtXSu6Y31e5BsQr}Jv3GDp{+a$T_L-Wquv&^TeNVed2i z#4`r!JGgqj`^p<5F71D1&`4Ue)i1UMkUglfH$Wg=`t>0F^q*`>+-=6=1zJysxhH0% z_P?NBe|ptxn{E4i(NjwV6LP-b?KWD57W*ZaXZtoT6OgCE1&0cyBC9Tx+ylo3P)0R=O=0k7 zqL7FUXRp+LbvwoGm^2i@PQFozF(39YF=fNxD>L#P?M>y;Ilq4W&Xty8vSRxqDjv8s zG%*Wvi}aGu@J2+EYG0nrraV7QUZ6Q(mGj1WN$g_Kc}Uc|6XJU(lX`I0W3;$jeAr&` zT)<*Wk$KvE;VNCgsG)Ewgmzz%or}mIOmX+y<@1cK&gPDd+iOHA$wNakE_tw#Cm+rXOZbhbH_3LSM)1tr*d_J`ZkwtPg(%||kmoH)e~d+p z&HKz;Xicl(Bz^zr|2nzZe}(!VA}xuSAzFjeq9U);QzJAVt2B~Qe<)n-nF`l`CX-8A zuFYvY7wP|+4#PrN!o|`=EsJKM0?E7N{HGf=e65_ds#QbBOJe-jzRmZ|a4blHs2`S! zi)P&fb|oWhaCAa#`KGO8ls2Sky_dQCURtp|yLQxc|dvX04wlD^Tu#M)rur-^UIJN z8p+(rRjbFW7yw583EhNdT`%!%QPaS4g=vAKR!NH>@d8;7lEMZhp z*KZOo0-8^|#pM`l)7GHLVEaPLi_?!M(C5%)vx`27L1sIfCUvWFeVC1&jW9yih$&E>Z4HL_UAy1m;X}(wqpLLqp{eP zQ1$1T&JR^UO8H@<2awqRr!W6&p8$BL0V6Zh)7SRig|DtAksgh99p&P_hnJ?TzD z21B0O{!;R^_iH@J^1K_?cjVTdzFnkJm$5I@@dWp&Skzg|Kd{(UAJ?6sPO8=qnf$5Ny9 zqnESjke9Gm)QBIKcSn7NN0F}EgA&;QyC)BoX)B8kCFvfFC(QKL&gyb-wy_&kHe56p zAeG3cln(Erwi2lkRJ3Zu(ZV}GMRwK{CB9|AE#<%7Ugr(Va&wHy$&6KI*JtT__G=aV zu6eIygZXpAg`@a&h}Y#9a^M4oGLK0U-!c7nq0_J0+0_`c)E~o0sN6*Tup$j38e!tRfY;;#IK>)1OAU+q$N5(Jb`h}q zJGj4Jr9(h%eI@Sm0Kxh^6mmmzUFc8v6M{!9)WB>`IpwPAp3e`?sHnJuO8E+ii4CCz zY;NV1w3I> zRd19Njx@HMcuq7X~Ui|4jSp|RTy3AIo-V_Mtr(B9q86Jp>Q49 zJafF)SX^vedwog8AS^80AnC^lf80^n5(@LT%4>yp=l=%+&2)-IVpv+^qVEeuHrQ-` zAviX&Je5o~VqI>RuOlGT@M6ymmYp>03)&V7yjkI?a8o>LLG#ggDH$|8YMVc)UQB+i z_}o-n<|AAJ^GG@woHXf(lu_V8r{*_mqyv1X6f+R^<`_4dHIz8{ZUT!y9ke~ zb_3CaD6{^!Th4*4cKprl?OXBjcRS5r!6|~nBaO~5-HNt%ySvv_R=~xKoXAj~n00=I z*%2-uE-+%*^2&E{#&tB1|0!PViPTI7r*eA1FST9dQwl2_73@o`MO~y21kS;uur5sW zk(LW56pu@~2-T;le9!h`7P{S<^O9gp&e2dOq3xaXM$!X$box>pV1#9j#--={Zt4h@ zx4Gc0o2;0)W@{T;ilo6Ak5Jq&i=Cq(dahD;7b{r31xER&rW78_Uy`^8+HaF#tf);? zajUPMl|_EPtrokU+u9GJc7*asWlAIXuzgI)aRv2F47#$P(ubCzC&9R+i#3D16c)w_ z8~6e25~Yd<7?MCFIdB4L^ru)#Mx?p$;y^H1_eR~7ccCtiE;Qaw=AT#8l@P#9Z`c0RYhfk0GD0%1cOuL*dQD) ziy1@l`APqQ>9GKVetjoyG*mm@{E})^L59Px67hPiL!S;T_UXWNW>jJiWfIGp<4ZrS|i`y?t zcfag2h4h#7n?h+kjiRdwr29@@{)4T8GD3-0=}O*fM$=nQ`r`)_4()k1#4gN~d|G)} zS}c3SHeE-eLimM{o6*!{-E}XFe6)ExHG{>Qulg2-{fwyg?Z@rT;Nf;g4?!9VKwh1+ zMuoB6E9c*TTP38J#@dZRCXH5jg`xs57{)(n(s38tW};pZ>Tejsz7NSVE!Ia&%qrsS zUt9{$V>GZ>N@ap1K@pC)rkZmPb{+wcV*_7(Y|{O!BMGY!DdfBNF&~jFNodHbaLvNq zFeYsM9m11q)JA&2sc*bY2*i}~;fhtLNj1LeGz5GAD=_^_8&O5-CqHObIov}pzUAyu zQx?iQeq6Q$XWG!(95G}{UpbM7Ye-Z?>)}g2yy_nfS3;$v)(!g-wd2HH-96SJpE{il zp%^?7$K!}>exG9exyo8)zKzbsbwc)0l^EA}%D2bGEn||WY3voaSe|Ej%bg);AE6Ql zci%M;{Ad6}l(;6smfK>eR!ibRAA&48hjP@M=-E}1JxI<{jFZ;s4RLj!^*nGw?qcti zjNa|hFx3Z({O3wQj!9cGbd15Q2HZ8#86xupWat3Cl#v^-Njp! zcsPAud^T1G+uT|tTkie95qke>idoaJzls9Vl)no?!FDH$EjcfT{NBXxkRGXuY_?7x z8FaEkr_^UdYPV4M7K;A~5DtJTR8hy1LAT$3hRQA|QHLU|8qdDwmrLac7Nt8?`Q#s1 z3cV+{+}VZI(oSbd(m_W1jOO^Tovk0KEZtjCmYQk~2H&p`hm*$Pe2sjCKfNTwMMC*s zq1Vdikt^FG{lT@*2FS5j?msjFWE@0BJq-V zm&*AYvFAhI6Q%F!Xj$o7&$}I*Kzr}3^|!0`=<>mRDi_4fhU1RPBA}XiOdi9kENVbf3ZBARs?Ar zS4-~&gC8MicfT|LMB8wBlp3SD+YD?LvP(@Qs5p#PFxo47KMBl~xXpTBM?djwtQwA_ zD&nhsfTs(xw}L!gJL5;86hZ(1kp!t84P+Ji@gza);$|6$dyvfA(5Pl|q#gxT% z%(hgG^|NGuPxL$Ux?30Dk?r9uAI?M1^U(_{t!;}aU0KAfNM(#Aj`Xjqv4kuD@OlfJ zI4T}U*C#BQIsf|da_d#W(e4ZjX4j#5wLdz|g-i&b+gJGgqJy7pTE^W8g~7x-F`?I8 zTvTi`U*E`+Ve8tTtr6?s<318*Gso-rj-40w;yJs&Fw}wK`v`Y>{mXstT!OatJ?11B zK|d;>;nUu)=(hd$nRpViW++f6a2cjX0lkq=jypQ?8oB;Dl?{pTELS)oI4PsivXL>|K(^+#HK8w zJ2)(gE%JAcMcB*NpHCM4$dss37Lq#fTSN4KAeX%<117^_%LBAK;2qV#?SVQ%=MxoF z^)Va7m0@GG<}G|!NRMVb1!g|q)0}jO3w2-yjPWC=gDPMS^~4A0AZy+K!}BCq+RI`C z#lHrX@(GRdsj`5g6;~4ID^OSv6Q!%%gbH}ozUWYTqD#&x~8&DJ)3)9Z`K z{{5bfZTeCR^~b~J54FydPP_|D&MY&~tLCRSDCPthj?dHJrdU=>?BeWoBXcLG4_hPI zC%ZNNGFLgR+Xw-J@O2Q`rWCH)+i<9)==lT)Y~pG7l7WSiYA&q>%ll|*77&oi-QO7` z*`#8Upwp(Tois1wuZME_mBwYjH4CWu@K_9}LjvGY0QRr6wG{Bky`n*pd`CEkCVnRD zZCqi!K2u+A^I4ylGPQ*2;xc;t1xfp3DPUBW!>;`eG}SlDxOXoPmps)ElEpI8KaqAp zD*)9q3n-lVN>g&hFN=1f-aeuEXwAxWkm`_kVj-bl8cnHJj~wrzO-nD0ykFewS4Dcw z51$Q+^Nw9Il084cM{8i{WCmd-vC z8;Cr_J33n3%YeW+J%fcJy+HIPF4bV3&FoPLp>Wn)GA+z26uw1YOEYX8B02N7Vs*QP z=Q*cRxFn`$MC5EdE9nfMgE0mRlJZrNim|@*JCmq^>*U6!CI#13S$Hmvqx08kH*U<1 zil1>E=I#1R7gIhH;edSKFzYnI@w~JrugVD@`*l3gXsVNWn+qXo{#qmsBGDvDMh# za6jVzG^8QH&3Qfyi-cV(Up+y(n}ooSKq{IjKax%kpFMab8XYVf&k$Vtog_k$KsFk` zogtnRNy&Lxu+xwrGzJ-p{yRDljFQ9pK=YKifA zA`iU!v8u+U2H9mld;My%k=*x1ulNz(<8VmU1{SGqbEvU&(E!IIvbT(%wvobMhu|Uu zC@~^BhblMjgFa6RyRW%c@$AOHMsLRphb6~T-Ja<(8VpEVp+vj=g2PP2ZR;L~yQSs3 z{JT+Iy|{WcEC^i47!U6?b#D47jUNS6UGwAM+<$Mo9YIwvb{Gl#;; zkoYi#8HmcHv$n-$sJA|kzQ2VpPk-!)gVySoD|Jy7;>lPO9bS}9W|3wqWIQRngH!T3 zJ2(5DH2nYxH=H*7d>0Y~%3it> z4nLE=H#6GRX{278S1;uHiO6?TJlsULcWCvz4_5W^&FI(tPfRha&vR4o5%&XZk4O-o z;bDJ<_#~4hE3rbiH7ZTrTYpnD(9D2=g8ND8eW>;hSkc&25-XI!0d#A>peJEUrG|_*wGpAx6#3llz6)1`=1|o+G@_HrHYv(-)klj7 z%vo|o<5pXzxTlCw!!=%{e%7rWP-Yf>$$E~Zw30kPL7%Pqx?j0JhbMJ|ZJd#G`q~RC z{+mUT78ji;_jv1@cELpFpPRlf^OxR@ey{I9RdA^>8faidlXtJNa@GH7G5jf$M^w1N zx0lhR`#1A=Rl;yI_UCvVTJHq)M%W{*A_Fkl6GRCCu)#<^XF!^|x~to?>iPS5zB_uR z+Ai|0eT<5=y=T+7%gJ3&2N}XHRY{dIF?s4#0<>ZptgT`QuUZ+;lW4p zv|fu$N3=Ifdf^jnRGn<_fZ9+dpWEZ}<`4^B3f)OE9->LTuRGpuGwvDPbDUti9MvST zLUy!C7K8fI`VXYw@(5xCI6>NI`N-hmb%$RiHKLAAx5GH) zqIAgwLgT*PA;_12hj4$GU+`*?L9PpVv>$kJcX7~SB(S{TuoaZXAy<^>=(;5s1}lTA zrz8NK5zOGla~g2~b!U_NpA8+gIkNwbK+Tb?6ibOyg?X?FX3wH8l4-M&uujZ*;)A@i z&hbESaMUeI#BfC|u^#>FhT^J}?sa}{rZOd%Oa8of1R-g3u^Fna(tlR8$%3^|2d z2X3gkE($xmSc@b1XR`EyzEW-lI=D#lPn+|rQT5lZ~e0R|MzrWyM3r_65jq51-U zz7-}$VA27T$rOH9N%w~e|NV7L2uCs^SSgo>asRejz*92b>dcx+BGHlP>hK^NSyK#hhAkA3m+Qu5&R!;9GA_M#2YAAQ7IWV>kR zPUE&`d*k1t#qpYj$ZLZsg(rDl8MA->AdwMt&#&6_3r9X#$zpeln}KQ!RYUS4ZXM={fBO=N^IIK{L>zp{yS(jn*9P9Nq@~BD28#HMvlJvcZp5=HKT3 z^)ey=XQuF}Z+ZK}rSH*tMp~#qzc^r$cJ?|BTpet6Z5_TE@15DxxeWIW!;@hq3?U4a zqeODvXeOhIKTJ)fU1o-e9Cy(3kxY_!f?efcow~h)N%TM=k#`T}87jcH4 z1cNYj>hd|zaSxu z#REFWS#s_{!Jug6Q=QZ016T$Y3GGhSrPsWpgJZ;FddS55ECys$SUT9 zbo|cYN%@OZ)jFu(|EQZCy;cNVG@x)`oq0LN`eW{R${Jrw3-}!hFa!-7wRQqD$m%YSK@*#*(ujvHG-6;fi z>eMP~^Es9V52r4N*0ZI?4-uV@RFz8h=<68v?|0jG=I7(Rd`YX+SzU5fH&+T8TyB59 z!pIq(UcbT`WV6rp{_x?>XpMLFa~=}jH(l40fEJ>)mfv4L%A|=}22v^afAx$I#tNE0 z7|aB_Z{PQH%TmwmH~@3^I}EJ#!Gr;aa);{}%XFa6vxvxo*!x)3gPI=K=rczQAbTIy zLqI>eXdI(&!v-IDe!ye~ z-n5|l)+x2?No6Wy@3T^q%3kbvhy~$i;hTV~XlwgI&q)W7H-w12Ck$I3OxWbEZVBH_ z$JhSg<(nQml)yj)+ez~-?o=>dJ6^Y9=G0t`F8)z5{De;37%5f{Yg|q3GSo*b`<;tU zw-En)Yp2?5A2$8{6Gm{X#ELcf_MP^7$iDOZipNLKwY?avbaT!emfc+G?s%X5Hc-fMnpU484{ znN0e}<+NykH3ucf`8y&N8NA*OyLcN>9UTOlLG66xT5LX&%)tbx*aT+S%iId`p9F}$ zkqwtL3aeV%E0;8-+4iN)$`94-5`+kEH6PzO*g_3nJxkqBGm9PZJ#~5CB^r{1ow3H; zpDty797T+yo)Y4MbZEn4o9PWUgvuKJMH!R<6ps*qWtw_%_VWB6A9M~J={zwO`WKIQ z5xaU?+q{vy-=>jQfD$nj{4M)3#-69cpq5(xVhYWyD0Jyk>tj1yq(nqb&thx+MX-45Wv=EU_HN>{3^c4M6JU49nLKcAHb z*h-`2Wq`s+Qo_Y_`ZHN+ORD#>Jj<}*xu+yLe;^1y?vLyFKE%DQ*QECk{UHo+)Xw`} zKkW89bDza8&SfLDlMQ!MegmRrf;pT~OGX+)rN1~xRYiwa4CH+I660b-|2IysbLAa-hAMRz1HMD^_s zszhgdjnDJJ$+?OL$%P;us|%)3-A#JxG4FAq@oH^hrv7|x+*cCY>|$nSX5p%OM1J^1 zjH96Z$!51`)o}m#fR7K>MY12+mJyt=(HJ&DJCx(ZpNoiqul#b@>r`WdP+~xb(RA1# zIoDMs9k;adCie%d5>XpB78BptAy6@DCs5+> zhL;l6csYp`Blqnol#xIFO(=`hh3nXf4tOiA)!kJogz@vvQG$ILEoJ)Kr4{e4BfgsN z7WE0%8`mFY<|SWA1c%Z^22iQfVXVsSS(6C#KRKoOHd81d;(__k6n@7{*-9dFQ(7Qw z_;NbQVdb9lbDJt+`jfty;{U=5CZ=EEgp}o=kb~DZ!j@vng1zh|_{ILk zJ_!aS->lzE8=_fBJ>>JgYP=jUwl8eRp-f1YDQ*!{M+gJ?BRq8knCc!vZX8~FDN~$* z)pvs5Jl+OwHgtGli`#)i=Ehj#vRQxLxA&i~lZMhf4mk3uz?k9tm!H@mSkeLRz8s}A zj?#VeIdFR*H&6R_`Kdbh|80Y0^bWqCeWu~vd$%H&iuo101Bc+LG4|L?hLB2R>+opV z;D8Xjh)w-bNgOAUCDuzl1YcDiK|6VD6}H&sd#4xCXYFT4oI`{8vKsk@u3D|wd&{44 z|Ez!kAdt`%)6&f`dK3mrdp@;cj**Y()O$n}sG7q-K!rPuHf$^3`HuTZK}2zoWh#sn zY}HZIiS6S4vxX!&EoqO6gcn(?$^7p!mmM1f^~0%8Ca`!h;UC)SKvWn~@P#CNMNZ^5 z=w;B&(EYbpF5(HGjS~>Iizf*}Up(#uM}=Z*8@xG1O66}FnKcJa^2l@>Kopkkvtj`t zN;fS=!+g2z!z4wXju1#^GC5=Y2Pf z3Qoz&TeWzLmV*5!of(M(t$37pV85dz`e;=|>_uGi`ZZg$in-GZC*#r|II1^nvns1+ z#l-_a=7{Ui)`vP@RZWjLZHz|SEIF=;g;`9#d*E%H^b7XC8C&5b7*YlF{#_$HEG0K< z0?h=C54O_HCxXSqe=96I>a~BwfIa-GP+e+ZCR^{!k{asJqbvNuibKFcKq;$M4ImXM zD}?LMpRGO{#7l(eIWf{Ki<}*fLwGIW80fNcQZ$nSRSlVhbE{s2#8}P+NDbi@c5TN> zf>ZcG7O&AZzt?rr2Zy*u7!`8yh;_6pW-Yq?)1QH*;^RhhV8phn^B$t&0g5bLE`>kK zigp}HFvt(0;!~=>neHfHd5G~pSTGG;X#pf%eEQF7OPxDnbMAf~8mf~Rc^x1-OPve9`c{&Euzi?!op(KXL5j&Ck_l}Thh@W7EQ&T0 z9y^QDXnPB2(P%j=aYKjI8u;PvHkxkI#f%b%ZfFt+jJ|nyRM|BnmJ3g4LH>8_6DUtB_KNi3gRdi+bL3l3 zk`nV3YG08eol>X|wCiJ@-L&NX6z#78;OI9cRF4!o{qHsSp(eR#%_tG$Z!fou1Op`+ zOd9vGBY%!9$zShX=&V`G1b6CH-Ap)~o06pDNnN@=Kc7Yy&K4T`CYwHz5t-_MwuOAg zjyLKxp>f-;C(f*|7)TpljOa~c;rW*B+6GFI{>g*n7b*7+koY7oQC84;qzE|NM zl8|aeO{sN?JqvaP)4bY|428#FdFXiw?(}9KfEvVRN=`ql?-i9@!6%FO7C)PgEcCJ|e z8G@;rTfIkIo8SDk@8nn$@m6m-xd<>%)vnAjrthMe$cF^zQ0ZiH=}d}b5lnGd^!~A| zIJdLKe3Z{qRARH1BoaX82s?GV*UZggB3R%~J7(<>nppw`TH*@2CKXa9$opk3jv2D+ zxZ`syv$jRqQWe4<%eKka^S@}C%3~E!n!v@8>`(Co={o7nZZb3xOvrH*zB^GFQL&}I z#WEFTk`;}{hz|YfS-{|`G=iVaxjtO_iRT}<``i|s@Od4A#T~dj@ z7(VI!i}3gxFQVw}9B8dpIjg31n5bBjr_yzHw&{}wzfcsMen8AS^7(7TS^EGnvuNK5 z?c<1^jSo>#JM`#yT**q)uM57VRMe{+ya~`+y0NG{u5-=`#P#F*qq(Y_w1qdAlY!Jb{s)Royub``K!!CvUlY)X zH9hpc2a4-wo%T-i)ASH4EHST z>{|?q$u$=q{AeFq&!!z5SHyCbt~ru!Hrue8Z-|wR7PW~K7h*Z}n3t|DD(ziAb4CwR zD;d#@3NZ2Zn;caPXT&8$*wouJhNjZ%34Re&cXMySv@cFYOb}XMo!;!f4{3Zwa3!TatmH0(`Vh#0$KjpO zi%C*Md=aKpr(R?HbLK~O!l8=(4(DtopFPD%4>-O;Yl%y z##g8|5ruM&s}U#Z-X;Tnu8U#wexKq{G+`_6I+SJK4;YYUEtK#rI{ zOv&XjV)H>u2~o8>S2+ikM`g6cEp3s$6rm1?w3=8|y^1j2 ze3k5>rp5S8 z+*Rj&sv|0#5Zl>|hLLRrpKu~CB53hqpsJE1y2{BWjkrzPfz=>dL&vr9*&gD<-5N>+>I|A>ULO z@+ccwRcED(hAl)8(?L%gLbzunsW;qiv24Yy$z{4OdQDHgYLDyavyo;tXFP#JoxctH z0Z48r7+p|CqFYLlsVMn!qo03TufT*l%y%gU96{n8_S)biZ>?Y!M5RX@g7>c?hupyO zeX~-<1}K{3vwL8xEf2N);nRTnBoKZks?*`aH1E5qhP3B#wtYGDArZJVi=8qN=pguL zZaD6lL+8<{Z-13RLh?e#`3L(mK53tKcjhex)O#_T2LqG{XF$J7y#_-w#pYxtz^jNswY z!olZ*B!0s{{9+Sqk?h_Eh$O1J_($d9=f9jBA{>g)9EwH{UYn)=;K7+3-Ojzhz5>~v*xp%M=$Uxc8sJ2;&B3QF_i`(XS1jtSuV5mBs z@Qk?aP~!HLDV5Jc5A>C?io8zPmEr<-jZyUtWU6_Kt~$X8AViL;-1@_on#RwSAExDc zFhet2Y=2xhJvuLghPI{I#~-dWx4JVDPF*pejJ_3==L*y``}ZxB8@K7&0fxGr$Hm%e zb=8(|PGT`p#XAV5Buvc|U4H>-YCFCol=eUF$ z+1D#_W_g%rAFq8L_Pd2tv{8~I;S|t-?T~B!-$Dw5W(*J;%*#GGgV;c!BGey+jGRBr z@`uIeWc;+AzDle7B>Vx&0Dr$bLrO8qu^wz`Fy-q9Dg5XMAZ+!S)Mu7CLn z)X@Cl)10^92*QFAa>UqTV<{-u{oG&sT;rU9YJyLjKs`g9f7Bh%(2y+vX7j#m7f$o5 zM_yCYla=O`jJ=$N%7<@AbuR0#5v}jk#HN7?-=x~GYAsoQnZ(g)@Ah9;qf#8-<3_)E z$>Rm1yFk`e$*gGKlg!2z;*jf#h(@fvdAB{BaA-7ZnRKyKIsW1W)UuA4$XhLFgYG%wBz5aNJ%S~tHpuij|0G#i!b#{rp>n9ZnYAT7jUZyt!P>3 zZUNj+zg%}F%czo(lq^|3NFCdKo376r8I&(k-hzukWtcGupi?_t)MvQ-k{_UE>+e28 z=SL(@Ig_{ZL+rFzaaE!dadD-998VT(ta|&36!eblcVRnb!KPCtoI00wM{5e40)n&_ z&U#cqjyP(lzFeR_jovzw30^f0J~_-?NajVWfEzu%>z(Af zrG?Kn74nDvYi*k0(5a?=FdBykr$*G3m6n-$z7u7oY%w@Oy5Z)~0Z#D>g0CORA0+kw z*ZsvB*9@29&+Cy}*zw)V!DKCDEbVHAI+WPSo9S{Sd*RJESfU z84x9-aG>xODLaHZqV%|q03}aT(QFH0VE6TU4K{1LT{&z92v_3EFHjz>jLU$2B#+a+ z@+plpb;>x;KJJk_SI-U$muu|A8 zyh4W9_=0LU2DK62*=wmK?*Vn^iBb~sBc!=oQ^VeAoqjf+wl2X3p|S2`OUh4GsdcDZ zUoK=KoOj_QxR*~A_YN9#9%>DKZfyhk{k^<)rRa|2As%iNRVjnwxDqK_JbMI_{1O7wF4xc@|t@a^r+>E6I7^A|uJ1A-uZ z^35#Yp&8pB=O`qwW55_)k0lAFQZ!#4+Ew=(Qd~P_znxvX^1c}G!~nf>kQ?I(*i3EU z<3EXmaD{l-{m1*^lq`$NV6LYC=r8*L`K`Ybcv!d@D`d{E(BfEOzlRQ`yq)tP zuQqN#zxIf2aV9+$>O%o_SOf~bp2-Ho2?)Umeuk4eve&;396aV6=Ss5TL4H^md;6D8 zB{ppi#po2|<`>CD9BTi~w3Y?-X&>sA;+4b}#x9GiOU*T`I3lFgm9sqdL@8D8oEIeA zw0}rA?Pi=moyt)b|8MpiQ>L{Y2myiG>>v0_)C5S-ig@+^ZJJet;E1uaKY!>m<=cX;}wfxB-AW=Irs*%C}N@y*72kBC*hmGI#$Wy9r zl56XWK;9>)QkKw@&(RK>5B+V9KKI3v1K;~r(@NnBJaZoHdrS;>w08!TrsCCEIP$=@ zL33gT_^M4ig&ah~!GWQZ!DffyX-rDcYA}VwWbJc3gCF1oZOIbY$3PE9N<_Tjy`A zAWqWaMK`sjHnjW^TLGA>p87S*Truz!iX}*5+_$sPsKMWOeM=YGvKB{Qyqq_KzRe_j zOnotTZ{2ay8vI~;ZFTpfvy*XlY4&L3ca)^h!^1%zRStXuutFH?Y6v%qLrVTQn_!Oc zlgATX7IO{b!q3V)I;H5AWSq!zSbruerE)+(8?iOyi6ACfWFf2>n-(GBAF@xB7RIZ& zz$viZ0@}wy;z2*@NN|yVE$V>LWI_h|8BZ@33@)QGt|`y!MwLD3248=mrrHQSetI=5 z;3BDxZ~@k2P)=AOdHm1u4`7@SEWyk4&lXn(?JzpW6ZUPLnPSh3FTQx&dR(OEp~c`7 zwgR&<;bILom5o9R*-RlcA!nt3s1Q|W^n3?hMXe}+nWW+#7KcpuC4z%twunfK3$w{3m|CKDTx#nU)W6w5P2ys4O$IsNEyNia`Dk|) zbo+NbIc@aY&P;9H1%C`wrN`OMZsLHfdT_t`F?WV=M0gXP_3DbKul2J-29TgUDe4H7 zx1CT`ehzm{EGqg_%SABjiUaEws3a{88KY)0=ITUR5C(h#sV$yJ6lMHhbhzN*2KM^QV zKLY}?Khg=r*zq5L=p6_H|FS6%!J3DH<+1;z-~ZLnd^(6*`;ObXG6(Jf4#y;dW8tQ}$Ch^u@#Yq4qY(mybpX7qBAYX&q*1k0E zF#A}>!+xxO9|exnu>JL^v6=^Wf@2dl84TcO`DcO#lRyCjhj)9B2gK*+uQr703ksib z4}51cWSgmZQ%V=@?^?-Tp<^$O-sq&@hg2oS?(;jzQp9*0tCr!jCc+{3ez8ZFTc;&x z@*Ur&wh!Rv7S2*T&WhZ1ccbA`rc%}Q74?&~Qvbm_Pn4)_IDgh%4t8n3VGkwCZHj{z zKf!#?zb%#jDOUCu?uZOq5KUCf&0E1VgA`DIo6oF~Qr3a+T4}h&UzFYtgblDkCdhOZ zjohA|tKB%?cc`7c(3|uY{w$4%e{Iy5oEX3RhtJ;T!0X%VY$|PPV#CXXO5)HWq|W8E z8w$~a!1bXg#U)0IY#;NT_oG?0OmxJS#NIF0HW&~!azI@6{u%QRR^@l~I58obQMtf2 zgh)f;W)Lhp1_S)Oy(v%P$N&NCsoHmR)-THX!ablr(i5zlMJ(!|bryKkY0D97gihH0juvX#iirfKdxM zUsw75Ta4HK2A?sB;JxZ*%0tzz`dkL&onz4QZ;foy%b+=GZ0 zK2M446JP@cZa_KT=|vHf#9&`sl0UVl^tJ;%*&iNBPpgebzwI zeo?D;kV4|$;pBTBq$ht>_o2Clq7H%rAJhaTAUNh}#0=!uHPYRQtWl>4u3?2|e}NfI zdeRVXyzMJfPWcwymZ!`LONzB+YhbIiSDq0xVG%-Jb&o@_6P(YfQvdxw;t`NGYYPw6 z7~l4YBB7|l=s3yUfeVy4U3MAwUzacIc(Ra`paOV(4v~O>!7hy3r=l&)fVsYLLDEP z(Rwg#%EP2Ly`ML~(0+ybET-PUS6!v3DGVOrN!WMPTHe6n0YA7zSzoGX;5+s|`RPyY zQ*SThZ-IX$>GhhrF^Tc@e{fnH4=(=fxwJKn zT$2&!o~b)PriN*A#PM+56Hetu-T~N2Q}6*H6?DR56NB1)r2DD9Gk~91rwg4w!Ckwyf?aHRDL90u5?nQu}`yLWW_L4vk#U`bL z;Q=qpTp1?07ne$$m3m`&e_bW0D==uQpKtl#BBn2iO$P$an#q%G-7dgHWgZaVz zi}Wfg*&H-rBWR%ZU;T?v^QmNsy`HMK0E!ZhPvS=bWLEb_XHfYd$n|>$(Ba7pa}lcF zvphp;%>S&$MAn8&w9#^Am7zweDn~h6$m= zEe?r@tE>uI*kGp0GI>Z zm$zh~;g^4O3J0h7T)ia*(9(b1;47N~Gz|CtX*}5Cw?X_xI6%+)yuZ6@!771$dVx*A z;r{AwTs$_KyqoDJ(_+*Fyc8PJmHPBSIeJf^M&QIk@PIxEOwVkW5=%n}ZGqa*l=47Cf8|{$Esm2sDJP59=V!`b@Yc@oTaJO5*AK9z&>yg7Wi&Z})!2&$Z!R-6|Zx zmmePlT^W$U`ub(V!ehe{DH$$a1T#E)duX%8-oGk-xJj4jDw`w~raWhO3Rn3`7RnCT z=ob+dP(580o`IyJdWn3qQPY%*4|itrL@3SLL&N=3?2$JH)y0nSwz&%%nG>}?>FWyS zd}pVA-ZumQE_y*={#=fNF6ZsLS_-%?L+>F>l)tSj0a9jczoWh<#c->D;>i7~enoFD zPD@uA7Lzzw!+F@To4o5X+VvvcsNjbIhZvE0sLW z1k@~RuY!!X!-)wGx)+B$n4EaDPPy1MK%N@hKuCM+&M`rg}spx6agkFELjWK(tXXgZ> zL(O1mXCMyK@mK;sX|1}I!C`eVGcmnBI(&HoaE_JlRe>gj7rOP5?~0v0S0!ax*L}GI zQvFYt)TO{?BmcKb2*tnEjLEJD6>T1VQAWLV@1p&Xih8f1XWz=xZi&sLR;AT2(K-}_ z{RA%cc~Ldo*{|1fi9_wKa>2_l>)Fp{(0$l4v?E_o7fwCA3$(Av!tdl{I+YNRhWUMw z&&i^j;@VD4({K=+kC&$d-i~XBpaux2)@xOSmVBCS*zOiiV&P`M_>%K`Gc11MU(^6Q6cQ*V^LK`wbGJ=! zf2TQUm4$VUh@j2v{dN?VvMhu>dmwf=$Ah2#lwFf6-RaovPjg`|Q=RPklvvS6bxV4a zWT@9>n3XUgwLMXA$R`E6`|ZeZxJA|P59=uHOH7z;R1LLj#u3U~nt=o5KL^f`F8b!+ z$FxxiW$^(>bfn7!ZuY)E1OmJ4M?WuP_<~(^xOk#o!jpUfB zu&&e5@_f?%`;NOL%+DCV7!}y82o*q7r%q#+*qp)IjAPITwq?&4>l}7hP7|h4@!ciM zf!MK^@8?}?cbk~G)2VMG{2gkJ@rxWS{t8j6@;Sa8O+ZS5(~=>f=Hq`Z0{ zbNLX+waoLfGq@sDoX~5gdo;MNfO!5#QJ5bY4TyjY#7Zbj{AKbzX60|FkHNc|F`o7#?rBZu_9tp)=SAWGDO7|8`#*dTn|@9SahS+o5V^SFjv_9aVdIe=_a&2q~w$3RCbam3@-IW(U{0@W0Cng zs%lbeM^dyWHAN*pX97>-ci5???eYCbL_KBrC34;%bWv@^G2vb0B%0^HPj#kX0E`hX zMcRL*ZYA@7n&;dus;Gwe-yD@}sf@0Q<=1fDvcY}Pey+|VBp|Y%j^MiuD$%OgO!P_r z9bQn4NESx=lTgkK?fe$4g&k6jl+hM1!uOCn0s++>D*OrBX&mr5^!R}>Q(1=Q{R_kd z)>-@a^;U@sybJHx!wW^?mWG0u)3Ud%x&H~)3#$Ecis~waIktSEN*}dwDs>(|Gf&r* zWIA6C7~IZ}T1~vQB+-URi_8{fxle>ZH}YJ7az`dlOBF#%JcZe)v&;Q4~8hkcHeF8yO-1zV0^rrAC*xHJk6}>PHMHX39 zd-Nwa7u;TyZ6$#5P;NzTH__H5ZwA(|a5Qv^YGqxdkfM=w@M>G1BR|8zV5Bm3LDt4= z{rWyd=euhtJaQd|>o+mRkh$-sshOV)c+W7rmD1?e8cxnkfa`OV{g+Moo(k_X3T2p=M6T z%Oq8|OwDDZot+`*Y*e7=UetHpnkA~8S`H!(XXtaU=(5`B)U~ghFnGh7?*}}NG~=FH z4fRa48{6KUtK;$-(v%H9dtFvoOH#IxM03|kO;eb3Y&2ksxRu0jM%T~jQ{#Kq=t`Gu zzJ2mBGW@GIxqZ;COYKsw2r@29&sHaOwW)8qE{BCA1|v@NPvr!}0iGvV$P?_3KivTa z7Q|UkV{yXk5^ux~A{vai4ml}Aew;&y%8)l}ReA3`td~h*#AmX6eG$n`Wnrnt7|ASY z-W&NI`|zJ|q>zN9yktzPyrStqQYOHx5_GNCHm7y|1<4dhy~y$3zSlQ}zx&kg zyp(FK2;|HL9HCAZh8H7Mu5CnR_7ofA zW*W}LWBVg6Wzl`ww4kt9-^`-J$~qv2Itr-|3@ERO_b{Rij~NNTw_)=Vm?^SI!4#jH z5pd|WwdQi%d4B()_?}%$V*YVmCGUFsnRM#7s3A(7`vvOM8iYjtudrvq zOK-~>yo*&%uMh0T>7|Dwws zv@H)!?!XB1=o#AAHcx~o9fTiA28|4~GHY38;!Prhj}up=WuR zrkt*!5PVC%D16(%H+ODd+KU%F=6MMqg$vnC>P}(pp zim3+RZf^NJ5bIVE^Kz4)1u{?8*p$`*mKxu2G)^-~Y&iCg5V=rL&a^UsG|n>l&m%t= zx>xd3%R?Ij=CmgS1>8^6Cum7K-@L)*RY&l1trs9BAt~6~|Dfm=9PIRqW$_`WPRnb$d=s5@d2j)#AX9M=11Nk2wIy&x8mn&Vc$_Tcsw^H-Mf@tZ z270~MlGhlW7R8YLzK9u37FHj7bi4nGVK*vZ=TATJh&TKYg5yXeIK$7WMhiIul3Mj`=`EW#Wtid-iWFsf zh_v%pXS|F`lN1BRa+$X|FZMu*U_mnA_RF>3x3BbodAbsW{sc5qtknU@FVRdgCC1I zst|NR4~LQz!JR!Ld^8jO^HqjbKDja?fJfIGSkSd7zuXBG-;}qwJcv}Gmt@GE3-61R zqH6Xkn*)=A@$VUrRdV4+qBgr#hbmoWljnE*g7b2c#MwYJHEgQpy)g*S&32%)&t_Mdf9p5iro7m7yHM$ z9L~nY-m9}YLG&==)(FziKLRElmmC-~xt_I2?B6w+`MHKlhRK>(c2UV@GGwNqn{p?D z0c{OG`~4uCV^n6w^QE#Qnk0PmDN2Q5)w=dlR7sff52BJNv}9KX;n?l*T;E(PmUfo* z6o!OFP9nQu- zmo;20YCRgPyb51c%MdORZYd6TZrFOq=HNs2?lxQF)Pfz7ck?O~-3mxhe@s1vpNCzg zB2Nj%OZ)0FyeEMy7EK)gtourflCJ5ZM<6s8q^UbrXW#t#>9Nqs66(Tq<7wvB64Nk^y!VSlEMK3o z;jh0QtW(Cboy@|_l$37X65nm_m&)nS2_r7JRpBXHo0O2O=N8FR14yo=wj&b)3f9m>_DS&?v&Xy->_0u=Y>-7-fyHo=?6E956R z-5y_~<=)@EuB)oepSjoSXlczM*aGHz_V6b9vKrk~zf+sisy#X1Zk|I&bUEw&WXo~J z#U&$kWo*Q1AKpVNzUNRu;E+*5nog`#^7y06}(K%!w&>%6XH9h1|$XA8J6Py zoEKcdl*k1Bf}ce1FYf^XyK?J_)9B5%{HQ(G6H^>qP+4dlUG2@kEZ1gEgOaQM_z_4w zAqiv#(ZiTl>zmwd(Bp1qo$OFfVoIl1?`Bwpl|#L?ko83T7RT8)!ci5*5FNC?RSpdK zj*}E}j&4Uqvhbddc19cCdl1i)2``aqRlkjJR()A@^pdDBpn{}d2o1`PWd2EW!?Dn> zZNz{d80hJnQt!3|*~o_-skM0&hE=z^WUAtGL{imblZ zTalx}U)?v`_}`ZCJEw>P z&-QZK z$C56tc<=h;NgB1(u_j*f7@fZTn)qyjix#8VyiVeUg^0)a*>OX*Q0BA34<;)%sH8;9 zX~WZ7%gf7mnHRH3D=LSNUXT0GdDFVq%ihlWqhEOsH=JG^1&;6qsPFmIa9vIp2Pb+9 zr*E$2Po|8v});Y^Y8Ud_e>}y{80MLSQ#RvnBZ}9N+Z=jKD2)vdl+>x%aH~!;1)RQM)1E+hy+J;I$eHdz3qDl zS8KWQVcT4RIPI0{F^+kD%p;aLG}n{?TA!6>1seLuL!RtYso}DP&hPF`P2Y@)IFX3( zJUSl ztOnu&0vdIVWScH#>7E)rT)TFixj_6gG>Id@uPX~3yWCPV3Q&z{BEiXYKT!`!i2MSb zuU4I}J=zxZ8F4hat1K7v(-r|D?geg#H(fzJ?#Cxd!+HJ1Gd5H(X)+jGIwnZKY+33b zNwy?OLSIS}!?_hW0x(}k@WX9MLX|N*Kb~2$yCn4RHHgwnI2wGtU0fpk zDBT^n8^hLbf3WnEW;IF@O8pmYP}c-N*4mL^2LM&=NAUHSrg9|zd$zwS0NkxOYAvyU z;hUv^HUFiYRb5FaH-Hcw1g9Tey7+GmzzIu094OUzg1jN4A}m60fS2!7e>(N>!+=9` zVQ^Qk{sK3n#k6$c*`aK;KiCEsN z1lWk%6WC>23?J!GT``=3y(1p=xdkxuvxoTiw%Z~F3d?zJk*W?dT@55qw*+BQR2SjA zT!EZT-!33neHVv_=>o}%QIfa_2xb3s`Twyra3aA|vy;QSY7c&z9iG3YO>MrbC(l)U z>ndi8D1Am2{k*XHr`qSKrBIG7Y-Pep`mTZ_da!&jkPz!jIbb;1Y$o1`f}X%7dpQS; zI(js{R!}Zi0Eq1P)A%6dv43AOQNqT?t>r8gb#mp$iH~f#)euCb2Men&7BXT}EEP+Q zinl)^cmKo$sY0p#f2yxn+<;mf#KLN>jgg0cYCZj@r2sT4p$byXrYB9 z)ca3W;x_7U(m>Ks^E!OYRGLAz@Za~J7cy_vBo1feH{6lo444Y4EvVrCBROC!k+D6k%4URHgEO2h1$m+>qNXj!X4G5k8mRe1N1&iO zkpkwL_Z;rayMk&AH3T@$q|ypW;6v{y6^#Bh2u_rv$`_LJcG2K>Rb!$WZr;w$cAE4;vEr;1sM_;a*POs(>cd9Bk!20kaX|RM?m$Q6X7`jTO9B9} zXF0FNV<7Ud(Mns;ONwfBNh(D8tsi)T_-OQzh9+quT5X@zi@kMy+uK(kAf+;8p@2DN zU}K7Dg=FN=C|Q$g<@!^z$oz6=i@<%|t%>LHa6TUQx~-X6A=L3Gup#hj!=z-&#>noH z^s;0imYCbgzFFA%AmG6=WUXOsrmAYL%B{2E@|z)i5kR??w1XOtkMKfU113JV58KT! zp)z%6oR69{8mUmsVdlM32hUSRkC!qc#13~3X8iU?mQGiT#}C?t4?+T2wq?+`xqWgk@d8?bIUL!Tx+GL#c7OO{^+p# z5a*uzV31d`Wf2<$d==L5faFx?B@1PIZk-Hj62qEl;24}!8F0QM$ov~lVO)TJZKZhjzNBZv_^$GN129z z1?Kn*{Y|0c)gtS%z?B9125_*0B=nm+Q30T+qhX=n`i$9E?-Ifja%AkfSGHeJYZsU5-pnR!Qo zPYZT{Nby5aI~qK=`1M^&@x{XA0|6YIw)6NcjhN6NXg0f0n;JA8@q2)ZkKGkP>;Y^ z^W#-pd#jJ851{lWSxCx!Eb_slrxE1Io2v19kFn0W2yo^&P1-vDNaUZwwOjF?b8hJ{ zK*lD}LJNW|X(0Cdr#F&6zvH59B?=r=$`Aqk*zu|%B)14(E}p8*9(ZVb@QRlt+Iy10a36#eO zz&j9%1^=Adw3Rf_PkL^3cT?zixqP^_GQM2j?C2omckX-=r(r!BF_4iXAke!K=JpG) z$78@O5`zIh_T}+C@DO+rx9C(!dhgCDbV#u>iL4?4r0c3~nEuhee_h%y^opY zK1VFTfzOUa8rGQ*R!9_;nfbQ)C>cSg{BCxg3!n-S*!tTFR1(TQqvMg(wTAk@0rBFD z;_}__a&nmNcAwbx_-xwP){!>kqWj3nzXO}&A-`Ot}NZ0b=c#}OY0 zT2)Sfk18Z0V{ef9d7?A0t*)l^?5-3*18(-F_-@k#9kXrsiSF?!2Vy%i ztqunZC&or>jxG;&Z%z1qSz1|bcV=8JdnQ&&L$2db6su=>w9Z~cf`f4psyy~~W+`!f zaFt)Iq8MG`DquP$P}@n4ukttS$|ChsaG%cFzW0w6~kIteQMt6wsna ztzD%M%i10UOhd07!+mFpXZJoe;?IZqUmZx4bPD8j=KV?}7Pk+>3MwjQM$F&FuZ7l+ zzd@1X*804bP>N|<*LU61)ZxK2cr2sj!n*GdEu0umH`k|eSue?XX@d1s&1q#JuuG+G zbt)AeHIOf6TkzemH;#H=oy+!w@)8Mn>~70KWfEj=*}ofe^6bV|nS8Y>gXWzPq)vJY zb?oI&XZw@+=AfXrh)&6T5QCtn* zr1S%vsD?KM8RZ~#>aTpS>Io91T^DJT6#B(G(g^5bb0l-2+zs97W^9L}Yp)IKIR$R) zLaZXFpY}A8942Ug7^LR_l02gCuOlN zUXsG*#jRG3auSKL7F$0l)M)xl5zl((OB$f09)mPm<{QT{;CR=_%VEz&Wyq#DFCv1D zg4Qga0I5XSegaO0%A%eG&Pnlh%{;!6_rYvo7@XK=Gk`Z_10kcnV?hWJJ8k zppC7dTK)D;V`=AtwfVL|?rtbMNk6f)*Ewvo>aDWc+Z0iHoJ8NUPX!+ZRk1>ICRmBi zs^+scmgYRxoNv~Wha=}!j3#6|j+3brRewCmbCwvZd_{UBN<@-57){&jETJV{Gx>?# zJKK^XJA>m%-prDxQFj}`8B}g8zLkwHR=q$uLTU<$tn5&5f&4Oz`0MfGhKi?za+jYc zBg~bYsFznTHYI%%I7_;6D9u|<=5eEC;Lv$tmvsw%&yv|N&Gj%qcMM+2X{cZmIT4;!5DOr%&41!F+Tz9&k{QNjw5R`iF!UH6Cd%UeZzzM=%8QJ z5Li&1w?-z%2%8AhaK*0~DAlyf{2%CM*6>Fpp_=`b9qijwX;d(DddInFIe$|rH8v)E z6g8JfBuT}=ujZ0>ZlUa~ zAGU=d?yD}IUJ{WMmEiFW`m`*yv3eccn->1rE2+9y&5%IzMbC%1=xGj>rfg|QhEvJ9 z?H2AE^*(uSrnce|DBbie+6$EzYh-e2;n`-QVM>R+nO=q5MiBhIr*E>LDZUnCa?Em& z*F~?|AI}2rcBG}$0{&JpFpjn6Kn>e>SaG!&3!QQ*HByKyA~;(-L~C~!T}d?}N)$Xa zIQSBs==OxvlryaU#Kxsdlk)4Xw#fZHFEmKjUMauVz_ z*ES)t0RK9!1UMc?rRH1EXL~6c7i`pjo7uF+#}|=Ob8Zt0Pg>x z7Cz}%iK{IYGMSf`Hx(gOJ=Bt8-h`V(cYk$olI>dkH7uH6Yefj`9LM|Ii)jH%4WaMz z6lnbNoskFo;_6NdJK@ZzrCnW^ zRjZ8YH_Dc$&IE`<*;<*5_APkvts8GG2xG*qhO%azT!{t!GEqaQ?(sWlksfYha(>Gp zF?Nkp5CbI6n3`S6z_F$x>(Q^8pM&b17i$-{=bdwsB!_%Yop+NpLzES|DE^#n6&HM%cq_Fa&+b8t1P_x7nPJi>#!|ZZ#u(gPW0H z*KGK?=`_%zBbyhyu~S-xLmnsK_9uq6jis2Ncp#TiwmS2dsPFN_FD5zdMS~A4pBGfW zX4HgmMNCJ7wQ|cW0~CHYi;WTfs4SA5S+IB?&=OeFeTWbJavC-Jx%lv=pnCB@nd|iP zyUaeqCVRD(>uT0iDi}c>zPJ_(tnck_3z1e{&LjKD@5wKv_KE~;OgN{?SDMG!_te2( ztp&=c2jL2R6AA7oS8G`VT9d=v{@ekEj4h`;=fRRWk~wTurG&yF#D0%MMj*oup)vgz zfWRYemU8{{j35p?7@#o895o{jvb7!Wv*RZ0uw_eC!4+VvdeZ?rwT)JRCr+ zx&e!-iK~U9I~hAW-=7K=aXoepPR_sXNjN#W12^5s*m$^p*RZHNxtq9Kkg>8TS(w|H z{BN+;E!>1EI;FsHgMFV8&{u_d#jiVil7{HRIx*V;irzf+QjlGSd7qgj@109RT?^

!jo*R?Fvw{9 zLGg!L;DQ|h@CSu3@b_;C|JK%DY${qfTDe=30cS+8u}It4yIZ)jNZSLTBrVLG%mJ}G za5n*)QZ)X{dl_@>1k#mC+!{yghwI++(S!4KPw&syzeYK?iXQv*k(v4$8rfEsRcs!- z!O9BqW#cUagAYSDvn9J1YgtphC-hV7sDWAC-R*&1fgmUjzh4))T0vw)yW{)XG_<|_ zQY667OGIQVv?ieS-tp$(pa@)|Wo7t+CR5K=A=6&FTfT=Lap0T{qGxUBZ4h?iNpl4X`y@c~AEA9{JX`p*6!NYXMyRagA?11a3!6 z9~pwf7S6FN1}2B_#^;$|Y{YNEex-3d?9HV1opCYo5gLb7KRF>?(QCa~TPq195y>op zC8F_Y`%sfU1bF)0ZXKKq#5s{JS4HqxF*0dj4wy6BSjcIf^^p}@G=74!d3RvFSCTsS zN;vSOcKeN;V@fgodQr;Sdr){|#ipm0=2H8_T5jn#s z+r-c7x_K%|)+AH8I874Gge4^u%1EL^>OqC|hb@#=l2EKPl}Q<#X%Zj8k*;+G+$ zo$8t!X=CsWzDD)BZMm&^oCa@l@JnLr#HJY?Cv#0W&C=I%ez4%7mq!hVGaY?mOGCDP zjEJbCUMHyCV=@iQT~U&_ufC}B`59n_#~J%*L!f!K8N|l;q3EKRE%#k-#SJa9~qOPl8RnN@+YoB+mH&f@g|lxbA~Urpn@x zRONCQ8Gq8Kcm(mQg39`>6{@B=y0`>-bd{P8@RU*~;Tp8h!jL!$m8`M*BL(xDTq3Nk z^h-)aM{7m=p`6%iLejn9tV~P!qzvk4@31{}A*#{03{b9$4L{dcsaq&9iXVJvRz(Jh zYJR2hoOMh2oSj}S9CuAMpG9+#gZfL_##Y8_0%gxsrlPfZ=ELS5mr@BYwv^u`d^|>B zvncm}WhGnn$x$aGlAZlrvmg5;o7Er1K-CAue}3$4@Vn&w^eX(103 z;EbjL>-L+oN?E_23ES^!ovZ2}y~EWf)}7jgJ98G~CU>WH`5g3|0_YKl*Sxcq))d%8 zREmfv<+XB3_rl6(h+F-(@SxGWP{IT2s<)lq*<(ad7Cagv zc4M)6LfBb0$NR)IsWzyicAeMXxfi479xK%bX(+?Z^(Wm_zYCa0ThO&FfBKOXWWkDz zdNuO42>bJ|T(Mj4*d#8sVmssyO~IcPGQBDZvNil?41PQxD-LmvX))PS7i9$xQ$C-T$vE|04RxV%|L_To)BiveNx z#5a1y-O7rPsbx%1(vMuPbHbD_a;aV(1*lGmGbFj|g1QX#0(Bmz$5Cvk;Ow{U<}k95 zO68QT$?WRsoKmz1LAbZ=9eJf%XrHy(r?v-qlgSHb;U-0KUzZ4F`KKLca(IT!m}2MC z2%5z-D{_K8^R0zq#$Vy<i4ZHbVc>nvMt;!vteN)MfVDei>OtowXGtWj7}KM+p|i675^rt7gPW*^muM z^MtKF$_~;+23(zLh7VIsWaBf_NgX*G{cwswN77%L^YC{Mpo1-s)0jlK{XY5ct7Qvv z=XZr?j>$$Fh2N-uH6oX9m*=wc7p_XD^3$N4>eXP)T)U#TWAyz*%Zyoblmg$keqDeT zei5soeQg%&vGzTU2t$=Gm4_sfsrQt2O$IXAl`m3g5bNKrPP`m*ZeC`1s@o$x0SP4L zZKx^A9EW|B$+q5^8;Mx1_56@S_5%u2>uwSAT}(;BtFC;Yo}^1(WsEw^-H@KMJ(Hbj z?BlF2KilyzmNmQ3!q`VY{>a7s!w0#kp=+tMYI;)zr9w4(r%k{B53%+#w&iEC&3sAfi)L~$2FlQ? zHi1I9k!Xx8MPfD)Ue}5$CDwj0lf?7jZ)osuTsrzrFcfkG#`&epXWm17MF*_P$IeZz zTt#PunbKK$tuigyP-#sS3FEwb{hHnc(aOxEE8V=HkX&M9i~qKmzAajhDUY_FFjx}j zAfNADNvvTiMISO^&L*-uY?|LY_`a`vwZOtuMU38VFoQDr&{^ShFyGa6(H2V!3%=ks zNp1@FK8CJ(n7&)uMk;BW7CVnX-jY#Wvl($O%Q>ozqU*Ew3yd3+vo14%i}FvPxnw?{ z%BgpCeRpo8<_D7%X_-eo?`Y5`doS^e+u_XRg{aQwjEXj1XxbyKJK?7AW!pc`3#DSd zO6PfvtzE7T1Awe&4UI$*6(ex{9q!x2QhZhxcaYDbI=$H9KT+s!tCJwRku- z@&;|?N1joUgIc)NtSYMq*1~M62igU;ARUue51M4Pp?Wo9og4Y~&c=#e?7_+r&dkTk zv%c#1RAp4FsgOd&cP9jdy`q2j6^S)b zJlKda=_wJeYAV*s4h9!omzZ+V3nS9JXH~2ISQxX#z^ufq;CjjbnzL&o1?Dj%%8 zS(^-&YHC_|$RCw4pn7h1NBH45R=O@Az#5C*o|;H#@4b-nJWFXluC?|nhgi&h;u@2V z*A2W$JX?G#L(SE-I5~$%gg<}fl+pEn<6Y}3|LWdIU$;**^N=oK*gQQ=+Bv3AV51eU z-K?S6?{P4fCGkTrW{-AEr*iqTJkeTOMM&$E5grc@>K6mz3B<1oZGL_C_b9SdNzYHP zTZf*)apQh{VPU~xYT@4RU^*;hK&xUr_*Br)(=?mu0kR?cTpxS8cnY~5nOcwseUa=D z_LwiU*c!4&e%_1QpMkW~vJ0`pJ3{(G=lBJ=4%<56^)L4qV1#Eh1eQ92t}w2HEsK+? z8xOV#h+WX7{8#OYJHO31o_U%KZ38Q0{|aov%Wp0U{ySfR)G!ViRMZoD}B*I&^L|=ymyla%sgWuoSqN}7YJ7tCYR)e4HRHDY0N6Il#O{q*>2Zi6*xR$Jk zWb)nTU$PIx#^MX@p9yMjKPib@rW7mnDR*-09kpHaVQJ29ntZ)rcSy&b94>CmIqo=< zo!fX>eYsKBj&s!$g`RtcRBo==EFq>L`x9xQE;lb5?ls~qyuq9rUWlZjRJ#t^=~}IR zsrh6~S-AC~ZXJCbPSl4P{Znh$ok4E|?)Tncf3rz}5$R>*IKp84Ouu<*Tvo)l3K5$( z4v5MugMmIJ!@-Q+=Whd#sXXT<1by2bkC8bM9%(-3xY_lFjLKMfP=3^XcR*B7zyaGo z_s?a0sTcEh9L}0X$6Xl*&H8&nk-n?|JZ}JzcfipP-r>ZS3w=LL{qchL`=AKV7@?oT$6Bb*-8>?9ViRSxS10flBR< zPP^H{OZV#A-P_wJ9CHLdbI^<)wcE(3M)IaS*P=F>OgrS&uBbKkEqS}Uy(|W+gJ;UM znV$Wni7;ob(d=I+EVlZ7hSqno|9d~fT(jjYot-XXm`PA~;)soJ`_n6r3B0G6+!L9Z zvTWhSoza(=y|bOBD{OXC2O#Tbw}BAc${q4}Y2yW#onNazn`f0qvX`9C^%aPe)(06X z$|i+p!^t@2#~%sLe+;j5ZJRx7bK=zqj=bU2;b3#Mkm+&w`1C>Wj4CgU%bUiF0!6QzH8 zbFyOm2%)CoC1lLzo4l*)k6&Pl=6JqY?*VTd*H~Hl^PUgMacTa>QRRqfw`(6}o7HP_ zrl{@d8woBN3n6qKw&LXs^-8nET=Z_Kq77}7qGwm)y)QMO@-}Lw9J2>|rf*k?_19bu zG=J%2K8vVNzr(TU1Rc(e5qDX4Q=MM&1e<(zXwb#*P2bfJjoqogoP8%u26J zUwu6m6xIK%e=Y2j;dH*SxX%tlfHApm-P7B(CwmDug~WF3(_KZH@=4E}Z3dQkF4Ui< z+%)Q$ktEW$7wZ!|<=Y5LW(jBACJS#YoA-%~ujisBz~qCY**J5XOZOalgOtE4p+&dctQHRg^=9-j9sxkhlCdX2zzX}u3nnBU(XmCL77oY2y zb-MH2U(EP1uS1^t7RO|elbUWTl;+t-N(VeXRRbj(ho!Y2O|@JenTd%=*XRV<{7*=J z2wSMI6KPR#n%2Fcj=&!>Jsz@Ec!?aSuV!c#n$EW`>l3m%gxjqXD`gZ!>)<3G{m|l% z=}l$CUuQgQzv;nJ94eS?6F0(<;LCC5l78*b7gl^4r1-jzcysdnZp_>XXCQs({(jkg z{bhi$PbH#eI(K=&11a-+i8m(~+SosSPkDrPX`3TZoWvVk@=({rYu&mx%BOL7mkj7EDa#Ux%|J zrgmPTMm|x!_b20|Mgh07mR}IE1VuQqoto5h%2K)mbmeB-SnU$M8jhDX{tw>XGAgd7 zX&Z$QAV`ql5Zv9}A-E6j?k9--~8+Y35TwD9HmhK>QUH3sw`q)`K5nGC+w z#XF1r#TQ|EWyy}ch6!}Lw~kL!0TcQRSswHg`d7jko)P#F_gIBQBsXET>_hNZi03%n z?6wW1UDb3YfJ<$^HJi{&Q*r)6tSOZEQEMWE04JGLZ6)PuyW+GzTGp8fYCZ)kXHGpD zJ;R&BVV8ZOHg7+8LzGQ54)!9EzAkR#Fp240)~b1g)Ijne7p%`XN~eWuNhk0OQwxP{eetJM`vZlZ-^$bz;|vQ{ zSjajyFm*lJfeDHE+9(tr(SE~8(!B;)fo>Sh@Sr>x>UJBwG%tX!#P>Pc?HG*F_|7>D z{tkCYIHX7;x<3JS?tKT|i}sg?$G+3=6<9-fwwJr&RD4`s<919M?KwW*cEUsINXGm2 z-f|>YLdS;t>FeiZ)nFP)GhzCkFjwW@J0e1ruPCpSp*P};mAz6Pp?&t>Qhc%@!*z&$ z&j{1uV2YQ~{t)g^ul&f+K-Ls#dahQM?S$z{i>}D+o#ja7(ZW*T{l)ZrQXPtUD|n*N zypm}BQuKl$W(gWBYiui&ff2f2iC3&WD8OHdsr%v|6Wkz$Jj4dE$n!H>oCYGrM z=CieFadjEFq_3X}p;n>Jz_QBg8Ly!WEzbDK8F$?JF~)bmUY&h8x}g#q-~ci}qNbEV z?bcBR3Zyz1vtLE$_ZiVc)*C0~SmlGAL(HHYEHUptVO2GgXJeC{OhxbiETD(BdzEN+ z>|pqgGj8ajF?%ADY&H!>nO@?*DAt&mVX!FyALFGxcbEuNibiCg>XEOMiyd<`j)9$vW# za7%*voOJ7m%ydo*W35VW@yECc0Zy>$oktZ5OceDdqN=V(OTQWtI3M25ZRX0gG{bJo z!3D_@CruI8i-0*LyiEe5Du)|5Hq_;Gi0x5RQP&VG>{KcdNA6v!EyDj+KgFcIul-5jB9)b2K?-k z+ulLWL>6LHHh&~rWxjc-3Hq-38Gx9QhIDFiy5`$lBg0#p{Yhc-;1!Ez{c7q*Hr}-~ z77*El-NlLr&y_+NNdbFFW-ElmAnASUi#XADU4f0z2JVApwOI*}xDEj!s$`+G=^UH=XsGeix6f`Agaejpf?0 z9d}d|pJae6#&WM@4ept4S*xIyN%uH+cz2gf@QP@I*k^qS$vWp{g@(E&Dk|HB#U^*h zK%2S_agkBiUOw9-FISgpT*XsgwRY}L)y~|Ceh;{#5aZAN>gMSIwK(G*mWyL_Tr%-i zrAgQQx?!QG7|fi%Z%%L&J&fHihuTV+8cGvlC~92`Vw4IDdfx$X86_mY0q5R24YNe| z!A=mbf0HD&%2L%^0^EDmTM9%?>4~_9nXH4bauepW@*Yv@mk;cf<~C3A-eu_vg#T)c z?Z%_wr((=*3nP|&tnKrOi+^SV@R|c&8ZpGDfD&*EJ!7|~ZQpA=Y2%-JNGpV@OY4i8sC~j{kyHl0 z4yI~v^>fjaR;_xgd9v6tgzU(udTZ>?3@xryd8AEXJHWIY^-eKYija>abj}C;G`d3V zm8&w#YVM^p9tU`&L~&O+W!`c71RyNWy~PR0ZH({>mUr(2lXwoX%bmHwWb~U>J=`uf z^x-iL#G%+4&&p%;*pO^T>L9tx1PhFtYfa8WjcghPV#IFdNC|49AD_LM4icM00}FQN z-zKW>)>yoKUoEtIBzn`6lu8>ZLufzBxmha~Zs@E7yqi-;SSMJRPn^IA>`D^@o6yI&nimb^SJTx$!fD>Tgj1n|XtR7r zJGY6#qR?#1&lOZGWbWNL6k8EmAMkk(NvhUzobAe2wuq~UJ~JX}j3QFK)-LkBPLhu{ z;XgowV^nXHYK&nA1%DYYvb9Hm;Ev;WPq@p(<*232M;V?`G zHR_5JIinxWirply;9zBBP|9DZ*?`N(HgO3OK%$;)zHksbwyBpsy_MWySrceg=xG;k zwDQ9yJ7{-A0m*@0EEJQ8Lbj1xvk*PANxn&ybBYPu8#RwUD+!B=&qFU1F=#>@_M-?r zVG!2wd!2*2T3&UF?B;wQm=FvF^%sm?L^fb!zmeTUg9pvhROK{IXD~8e5{}gLA7;87 zMfVgEiI>dJi`yXt0no6v%RN$NRJH9~H|1E7D?+y?s6a>Z%B;pucRE>WCtuqTzQn|xDPhS5@&{jy#zw*6>(?t89o zlZ?W2}*kHC%30cNL4hRj*5yb3N9(Fk88!US6=#RfmK4PuwLGUrU0 zgYjSWG)RpSQ__E>^5!~*Rc5%wkvO zQbwJkhZL5COnP~9nt7MgJI)IzF;KV<)tYHeQo3Df70&WWS_fGPQ%v_Zl{9hZo;*=h z+Ih$qSJicT-SW!#R|b3+@C!xDeDnq+I7B)4EBmQnQGBT}LOJ_ZC#nf5!wz?EZ0!nW zTB_D{l@}<>w9hlq(6;IC%^flOzI4KyL55>z+h*I>skPA9e(Gu2<~q>`Ci=8YQ(xmp zZ}~X$eQDc=dBFRUg71evsm1-pB~+CbSow1`s%|`f18m{gs-2>rj{qgjz54*jNTJgK z;~Li|zqLU=dL@T=G!iwc_YGRMm!2m8bv*&MGIp8lx-9CV|?d-s)y0Z&_pImR!`Rz9vnI8i9#Icxu1!4uQVl z1owHF4QW3ir%I`^l}$d`V>3C};lNm~Ci8tFVeHo`sE|4rwx}7_?`16=xeY`_a-7z1 z1a%+An-TB(cbTn%o!%3DFtxa(%xlGCS<5Uxvn<&wA7Q6temLm+k<=lf+OWcQT%<1} z<0P+!M?YURdg2^he%=K#>v35_+oX&q8`?sU=LP+ghpIek$y*XkTtG$&x9)Xwq{(Yo zIa#%n7?G@1q!rZH)xvzgU=#0SBV(#@q$sR;VhuO+y%MLs)OKMVKF@X$CK1rgZe6L( z*sOZ7`fNDFGaJ<-SbpWc&>W*Jiw^Kqb8kN8j<4&K`(sCXhmKDiLg1C?-!1!8EBmBX zgnELmbIZMJKi5zzfMNY!l(+nq_=8?Q^%yx?GWq#so+5b@^v_hj@JPn-Hg^1`VZ?h_ zlxH;Q>&k_ms)hbIbt2gtQzvyk>_Da4GgKL;=nri4cD80o;lvb=G9f7 zBk5SJIoWxY{Q^lW>l><(nj{Xzzo3_sRBt&5>TU_!p35a@y@tb8<3Ia?m4)+`b7^r9 zl#o_DUt52Voy6sb= z@C}1*QgEQG$3E*Kw`6=rq(3;%ysDMh+4#9Y9PO2k;~B7HL^@SQLql-r%Y|vYttlx5 zvkf~!pTr~$Jpk`;g(7SK3Opv5<#zVx=}vPN>75QtYiwD_!{fQ6<=7oHbF~wJ*&=6F z^}c~`vLCIf7MYZ&+3Y$n-x{R0i0iC_y3_!TxDmF7Dat^5MsK#}4Wk*<0KtLW?V}z0 zRNd>2{Twuv2(JqbN!b9DrPZjH&Cr5|Bfpk3oD}wBA z0NCGfJOHz%wHYJ|i-(<;S=!v%(#nm5o0aE3A!`4|q5bbjtm8ChtIuNugGyhrO20uq zJQo;(o)R!v2x=VXk)QBNt$yT(M#9m_meNQ`e8Ac%{h;?{oea@yOs@3aSq+mZzXt28 zpmPm1ndFz~Z@4P8o19NVt`imx4!26TOn$CIyH5w=_ot%*{Pjz=r_-`UpR!e;l(G3NUe1^PL|iVyIZ2GL4#I0-HjiR@hi`CPt-YHqztQs;^9}Xl;saGLPgT0x6i+ z6RM#7xa33mNd)fI2b%xwZd%^wNTiq*uwq6V*-Tcg13`+TZ8hpS#{D)<8C7Gpbw>V<-qW0W4< zLBrmT+|4@e`ey$rNv5F8+tj3Fx?X582||E3)o{AfHIC8l2Xx)e&MI{$l-DFEkT$sZJrgUsT_|V%uD} z%3`}rD(8F!uZn}oYu}R!PRjto?-lI`H@=W8;2AEH`+cxkI3@=j@1K$}oXY3UIK}Qor$GBiPDz`( zrPBo7@gyW5>;Vjp>|4f);5%;TC)~`1A6W}Yo+k?)Z9ju<@_-f8h2x`(<`&tROD4O^ zbhbxi54}ly6I65Qd)e0wARqmC6K?Eoubw@&#+QFdY2(OcJ2SSq>BYW_!u$JgDxdCD zDZTok)|A#^^y>u^?GdBnXPK39n6k;cr}L?33d`&iUe)nR$toV9%*Fvc=cXF^J=`?j zRzX`XSwYuXql9V2xy=fO`*hg1t`>aVe;=Q-1&AFYQ`w*I+Sn^~ILzCz<+2&AWyI?{ zV~Z5md`km%UvFifUiDOPtwBD{BAk!@#0Gri+7G?7mI^Lu6(^pxwhY#L4E~Fo)Xg~C zSql}kE{PSK(~a_X>9BYCQ@Q`@!1mQBz*0|+Ki!!ZG`~OJ*x;sJ)eo@b-olwVtw$(1Z z>J@VW7HNrCZq%uX7Y-nAlVec-?UZRo(Rd5CKK`6Z0eh8sVXS^s(YOOge+&q~&Bh1v zaPCl9GYq|DniNh*ZQHXiDflq zf%+fK*4*=_6)yro6TLk|d-Vzz;9{;d^8b`06HvYWNGhw;sNI|iNRDeU(Skd+P;r>S zF}ibnF*GQ(&C;IfwasHVgEQ^c+lR$=xNG#Rj@h+1(oyAn8&raCt+d&o9XA?9&3A%{ zn*PYpeFSRdT5J4QS9u|M3B~3h`Q2(IQ`rQyxH5_y5FxD4ul@!MMj?4`Ngw7kDGx=CPfBth_31g=cl=wCXoLZ%+JY^o ze<7L5*I8V{eHf{A_`MWNmc8};LB>Lz!0}%mfVE(S4Ty^M6UZEv!v14=F%f|UQH8M- z$~ofXpZ>AW%QTQ&<$nt$Bz5@Tzsm3a?_OBnmCatevh;)G*M))#Jn9`wX_E$uFJ#}) z{=EQI1LYz z&s={?J}gl)v{BJgDS2gxga7GR$6t9SBptA2_E1+~t$%m6|P;M^XZ z`GKR1^5|b^v-t}L2EAh?R*^p8JC67u%=)A9lVN3|!i^&EqKE9I00~aCZjK={H@AD3 z{Vmlmn|j5wKB3KSV>PJL?(oC`E8CIKJ9i{5_25LkeCB>-?4XL z$gSA0Wl=1$Jz6O-;M!DO6;RsQ_Uws!-7q3ih9x2E0Bf^njyWz>#=c4;ytcnhNrA8zaehntWivKg ze&o0(A^0AA}~-D?yDNyLI|96qd|?B$zYN2J9~ z%!uWOiTb>io84ed+ihz%c+-eYp7T?VPWZ9;HMm}%<uGH7CL&(}%C z!%&DIFneg?;rM*MUr{*!0o616i?EGnv#%^4X2SP=lJpxgtmOwD@gD8S-)JG>fqW2f zZ4%V5t3RT_;RI|}zt>4e{NAow_v#sjni56eURWi)J?>TyWIWD1#(!B))VuOM#)~U2 zn=w%Lb>V!=p;Kq;$B^4=AlDr^8~!@$*;3c0VP29ru_qdQzr*E{auWHl6rB<6x?}X! z@ufLsWapknVZ@>ySIb*<>h31gxtzGH*Wg7<|9*WcV%{tS+;8xthVeW6;Y+cVbPSB? z(M>ANa=QJ7L|*M26!PF6;t|dQT0uM+5Bf^}F?40}5|r9cO9uu$)3Q2*g-qh5=T*!~ zo7X+{H@!4}mW=cqXW8%a$l!qeeTF&}Uv*@q{;5|}HmZ=hkZ)S(p7TTDZK)D^Ig~r= z!E=S7wC8?8;3fH#!-FFs<-v!&sg(CABDlIMArQC!q*Ni07ORUpbv!6!WO z{H}eam+=Kabi;S};Vt@SX0z=D!RW1Nc!$k2y?Jkd09y8od`a*x)C4;9ER!E7-}-G+ zOTn$n&%9(>xBD^eyZCRp^ zNpkc>EUY4zZ8gUXjGD|u@?Buv)a2^povlbVu5M>lj&fSn{hH#awLjqoeyc;!%6}K} z{r!2|9XC_aI^F?1O9#utm28$g2WYaW!j-=EEj=3HD{_GWG!^-D3+^G&wSBSd%{7p? zv`-4jGiPsZs_mW0- z5_563b$m6p$oLAUbsFSA?p!_F$Gh&P_akZ`A`P3O@?*8_xU|aAhL-g&?7|u-$RheT z;_CKg0ad08`KQvR2MV<7i0Csea6d(IhuvZGkTPLMDToeP1htRf%?QX&A)v_tpmTH@ zWEVyCQ9}7dQ1(?SyP?ExdRQO+I6+ZF441_dzZSaEbup~6H`BrW&iMqhZrJoLdgg1k zA)#@um~;pjZQyII{Hyv3bw-{HhT^BExCvY=<+Ke$q{KL};I|vgY0{c+4)+W{dQY0`pO7#zOa% z!&a#)*cofv%bsGmobzMp`{xs~$6=4uZr7H-B)NS)z9* zPL~31b_#c0OzMSzB3Tlw!`)Co=r9qdMy1r$hvG!{nhYO7-jaA|72{$cI8mIhgpiX) zHgj)`DV!A6yZ@KONoMp5!0@uC2*cCin3IYdPa#BdC*@T;eY{7q)~~pV|sQ%h8XRe9YFLOq8r{wt6Qo(Rmc$Y z10oS!hLMZWCwB`K=8*XKU$0y2l7lVfx8cV~{B$v8+%vDZj_m4X)K$Nf;o~u$1je1| zn0sOyiGK=c9lH{QQ)RK%ittHgEwdnw!1L2pdF5uK+(&+1#N}_Zu3dUpVCvcTX8-kC z-}|`MIDEy%?pv;CT;}!9o2m%zA9nNaz>@_*Z)x#4N2;6IyxWb|)!d?Ps~$^l62Gw! zzE1cJPT~LT|Aio*LT7NHO#QwL+G8v(g;1>&B4~wzfm&LIWlGk}-OO@62lmz*SuoC& zsh9?sfdO)|e9&O+6>BdnB|7)GVfRo;5kfBPncxOfn1tI~86Tm~Ap-J{*OG+C=r(Bs z?^}!NaesuNy%Uos|7!iF=uR5A{A=lmu}%7LdQ6;fA2y5qEot9B*UV%sm6aFaBb@dT&)aqjIoZo$sYG}d8xDB&c8C9X~-;os7g z=+`A?+5$f531RCR+qlV3d@LIHK>qRX#=_j|xm(M4q-zX(>0XFu<>s~u-lvhge}p>F z-w@w&0wniyF>e7Ynsi{0yKiS@LF(c#f+co_7?PC(IrYh|qw2|-9`CeC)?{I`o&(>P z%1RZ$Rxh=pK`$wf*#mqo=u$jVo!P;*o%3gyQQ7PSc1$3jJ;IpCqA+PZUrcEvv5ivv zQaTy{%6g%PCkx8*L{&jcL6=vkXqe7Q%0l6Zr34R` zM2%!`UFuWVoIy9Es$o)E@D|(l_-YpJ{K`|a4n`QTeX~njOXHQ@kZ*7-rN`IBvqJM5 zHKaP8$)<~bC7u}O%KiwWg^O3M)S1gW6Oa@)nF&B8lTsMG4U8Vi{1{KYR-0Hh}DJ{mdr#P ztyx+cG96Zh_Mw#$F!dwYw28S&Xoj@CZ;e49EfPzE`m`P?VjeiiHpI~N-JfCxbq4pX zj7s^=X$aX-XXULodgET%UsJ;{yo@sMG~pjn(vHX*KTuTUGvZzLhH-pZ@b)KX7D2Hs zyzlsCJ6~Jp(wbExK65uysp%^-D?G>gS&Bt3xHzigkgnK?1Gs=12j#Q+KQ?IyU;A^g5_xRiI1h)K@ICxkWDcpa z6v&7u@78(gQ0GecZZRA6?tLDLQ;`tLz@f04bLH952!-l#>NNak$y+sQ-mh)pau#aS zWe`gfh6+dhVF5Z<>c$jHzElVNN((NMrA)y++ol*;{8iZTf7=0eFsWyZvwGc+~;Pe@Y4nG<+k!-D$#9S}8Tw6s|LWze$Xx|re$ z89xiPlL9Qb`gb8RM8^t7(CKqH`zSEA_aVFyf)Qhrt=*5)p8$cA?rFAlLvt4)yk$+s zy8iNJM@4_Utv@()I9{H5&2q4I)!_%jmp9ONi+0DaNbm|ha2h+iNF=lxlK zewm5$$7%6~0QB&8(zq z&~#?G$2uB%YQh>gLTO-3;6S7abz@veTe$51j5$8(_n(n@d1<3>Tb*NSpqz?C(d;GH z5yuf+t%a)dB{_o>*=o6(D3nJJLS3{U4lfIjkBe;${Atg=W*+t`znv04VM;YA&@Z{= z60A38NJ}w2^w7U+w~c+Z?DiL3vV!4>l~Vhn_C@~hrz121mI^yv%g7`-BCVHRqtwbM z|0{@7}Ru%l8PP0XJ?NMX>#B7st<}5 zF8zf+?|6H??hLKCc3ZaZcb!pkIL_T@l&ugioR*AN7n~mW&qaZO<8`?@1kw?mO3f>Z zd8fow*)&E17kP@PK?XsSIL<9Fmr@-fm4fsgRh~bvM}g*yg323GF>x^lDb*ScNP?M@ z2E7Ttu5GaqO)nly5}AooQN{Jm8`-W2K7It^8{_(a0rq_}Db=Pdx^KO##ycEHUrJ|! zvtk{+p7zG&5A{3t9AIA4G-|o^D0p#0 z^|6{;n7jIU(|CjBF9d9Fl!dSr@<*Wmo6mAb+D)gLO28PHRzQzea}E)>HD=$7`vZ&Z z5y)|(Ild8i>!4d5C@*|dYPfr`@3L=B?K?bU>m;}X}qKb&)oyZg{{H#Z)lZPOSYuds1~dlKbIpas;! zSE)g-*@iva+2^*m&Sn}|bFy}pgV*zpyKL1r@KQs-iT7cDyF(QW6$Ad^=0WRh5>_Su z<%;*zT@(I~G^1;KqNyW!ZHHufvFtOCE}-|^**095x3(4!G7vvyz#?|mot}Z04*X!L zA__ymxhoUW#=6U8jQmZ}h)SEKYjm}_U>eKOsFXW*^gb@JnON9#HV611ITM%vQTkf; z#IKUO_GM>8i8+7qm%joDDJ;AFes2KQSGRB}BrDW>0?!|*KHC;#QI;-Sp?pc3E?2z$ z>~CcKunCt8s+`T=hh6seh=Wgb&5QEcV#dP8({dPL8GY+-B3X?ml)di!25C8t#a471 zp9KenyG(E&XIK2zbnDwPW8Y?7h#Q~D#R&bGHN*HizsSbP-Jk+H1L3}m=s9T92RFGq zw0m7iPV3|m8v2wFvg7XkoP;s()k0p=^G32QZ@j((+($+qG(VQ%`xnw(7z!|W?X+QH z96r}G7TyLx+NZ0$nZVLuL-v7h}x5}xt0tS-Y3Hapnhy^S3w_9-(@xVTt?(3FmG zP}b|=@}mvqfo+Gfrha)@YH#K^ClMw6&gg8(?9`fE)I?AZvyeW6FcW+PeSV#e3gg`$ z1-6Saf3CV2ogiH(4%QN4 zXpoP906J|itk(g}JvfB#1TpEF)1Z{S5j&SbLxXNO4qIw zJ^hG-D`m2dEB@Mn#!A7D(C1XL#3$aQ4=VoqqlKVr-c=|2$p%!51a+5KHVQ1SYY(pz zn=4+wfCRZ~`ccY^?3L6<<=QYlGlRVba~drV9Mc!zXtuD&xEBWw1SYi zzcQ__o!LJIE|YUtT0mZOvKZy(LD1fynuC=go9HYsAO7yCvj_gyFIz*9d&~LseF%9D z0@JU?5d6uvk(7;)|62L~uNjCWmb~S-I5|c0UCS$6f?%PHzFmkD1{y;!_{0dI)^ArR zzzQyUKXW4?&88!Y5ymq?E*sH(0E)Chm!< zC2B85GB1G~vaB*$y!Q8wsg(S)ej5)rw^nIcuYFT$!WUGv$JUl!D3wCI$O&ci>2I&7 z2oHZH|5JkZP z{|X-J4e2k#NhrYaul3gxiGU>6eHwgPWpxdDzR7Mr?uqJQ=I6#g53{V+3LA9A3hH_W z+Dw9U89%U!-qB&ZVde!W;IhY*s@hJJb(KjbL1MkyEkME5{%iV2aLs>hZ*CeI2c!rkesV>dP}Ih+DNS`u3HhZj*MDsYAX zRkmaH^UCuPcko1)wJ@@&WPCf*;Vr)K1+yWtxc-#$Y1Yi5bsAC1wIdqm-DCdlAKK0J z_4WH{R58MHpEj9Nf*Wg&K#2@6W?0ot^U;IvYPWwW(qWhGghf4!`7*iYL3xu(l!2Oz zK3HmUKw*j<@7SeOj&D4BmFLa0`&o2pcb4b)pH$jxR{QIaEnPyBePz)wd_K(Sy!TzC zLCEN4rKGBmUEXlWF0T@_2V{%)r+&}@W2MQ5sK%dT9XUHd=ZK~gT68UFyAC+NY+JY}25Jtempp>1Dz zd5lQF51R?r(ebCOw=sy$e1{*C@j(e%wVp7juT^*Z9xoWOXLxV5G0nKcLc@(t73S*9 z*91^X2Bc9a)?%H8kq2)~_P#(GugwFSqjwyhmS}|G7oB1+mxE!&iP=*>y7s#@>*tA= zF`RTQg_?8TN~IaP-WBO>SVQbBhR^%h0sT=?`YLR73Cwb5zJYe?l?06HLMG8Hu|t-R zmd5xxxKqvd@sRctAk3r7W}}RX9}+Q?rJ##_XeCT;V-N#PL(3A9hQx#Zy>CScjG6d& zHY&%DDE489>)$Gsg|TR2zmJs<>pm1I!qWgDiKvQ$0BI65Kt2%=c?cRC)_-dYg7!@s zA`e@{6s3IV76_1nut7%y)D8iZz$EiQa$2d1f=0-a`3ND{?_wV^5M}kT^S}pCMSn@D z{Wntak>HJA%YbqIE3oKMLW(zBX872q%_Ix*7ZV18{pPqOT*mCnpl z-C~XXnaz^u00#jc{P5!zv}<_ZL$d#N*c_{qeMY$4`=NuWfBpmM_J+uR*pZey9IhUI z81&)=`0EdRi+)e1uE+SDzSvxwN3KdtICp{|Ol7USxvN2bnj!D=w2gJdc~i@D+3dv_{0gkPki;&j~q{%kr9`8PPLT_?1OqPy!Aqc;3ls zFM#Afq@#&pfBMgZ{+U&Xf?<-`5`~c`$UIX1bPV2)B(OFFPyO;?V=5RbBcK(|v2)Pcuw&wvt~CW^wTulZ2|_ zw_{8Gi4JN93qQ3op2i}1UX~k~nwt95oD2kP&;m1pArf%YDx-hzfn&W{70);N)x2ly zhmsR1tzu&wXLOojia7>TczZg=b4uHWXh0DY2mOvH{!^Y6sQl++CUCyMv}|DDrLw%1GU%Q_XPugq8I%%OE((SI_9)4jht&nY<|xBF%lTgh^(T8x_yoOS*pY$NxETMNf?E+vcMTh< ziY5R)?{R^-n~q=vjRvNS>hm!isD1e(ewg}h`koA#gs z4`%s~fR>OvP^~EDBm&IS(l3o#XbdrFh-xuXKy*!7;t)`Ho&TQo9|iYnQ9gvoLpBOT z?K`K5h_Jh@;US8Nieq0?_1tDB+`Lm{jC=b%CShtM(c^SQpv3|w2ODDJ=08q4b7gP$ zfQ4p9v0P`R0DrU(P3mXo9WisUSYy`)_QG%>Q@%^ee$?nN=3T%||B7}Ze=pn627 z$IW~bVi$hpmw+F-3_SrNSL0z4hO-`?-|IIh@V@j9qSO+|LR%4Wh03Y9)7e02MfFTY zC33*eusDY6tZ^o^&8tsVKt{@Q=C_Jd2JJOuMJJjCmU!y9-ws2r(l;@7Zu}P3jnB&y zr-#&#_}9*GLrCQ{mKnjM_U}F{!CSVX)@I3X!l*U+`M1=Ks?6Oe1-_Wx=fG68rjVfne82Yv+%W`-+R z_MyKF1&fsGxQS~wo^blm#Kcu=KwC~*6E{!xRJUVQb&7*9Y*p_Xo|5@)Iwk67`D{do z*4287wDaQ76EG795B4T8m84J43GV5EC)FpjX->MO*=@RsdTS_uCm%Gdj}UPyizZ`w z%y#UvalnOpk355&mj5S?po0pS1}oT>SFu=n*>5SKhX{P1YQ8bYf5lSy+@|NPE%u+G z^2IK47?4W+Wl>qXh896ng>BAcq}_s<;}Tz>~}qyEwzL)_?&!>5jt4k$IM$% ze?W;TF3JLsmgP%vuL;^16NRkl87gBUo=)nAZ)>g@~^RKD6G29-*#xd zoLX>0?mPE0)VmG1jWH+3)f@wA`1xsx&I3?8`^@mZ4vLaN57i~I5nCckIBGVS7~0U{wl7DZEud?X{<<|UhUJombiH$6pX zPo?~&C_Ot;-^xOICm&r>47Jamk%-Mz_KA0YaNQ{SOy`gtkx2Fq`P?L^f-fV5Y*>Rt z)v>Vbm$#@v!JAg{135hSORHXl$YezNZ<70hP?&2y$yyk@{k?#aQ3llN6UtT1EMFqakvm6t1sieIVl95J=LLsD0xhi832lBWi$m7lzVG?e;vu*; zjz3iQ&(ZnbPv^PO6+&Qa5Dx(IVPtHW|18EWA@2&{KN2~3hI<@83+{DyUrD5Xrf$mD zx_d_3aN|8NdkFCNr+%51!yKmO{E;{^5!PR!HOl?2h$&6^P>)0$)OQvDQajAPJ%1t& zBlVWGEn3lRUri0~W*ab5t^haQ>b5jp6dI!x4yjwRdJL?vOzEX32jeVW?U2;rmIS=+ zAB(@sUwKcevqEqScLJrQGA)YHq%l#O&AaK&K zXU015u?WQ|#h7%CXtqW=*zl{H1|635{-*GOY`b^2O_hy+{rV^Cg0GEUC-8sDJuBTF zS|9@4DiQ{m6FxGv*~U*)^zPUr(vWJ^lLSalxPiolur&}j&YW_zN3(CrwP;C_p;OO4 zZj%5|OWt0us>geT`QTX{WqCb^T-o6FOc{W(H~!bk(mvI9YGM(G(BgY4?8y-Bybu|7 zVZ}hIsojmjO|^f>vi~st&S{7N8<(qhYWAaN*&=Ybm*DB~^!wFR_I>5H=BxdgmvAEm ze3sz%4!1Sl<1ZSAWlQE$Zq=Q%zV}ZK3(vhtvpQ2SDMBHjsbE{dFTKoWV^eHW(USU< ztoglvSsQ?$6#HSIj!iYLMAr@F9m8988ow3EOYJBQTF__@LroD%!>z6p9ixsjB^~8n zz?Ji&?<8iA99{PZhFuD+Q6z{zz!0F?2gErD`E7hLT*e9r?{B=iL(%AIZ`PTKPVD(} zPOhxSI83`d@gXnJ5AW4mmq?=lzsj~@hOAIg+dvBB`qi$esZ`CXaQDCL zR=qXGs@g5ooM!~f$$mKmpU>fn20HRKec{fv?|pPaeCyF<7Cxxm!TH*s^*+k$tMZ}R zmqR)TzX}=BX$gE4Zg#HErrk|>Pvo)|VaCX}-sgN3n8;i(PLV~0VMq8UL;R&1fKH-F zz#beV;K?9jtS2*=R~j2WYC)6+XafgQ?bH9nr7)FBsPUpg2;XEk??ov?*d#oElsCzL zvS8Zq`(v`K)M3b|U<@D);+k<7p(HNmBOMNA*lgDJRc z(g{NV@R9u{_62a2K_0N(?+zfVOsh_7nf8mq4dSP%1IP%fCxSz}`yRL2h-x4KH*S-v zOlcR~Jg9#bJQsfkOlmj-JU+_*Qa#iQ1f;=b?2!-|4M6%?-1nE&6|r=~q22D_?6YJ( zoFCvK(I#H47P3)MHdyTXV92ETE_rn6vdYiPwp(3?p7Gp^2FN4=l7o4L`Z3X);|JVQ%`RdB46O4Gv-TmNl9dKJj{Y*FcR=jHYEfGc zc|auepmO0dZbObSQ1cE}R6on-8LqO<79F+V3(qfcUWye#>jLQ)DoEHnE?!p)7H3k9 z1GXP;qcw+nZU?!9bZ!h9uYkS?LR5TmMW2LBUE6tgTI!kdH$OL_kv_TD-w>i1h1MNvSMP^7y%1gW9B5r$5s8x$B) z8tLv8t!c_>Y9p5gE^Val;7>FF$(;p= z_Bl9X^ODXdHrUq3hq@{Pmtt2(uWSR80c`0hkSAaq(cFxl#$JPAn$0>V^}-jNrYlR$ z*ql)kN#Ym!l?I1db9`X}{J-?0{LW6vgKNI( zCs~CJhPhpt8Ms$G|0#;Bep~CpC4h6>4>!e4G*B%VRFh;Pvgt`lx3^U@+vLwK#`GW| zxG$@k3JT~_1_N%5XZx)7JwMwH)oGkjKWJDeWc$r^$tvv4$6U({l`=sbZ?3t)d6!ZpAN;Ean&T6mD&af*K;RLHs z19eS3SX}$j`eOu%{Wsd3C+vPUs=n+bb4)u{*dep`vt8BXCU>Gbv^Q5EPW`@r*5Lew zW-3Y3vKXD2eOr%99BaV1GVF^PWuqqYd1QJ4DwKnM@CWhP6B+Es^?n3Iu!&vw?bT6k zzOP&qBGaM(VaMen9B!=e&`IRQVJ6}DwbPVk13>h!SbcKE@8JRjwKT4l^*}eesx|i* zS9(yG`mdoDNXd7tmD7Oh2jiUmub9j=M!&! z(PO$xdMcUNN$4}DQSK8%W4e^b0k(gp07kEJhGI2tiez1!Th=mtuzlSP50>h>BXEee zYDX*Rk?Ye%)>+gIdvE+(hLq3y7kMwcm7yi-2X+OAe1>ZUi;#zbCNl^G7d=zd#9?~APg+s-ZHo0B6y*whnJSzn1F!`hJs?Bc ziL&z$4H6+>bQX735a8r-##{@X7}xK65|#`%{XBCsGgTfSSuFARX`q1AS9!G`Q7&&k z;o8=P@*3v#FdEu%+ik32qsjNiSSj~P94o&YkT+b>;Qx|&m~#Bw{33i)+phKDz_@qf zSMEepS>BcBiVT6Fs8~r4Fkv^vzTt?^X$l$th_&iuQ0G0%RJ!{nL^SW3Hgbq@Ss{&; zZZDKIahE4O#+fSe@^xXF+eYCHLHBZlh=*K1Pxs5aR*32D<+w6hMGdvjU}$&rc9b7u zwcD!a)w}=b7<#AQtTWm0D&=Ds;vNxUi%*iPeY%6_Z1Bl)?KLdglqt0i+hp<$5g%_{ z1sx2KQltRY+zH~ia>Tr3!n5c&Xi%4O1^T~4HDQ3DyfbQKx*^WLBss@7K*=o$_g*q% z=%KJ#I*a^orSjeR^8d8(*AV#qeiKlGt}(xF^l*Q5j@^Ejdv#kDdvAQ=YAn&jTK?6U zxBFqpy8aTS`#bmyqq`n*-&D@&-2OOZt!`BanH}Q=dM$L$+A)~=EF?GLDyA?sVBWLi zUy7!XY78gtw0Bp&2saB|zYi^cVpoBX0VP{p%Iob9p`9*cA*ArQ>6_iR4xfk%cft_g zDZjrlKK!Y}_gxL|b^lNIm(5SMhe;VkV5{vx=r|NgUTVk$qKzvmb>wd|G3kogCwbY0 zBFs;&Yd)RjhobKC-=dQCy^}_2W=${Cqqp9lCyDZwjsD8{&J7mH86>e#pvnoN5cb$# z`dr&1{FIA!fC++&cAFWyy0642fJ2ilF#27P{P95>eAYv{Ht<93 zy6?sHEJW_8DU{;`id%Jyb%*z`ybFpCB1l&dXtevKEe$hR^HQk{Lde9jVI0rrpyg%^ z(TOCT^JKI>aejU%lY8g4*27Soe<`Y*0=gaKx4S&QB9h(6_S*f-O1#%8#eJZ=hj5QA zYQ+7tfpj5gX3j&Dd-P>QGU9uivnm>`q**!-{Xsh01E?d(Ez6u5hIQu4&%9rWPZb%) z8=T^YO{QN8I%^d_i|yjL6^=8)P!=@AF6Td$pN|@2UVXQuw<$U!?fjdzy~2u(^;{ktI( zgb(oQ7ZeBci`+A1O@h-%!fF7Y#E&)~3JPo|FM@)&PC^{Mi!NIJhtP^JHKhGgVZrXw zgv6?EeyxV2l>d;HtdiNj=hDXumRQWNju%?QDjLliLqMsSVO7N!$TOtU6G<3tCh9+( zWeXhl_L`j=q9g)8-qU{>n*A(62`4Rt8MjO z$ui8La2#ww{iyXDhBF2qRO-kCkNi#Se5z5+eHwvtSKOU><~oWmbiXJXhZZyH8hOcL zu!A^*(rswJ`;N<^XWNK5(B_Ad-x8TAr;G1tz4}1dF|{}O!oesNukwREt4jgLJLYbk z>SO7s55YO}ghmiOIU@>qhGG0~0P+#nrz;9coyUv08svql$( zYYM*%r%eS@wJ#GyCKzmmI!y=JjGL({y{)Ire$n(^oT!|CjK$~ywQ9Vg53w}GS3KgU z!IeSMM@Ms#S_qFx78a>-Yk< z0w02a1?^R;AoI>Rrjig;9exk&ZBr*07z#t_gsTiWzI?2O1aTT61qIDkea+6!=BHMt zlEFo>35pRkVFxGX>+-4c69)nGI9chuAXzjJE$q|D0FN=&K<2)v2_zIxx zSAwNR#D3tF&eWA;R^s03F}qmS2=+5s*sLNz_;1U>sb2@gj$}Lre=aO~%bTWhBU95T z<{rsldgp?9q<-bB?ybr{e?_CNeEj7C?7sgCB_JwR0=Gzk{PL53-qO_W)SwCdXd(P; z+PF?XdUr?lku8bQNdxDGN+Ut_#b7GDHlH8?&Z@(0eKWP)vWaXqRHt)if`s>eWPT7d z5~N?wmndyxEo!fLN5#JuiX57%p3!a!@0p8{mzb})(f3@;vOzq|--Z`Q8#4EU1chC@ z#R}_4DNTMWzVeaBeUK_E;QSM>oQJ4g=)_9ZoMF1}5~`~59D9q>M=g9*+BYt#89a}B zY;|30fz(q>&x3}jg0p%IHo9cp7#+d z8qu6@D`g{FwpW5;Ux}>P#Df*>a?rTdT6N?)3}6gkMo%(~11a^k$|nga)1hq9dW-Dw zAZsmQW^gXUYx(Dw>E^;|;j5XJXyfP~G7}9M`Dq|178N(Gpng34RbMHD5|awkA`5M$ zlPL1}4KDSg{oP&-9=nxY%0?p0M(i7rwtz`n{62oa7Kz(yoU0=!N5)9#_2GlT2dkUd z>t)i&{YXEP{g;sM`0+iNVZc*@UX77n!=|g`94CS95L^I;-#9dD0Ul{ z(b(sShtXu*(ag`sP>!c(nURE85~~vVw-vFOC?}F^-mBoy5#K$n$}@OqLhci(E#bXh zrHI#^7(aPqH*``#79QF3;G%>kj=j{E1FES`=bI@`S}z4R$;>oUIMnW7EZiP+{QyD2hp z6ax1t#dNUDz6~bGw`lJldEn65M#YIU_OYo#38I0{mGi>%N4{ukXM%l@>HzQ;;4Ro8 z-^ZcBy~$3=tjU-+1~xW~oxxx^HsEC1ohi1cS*mq5BQxhYB@y+5z0tA&`$O4PHS3G} zb1xjMvp2}^p63EsMQY^&@TkW$zk6k%iA?bY7mhJ^)V(1*JlCAtO-Y6`iDo5(cp6ms z0G0Y(nF-SQ4Db*v=M!TsL}cL~L!Of^^>0 zT-VNf%}GW*`N{PHfFJ!R{Q?Cn^987HiCG#8hrTH(*elvEik?Rf$pJ7}_hL^?HlS^v zV=0ngE3r{+WZY32#Kud9+D`lbz>WIBswsSK&3~ zR+J&#Q8vzB`@#Glls@jL?67&eX_)>fFtWfkjITp$1H}gM;=eV*<-47W&3kKXN%DaZ zypesmx@Y!suhYPXR@Z$Kl3euwgKvPf}X#1K)i|(**vH4LYakv-D z7tMMzYe2d4f9ddTnIGf6uA#mxdf_*jLVo8_8sf*C(cy#R(SVZqH+BX-Ea$pF_LY#X z<3cC%tDntrJs1~|JmEjpnEwk}I0!#k?Z4dUJy30~RW?Ls#czE?PmoxO%EB+AmMvm} zxT#^lI;`PqybRQl)fBJ+tGZB6m5svh8IPHtC&9}lNP%>@%T-~NQiH_6J5Y~}3L$z4qn^y4xz9fVS7VGi}S;tk+=FzC2p=Yr*~cbfW&d*g5egOO=|8 z_{MeY6_0{TEgNkW3VsZ)ywT~TD1FqFAj!?Q&ADiKqur%uKn5klK#QQiOtU#je)&e(>Riz5@bo%=bszrOtw>7aBSR(Ro{K1eB^^CIODaLuxGr$l5aBWcCBTU!|ss8^+}EC z4QYqBQWTenKjInsBF?@T=FyBDA4fWSJ((W9B_qVW*e&-6x+?6dHd9Q$`qorr`W+;#$$|JauTqss%FxN@d^jlZB6Ic*WQ^kNvI&4JfrNoAZ92; zJ%XqO;)DQ^^~hWqiEENz+MB;Sy+tO`SgW4!B7tx@E<}NbDifF3+B=kjqw}L8)(1%9 zp|+<7pPuPk?l${gZ8|f1G_=wF&-P$`0SYGcS)WGMVFFudm}v+@uDXx5LyKMBa}zo1 zB_BDJKnesBDrD4jC0;sOAq;E#`fZH2%nU0RO|He=B2)dlUn_^V&#xKz_k{M~Tbhod z>LX~7>cu6nMol2B=5CE;!3bEvRes`2F-hu=BS08Jyox;2G1W<&dmSO~@8lQAkA8W; z?U24l{o&U<4s$vCWF{ZcIIU<2IgnxenCc60SeMeHI2P{;kH^)!PFE zT#VfA5Jrw=Vwb2d2vjjGxb8pux%;)mHGewKO6y5C^ZqNJU&>`B*`0W~jxeweU{uzM zf@5+nX~K)1WeaSFKaPL&&nnr<5g()!&RU5HjiE%}?%$q5i%y%?gRZD764unF_dovD zcs(x1*o=_i&hM7leYwaV|LtI{7s+J@Yt*XCuZrx-TbQ^k#h<*mhz6I5mgdd>u=}86 ztLdeQS=m1+bgZ^K%4nhIA^dT~jA%WTSaz~%xV*p-F>Y&a?$co1c0#BCO8)wmu$9z& zWZvyR@ysBYuz&fQpW^MRRYhxrspQQ?5EaH7wYm*nUck%YwzzAWR!sFR@9?W>Wp0P8 zV~Oj8l{_;9h@9HU#Z#%X%n!&SF^(z20d%zzI@>K`cz7=8+mpfN6>PSHbZwq1{X-6np~KoO=r>`Vc|W6{e) z=t;dPB}%zT-S*wNEV`j1r9HAL1iOE+b@H?A@$$Mo-faq9{^mAC4k^8?B_W-=os1RH zVtNk95*vn*#esod19CEMAgJKaDh4QQ zs#-8V$Eqx&5nJ-9*!FBayTe|n&JU)wy|rA=G(!-8VbjmnmESjYvctL#Ex+rzb8$B# zOwumt$E;hNOoxN^(9bD9cvd~&(93Jm>PE3IyD1UvdnLU-xLx4LGD%?z<~M{ahtYER zN?sEf$M3VfS3c=1z(Z*8I3O?oW_!sM(AlU7ktw8SiSc@pumK=c4zITiksZ2_ z3nX7Xe}_4Izku}FgPjF+oOo)_2fq^H0)tG%J>q%emwaY)@D@~%OToImLWi2CCYHCy zAl%R*dZpnKdGdm*6?pH*a#7^SLjQEpyiSYKmPXK`v(qy$9G?J6yl#G;kRJPN8 z4ho`&FT(dnth@4!;ft}##DArL_-TP^(M1LGwLD${(F$r|XsH?#lfz@q!-}H@+E%Fz zC3IRXmgodf_Mx^QSprCz$hectvb42~7T1hN4XF)yNa39&q<#+&t1l2w^4@x=P1bWj zJa7V|){Z=zWZQe5wdRYmACJ$wS(tAxg}Et`?iqf$W$%0bFFpSX$zirD%;u0J{YBia z(qh(a?VXHK9KYVfj*?fUfQ{3NDoc}j{0I-qK~T7?#s@3HRbCt7_R4D#I__3hO34D| z=Yk<+$pa4XkYA*i0m2fXx`96BG6ca;%bN)T%iIxC&+vB%fo+Bf9$yuS8mf+W3LWo%zNzL241J8n7JcsWW&RNq z`w=NG$MdHqI!w>aQNWc)4Lr+xQtN|d(B1mUm^>M+e1G*fD^A03yqFSr0WEGOS2E&5 z?pa3-N0`#QXIRdmc7rY(!>~Ba0RLZH#s`0%T19XZvp~v8<;g z@B3vpU0dDWeYq^d-0J8VPF1zZr#lE8GSj^=rdZ(3MNqG1g{szWW<<*-wGwW(%y;qq zpiD$fVq?5hcBhjuB^9kD`PS-AOjZ4fn64aTMv!nh@gBwdmxK#R@O_rZWQ0*-O&-3V zM@J(RQI4Agzmr=5^r@g_?(J?gGU^)3DKKNzkG^mJHnN^pKN*xmV(1C{ZT!Cqxf`?A zD7{%-IM+5!7Xh>8*BP1*5zn6%R8e)|e+{FO!DS9yVy#}H2{h<@%bK`i3MPtQNnrW( zJVfQG8VRi-Q9KP5;XNo9(+3ly0-%JXZ>IxrD<_*1rNe5`l;Gewa>d0~V>J?`?M;_0 z7c2mryCpyUxw*d+#{d8M3Ea5VS=IGZ;=3R{jV)>dd$<)4_dBba(T;Av(?r(|S1slR8iCTG$4&fdrR*PG9}FH4UNb*7R$_RT&K za5x6iPhD!ule_Vl>3KhM)?lVJ#TE9curvIQcwzQkfzGE^Xay+)vQ~#oYBxv__oY0D# zbTqe!VyFguVlEMn6>*dbM{h(ZjY9)h@*yyNE3*^e(5-2yM2;aA;?@aRsm6O{^LkPN z`#O(L$g`g4Q|iMplR$X@dY;^eVmISEz%Rc>1jyoM%OJVIRt(m}Gws->tRf1o4lhZV z0?5NNudJPUlu|)Mfd37mIG?e`H=!k!e!1w|aBm&{HU`Y!`c)PgA{P2K+~ao7N2mun z^<7sFmTBc$(~Pb3sq@20;Ef`S5^_Zju6MBO^_ApSjKoM+;QWR7`flbtBC{R(R;<(c z{rAtU*pjzuD$UfpZmO1h0yi2Ve)oE%C9hn5i(xPNBt3ijyM||=j|DKyBmoPs9H+ed zBbG>y^escCD24BnAi{v05uE$^wvX0$j7YIeX`;_KJtV8}U9mxFOEVtd)s&J!&8OWW zJ0R%r9SrUKY&%w#G;Qs*(`fS|^GeOG8ZxLM6J6Qw(T1TFE;D1~l(trT>)pRbT_ z)I56Yta$Olp^neRF3!iIP5nBA4<-VfUUI)c6(QlhWv z?zc??m&LujckBh=VOl(-qS+(=%T*&TY@jSKvdRMrP-Ovj;*QSj2g!T)%Vp9^q zJS?gv?0%}d*hh6@X(}SL{W~KTitUb}R4G>3QR@IxGXFO9>A1C?M2Vd2nZ$4Ym#Dj` z7kcqq0bsdcz_*DxS{GE_CPL|uS7ofbf`t(eCta@YQmN|HuPj5rE{yrjTxcP-1rcYF z`d@GZthFjUoMC@jRO8{1met;aZvIx1NX6X3XajvDhq7#6S zSbpOUl6M3`)My_3x${?e(*@<2-!v>xh3%&9{zwzJ-@{5k%1N5mw)orornZ&(nfH9D z0|u$<&>gi7wURS8C|vtNW=ITQ{3bF@-n**d{#?$_Fo*M5nz3!g_LbdNN`IAF zkp!)fKajf%GJ90^6=B0*%dfNHc#jr8TjtRY-4_f+@~3~pTIt@h7VK(I2<<{*;XgK! zBU?~(Xl4s-!qb1Z01#jc3MCKAa-U{>j#*b!*WR~OxEFTkgawfEXA0na@$UclPt=?Z zEk4#{F_uZj$xXKLcGJ(rjGw8<&yJgptIRpuf9+-(Wtlou;hVPvtQ*%<8Ta0x6@Ee} z0O!Ooz@ZD^5{Oo0pTh|vl%TKZZ8x<-p^f?dtLSo^qhI6*-NEWyCI~lhWL^Or_WI(nE!B%K}t&~kepO5)8~OEzBQoORaQhv1@ycR;kI5ij6_CIb$Fz_~JM z&1t!Z%i}3s;Ez$$D=$q|`Rw$;1o*H?(KS#c2LU8v+CB>`|Ku_nj)x73PK^d;zYi{& zUiez1j;tU74wNy#@e^SAwxgzg%ZK_8_acUA$d!SR%@&7@24nC7(_T}|Viy~X^oC6P zqvmJ!1n(XZ>Gz90FF?__Wg?}^Eb9|O<{h;j{{<-QAG(t556HJ~RAGsCVFGe@RzngT zibDUmK5%d#(|hQfmr3}U6fDs{1w^*9TpaC=?42*UhzybhAAUsp`zAQ3oKpi+#fA}{K5W#9_ zIZA!6k~fxm7t`n=WMqP*N$}qiu*9~;(s=l}Qcrt)Px~vO(74a?kKYD^-GOT{y0;=0GZo|SmF;Jvt2_jpLpxuKUSoI@V2f(F3 zdL(ud+`g?6E@vc?H{lXb%ACcbwCqZviq05h(Oi*-=mUzwU!BhaaFxV}fAn`sZf=5x zo3ooGIr9R?1U|*S&>Ra2fKP~EJREX=QZvyNjrc4T$Tuk{gcXEsYi=yPxeOJ zgb<_4JgzKz|K!*{yz|nx139+1(jorbKpDkPPwqi_KeY#iIBkx$Z(ZGRZWwY@)6FW7 z^fcVYc4Se^AI&M$tBRqQaE30AiXA(dgTMcEdI9O5hHA)^hZe5DjnR|4B}%Rbg1-P4 zW_P|O07@K8kO3fKzqx43hpJc3DW5EN9LR9MXNMMxJt&Cr!rHI`NbtjSAD;JRBloM{ruP*^cRnqe^wWZucILxT!MM&&pAhVT#Klts7s*-n_50;P z=~el%Y+Icoooqb>O_+S0c5rq)_+W{OXswd1x8-6=DKU`rso9jM$IEPC&(kRMfvgI9 zNbp;NvhD%2ZB;FK@^p~dRQX*lXJd^668ja*00cO>MlT$|j5&?r1WM%9Y-tIi#$gi; zB||ij)f=uH5hXc`TuF;^uu)~dK=4HUPP7$i$w|vGE~PzA$*YoHXKivO!{OdOVvRji zQc}{{Y=w-f=*!F(lI`bHw+_|2BlV~MN?Ue1KVR9c9$e{C5|4@3wi?70bu zg?&ajrncOaD^kDg_Y2PC1{hoM(+#X);pLzDZo%ETQ)59 zZ#K=}+wq<}DFNOe+4I@$yGQetJ#c7xXuJa~oW-mpmkL7Z=)B(~f&*2nx@p4piyZmQ zBY^oPJnzTbrb94{&dcU6=Kf;}$pY*)SbuE48gp&Gz6@dR)GR?@%<9+1it!qLzt#Xw zh!`eb&9HwL^-}_VvlLZHr1*)#q#h)XDKOJPcvk$KzwLFU&+TXrSjN0dwrBpGMgPy< zEMg!@Snm^J9Fa^}0sX~c%^8sB*ojgZG9Fugm8&;Z1=J8$~!GzxM2 zSZX9C3k|2zfBT&385uee741y`XJ{@h?LrQ}p4wVJ#`tx|5}J-G(4QXCzqZ?gfQUgl z68HJ>8G~^94J$uz@dFqM)WMqoG)86Y_C<`4 z-xAd>DE4vQ6B)fF^SH{1dLVZ%@b3;L{l}IQfgSu=Iw%QF28Ln-^_~({e(1j?TPcge z$ezUmg=(xWRS@E=mKhSa%ioT+-ULF&x{^RV^77=MyN)l273Z5Jh>etCJgEOdM}qhb zEx;#@S34P`=ZJeelCVaVJ5~`)g%39jK$svu6?+$-QU8pgO$q~BQ4m0fQIZh9;`OST zAanu0*{`KA8m`okgFs9aur_B6U`Ki+OptYnKLBiC2BabzRubsw)18ZYSCz-``2IRz zl=qH{!+3E1Kwy_GXfJ5%wxA=i>H_XbyVY(PX)6e1BmPG}aY^K8g9>cRXIE>AMY~;6 z3O)2<_3HK2h4BlW6sSfOK+F5ZfCv;dTh&6~@hChM#(xxZf9{^4w{85ElF^k<zZzx*8U5%`g(o zf64pf{b!rXnXk~59&wBY05X4oq=-x!n2$kxV5EM|VS*tb&Gq|fcWSfzY3Y`h>l=w^ z9W3H)dk9%ifS{|G+ey5j9_Z1C1n-kXANT3IUHt&CXV2dGDi4r)tk>9Qr= zbb+`}+EGZp6)8ErCCVrI& zFN5LnYfMGeKsicPPZa7-YeQJsMVfRA)DFU(RB{V;H*WnR=FJuponqH-GjN-_nwv4G z@%{l|WCHy3s{XtsBsE{^pw!OKx~E1VyY{&a$+gq9UbSo4K;fs-EJ{Y#56VSp^`d`J)}V<-gI;sG)W*>4{W1Tz1UpjO=| z;fq8>Uv+q#vXLi$z)Y@Fgfz3P#&OKn^XE7ePhE{my{{4}7KPCa=s}jm1ZiCciw;Tx zTwVEY8gpNQ7haUzL(}rs&y+z{7ivdAcMUT+f#+4}P7(RD ze{vS}Oht??n2kbl{`VX2q^q~Lc8l+_q;W}^jcKYO^&l3oucl)&o`HxlWu~9QuqZj- z#!Ao%R>hqR1mL$%SBpvDTkD0}`u@Q?mZ_Jr8OAY)zI)>@3oUHc7TF%OeIcWHoK!Hn+H;~md# zcJ4zxBi@;N@U1H&&B?;!ntxF8zAs@1@m7Em7#G34{Qouwzk=$pF99#gtm5BxjmTwM$1rw)x*k} z+$og-;F0?Co`yDl)~gIl z&HgRInSd2As~J*%F-B_pD_v)QNxiYwMM6 z(jdHMC2?^+G;XnocpsgSo`cWw={&MRzs zlN$?-=#7ZR4wfra24sS|5pSV3oE~u*SDQ`iF^s7oFD}EPm?<|eE`qSobSVb-uo4mW`(CB) zRmxux zD3HtUlu&fB0Y52ZcaX-)K?M0@~qK-e(k;Yog0?wE!i-sqATUR)zTW4GA2FmXQAfm(&)+!rm#Kjx;eC*exdDt_= z((~QrYSz=Spf8bOAWB?FFQz*B_LDrvRZZ(?x#+G~r|jB@FA>C|DC!%idDwcyxA~|u zkEFBx{x{(gSosVcw;ASuHu$rJ5|fe%Z!`pTpP}STblK+4MJzIC5Sk3z=&R=U%amP}kGtviFTm2>g`h zF0!NPS?}42`#b1V{#aB|iutY6)U*_oF6jpVT^e=F|1 zq}6v(lhQ%d@n%RPBHgoPyKwpVnXJU+i& zx8r8wsBfz>L+I;M{mU3#Ph5y-uLk1Rgt!I%+>@8vEXRDXU9HG35kHa*Gn^%hGWmb3 zG$zCz9FG)9;L^GO8Y-m};sn8Txa3&w5Bco80AfymH0v+RO3bG<$u}6*?_EAj6>HJY z{Zu6Kdu^0VVD-VM-?R=( z8fptsOv$45_`G$NOAa_T zJd+eaExK$hrwB$3Kh)h{$h^xlh>JO!vodG;&dh5E{df^)*ji&3)C}8KxatcKus;ZT zw`S0(?QnCUTmoAhpBBpUkXvJugSEy~t+Dhtmkq1zuRqvLOLGQs7+V95PmC#S9MRvP{*@SS@`vl^bSNNQ z23=E>>{n(UPmv(6I-a0cLEW#g$O|1F$^x{bkOI%+#5vv2$WDL{uz#t!&9b>UKU@9D zG1gSg=vil;O%f?LzqCqKe>!G5;69)z_XBnN_wU77=Pzk5oNktrY++lxa<-o}DAB~% z|6|&0y`Na~v#XxO z@-!r2Ew@U4+3O>JSrg-!6#FQU}w-tUC({BMzSGEFP)c7e0h@ZSV z_t$QzMlCcoM@?qC&>k1czHZ~g&Pm63!#X_~fNmI($B2^>(jl=I{zFuM#BuwujL~zM z&{nb%CReYwJTrb(l{2GFhcM?adBj)_QKfqcBxbjv*9Y%$nJSd;>w&hGmL)FS^t>T= z{%=Yxh9mdrLi%*CSLj;@m=&ZS4mz54=m`@P5Oue<(|gmvT1>UXkaO#mDr?18@~3Wn zcsh+T&Xenu6>uFu&v8~8vvYZpqijk25zs;GvPOzyLt&uKVowk!s5HA2O{2u(O8BVZ zdp!nw`Hhc{&j>12c<}&z-Z1)X1pJ`UIpFiTWD~xO`c^^RxA1;J8KdaQwz%)3FDE=3~+Q4COBVdnI1dtzh zrh*GDq%jQ8+<@_9htvZQa321*?LV9Oj|S18JJo(?kKn2QV*sJ}L;v=^!@Dl#|MI!L zU%lrI7ts?ZboDPTfG!noCV{Na0~Y1ENY~x>*q)PC|1h}9Kh_T>N}K*uNah^^WV0Ov z0lKTY_jeO_u(Ch!L_x`+Lh}7XXET_2j){Mb9RKpap8-R~-To>U1?55x;c#a`;X!77 zF;V>8|ITq|B-IBN&(i&+v^T8(x1VoD9LW(VPf*35koqBL-`Q$ThQ#SSE8L~iIJ>Wb zF8&RXZH_d4gG7-}gD18;o*ddWyZb+Ah8u!Hr%Z024nlcWh+VHtj`BzY-JlTLKNuFo z{-tFaW9SwQf2W!-4Oo+lK-KS|6!c}KM953+tZ)zfCnXd-r*4cM_vz! zM<@{}3n<9TPqrLlx;fg0^-p5YH!M!z>Tpj@J`~l8={yD3k*Tml0`z$A{HNAQISAT5Y>jJ_loC~(aros-M47V(Jf6~iKV zFbG9F;P45=5sr_io1@$!bXOGn{u(h7T#?pxXnr^^0T@8ft%-9vn({2FP45pRZZ?`} zThao$12f69xHRiAnR2b^{R^ez`KK3gjd#Q4R%1buDew2-m8Xf{Jo9lM^c#Kz3@T|( zgk%v7I1JZTrt%AK5wa9P{+XQn38cd5^JB7C{|xiuR*>;-^mvD27r!$1fS`YMprLe5 zy<5KKdhW2u>%;qU&;B(*zx|()Ql28e{rSdyu?v*-1{P>l>@rdQpZ39IQ9N;p?~++# z+FcnO?ch0^wHG6uO!f>={4(-*NR~$p>a3RIivDZi%B~8m)PI)2fMBmNqKBUR_-$d9 zS@RTVdO?ezH=VLuQj`%ZLGiDXDpu+YhUXao<P%7J2$jit4?7D=%WS((;pBaeF zF>72Fi0K!|j5&Svsv^eR1s7OA8(hz=xaMlL(kwCT(&_T%F#i=Txvo*|^g!-rdsF_C0BO#h?jdJPeld_~4(%nZD1lT?dd_{mopPK)b3!lF|4{1H zyelxgJkSA@u(Pd0+Jl-`bDAqK?dKOt>@`8L9JWNhWPE#kT4i4OKYYfu{OJJL_$S93 z6qEXp)KS*rcgTK}OI1ySM+;%m*9H-y_Z!{3cCEOdhS~e8&*oZtUVC=N@rl@zvz#56 zq*f{DMCWAYzM?-!#`D!xvzS+jbn5>71%#H#PH859N|Y+@6mldRP*HQ<4r>96W;or; z1m^ig?|U(mG zAEsT=BGQ8b)T}UjhdG6QXqJmbF&{FE-%&|he=mUi0*}H@i_G4g z$P6)Fp;!<@YqV(WpoCWOraZtnD6IMWYWyDjGv4(@t%*)}*QIllO|zQM8qYnE+ND|+ z!cd`Gdead2a%k=_c@ueYj#qPqYZ19oo?Fe7&ky=PFjn^{CiNw&r>srx0qSvk$_QKw z-Eh}Gbn}}npR~m^yHPSEMgsH_z0*Cl!#;~*B>!&gyX&#W#^==CyXyOIEX*m8+|%%B zr0CANOF8Qu0gDSJ3nQ?^uqTDDhnDr<-I3IvPub040R1I(d@#MMi0|*r;#tf}`iC|d zC5>qA5Tm9V441we_EQ!H}1G->XZ~0N}z5( zSOE{107dQD-2ndh;&*V-z4!m*N78Exc6<@!S4#qH$l~qAaEj%s!$Vw+sa>``Q+bC`^6Tq#jqI@ z6)wG2LgD)5DL2j*WJlc#16^(3_;(@sCjpND*`z?UCwxsqNYkmRTr~ftNaO8{L zP~w5qylrD)F2~WDGp}TJH{DvqN5A4N;a&S{Mga@nJw6;`vVy%B^@Fhu^U#@qNw^{8i(mEwAtFww&qGS4%GX2Q@=5lkd61s^yp;7ru54m)A18k}g>FSp?z z%eRP-b4N}yfc&`e8sv{}6(afE?IyKYeo3KD3z4R53gv7j7hxwc@rJ0RVMg3sqP|)( z=Z4Lf)%iNwygq{(SF+laT532PIZg~L#7ub3g|jVL=@);XsxDff`y^b6$I2x_Ks1KY*E`_p-Wx1ZQHi3F59-Ny34lNW!qMl zZQHi>W#4;e=AD`E=d9VeR_2Kv5ohb1$jFT6>bB&^MHXaKD&g z<&xvv_~Uri6lvM73*YwcoyN?hF0?$R(yQ{?;cGh0p}v;f@tB3V9PcQza=PkJ6ViFH zFDerM)Hxc_nbT5C3`03sCOmSu-leMs1;7D-@MXukqtVH2R zue#qV=S!V-(XujFBEyG`C}oT#8RgWuSmomLs#kqB)cc&c9qolKFsIN8>-65eL~qpe zP|szkW3P$(RWjeWXr;b;iiOEDym)8!BpqSAdIz2D{hQ^aO3)^$oZN(TnU`^N zOA0?G5%jy&sjNs_7z90BpPo&bIKKtoeAaB&D%wiiaKL3GJvyNF0`1p3!z+s=zqu73 zV}$kdgSzufV)@g@?m8^}{Dfx^eVXT73mNqU1{nmn?}BzNr!03{!{dbWsVoS2uVfF- z(s^+WxHU_XX8i*@xKvw1wm5i^&j9Gc7xE*6VIow$Qsm zGmssKpI^~i-Q8IP{ha%LVinVAyBJx5`B5_2JlG=NTY2!zp~1t3 zZ$_ZJ9tV=pX*+SWinDWqqkJGa25cVMKl?z4( zuKAtN`Is>7{9B$LE7Vz`0&rBAt1JE`co{tZFD!dMc9%RdtuIha_XiL#kf^2KX$V!x z<-o3*cU5nv$6H+Ta%L_h-VAk^bh&WDngikqmS?)sPSxE%zaFk|y|~j8=qGvMUFvlW z`0433?Uw8oRQ))@(po30P)w8D%tE-5uDVaW@Qb7Kn+`XZJ@GuNV{or2SgL(4tn zkz3l(Fxa1Nr%QWv@%h2jg>h9R)L?gVkizg!$Ct?r9YbxaT*rTA5ZBvw`p!B88EiQF zk?v4#ObY;J)SNyY&V_X`V5<3Qey%H&Kv(!Ysz1mP=i5?kfStl*#@EG3e|Py#rQ0~? zVbT{yG~GDtMtG~yR+T&H!X3k%j@*!cML>_9RlhOAV0o!j(U?)BcD2HRkJbqp-mZ(} zJGb(%0A8z8l9@Tfk0FVuVC0Eq-B?(fI~36pq@~*Ouve&s*TFtLA92rYPpwk!6rTJc=X>_%H;pSfdEeMM*+&@+wmx}|UL zM`ll8_iuJG;U9+NAI==|;pK;Dj>Fe)gku3l<9^Sc!xsyt(A4%!Y4*ey7rBqFdC*J? z`cV7cV}mB=s$%5v=~)GGp;)16B}}y+FQ)rFuGA?UVy8-49O39q2xmF)s&6A9l|F#K z**3I=O779>BoWC`^IZoNf0c=vH6yg$u^*Xs%uFLvREt4pAqX6fRV?9IwuaAZSgRZI z-CBf&{}=&0nO|_)OgmNAgV%-YlLKT@nVccq5L+@J^Hpl6cNx6sZcemTQ$J0Hg=i%$ zHABgq4g{T|Kp5+KCbNps0^0JT5J~g>ni?zi+!rg!!%w) zOx?nnC~W1$AW-5o&|OOJ;nYM9&+*;a+=H&!6uWy`AosCrn8XI zXQw=PQECP^fFj$;U~OftRp(EW;TVb3PV(DLT(FHSx{ruK$39&L;ihxx@Nu&^rMp4h z)@h(sf;g8waw#_J&{Ja?+rDUT?ifmBD3H;2p#QU|R9+Y@y34db1nozb30IHZfuZjs zuvufurnzP%5^RK0zIrDJBuFf%F)cOMM-JgU+_hIeiiVMOoe1CUE5UkwZH`q#Y9W6l zs(78?wv(f!Q#cbQA|Kp;{hu$?$(s1JZtcOrRy~GQ9rZ%)W8N-L&)bt^bbXQ~5n;pg z{c%iG=~Xu{!mKn<`j>=rCh~Zs5JQfY`{t!67-Ry6=tPCZ5k<^76b>Y(>?EqXm|m9l6`wp9`j5~WX{E!8fEBMZ-&kCw(2G|F1mwTC|R z1YlbG1#RU|M>UZ2Rks#C@(r&YdsgX?$N0DaL!+dsP)#~(SWt=lj~s(bcD=%xTkOXO zAZgW-jb&_`T8(O{72<>RhG2S>%K z`%pZ6zgrssv@pX)T1RU+e!dY-awD3!6j^A$9`M8EZ>PHCUm*^$^OC2Ls)e@hTv#2R zS6_~{>pA+ippsb#L^=PdOX3n-Fgff7juM^B-<|f%FR23y&==w5T)r!59y6XxSjnt{ z(4~Ja?&CljSAJ$|)i0ilP=w42@W4@8XRp7U8BV&O>SkvF6XGJ`zyVT2>lE(K;Qk*C zNW%0cwO(K+4EGw)Co!iD~C~(XrThC;&sq83>>f0N^MB z@&5%wVCNHAALwQcUhq5YcN1GvUAsB z0C3nCnF!c9nRMs{ZEfwGowOL)IRIQG0!DVuzn?nv3I>iQw$21hOq|Sr8T3M0Ow26* zG;KlA&bcWar{&Wb*ffzrvO1RXprX z03ysx`1t-WP5)}}uck^SM$TGHoD6gdSw?w=f6G3 zSlC+83j$12Rg$D|b919}x3IRbb*D43v!SF{{>$a#qZhJsG&XVk$7%+heL1yA|_7G6yl5wENsk-OqBn7N&ndY zucROHhL!+30oqI2umU{8^w%>0eTD6;?HrZu4UA0w#>@%e0cQ4p_5n6H{%-sm5Md|I zzZ)$7oh1f{3E+4!#=p#eoh-)mxBYK~#FznXj(^V-1Nf2kpK#NQu@W$|{nG`#7#pDZ zPw)XcC^*^~DVsQJ(JP3E(W{uaI|FnCL`ztQUew)LT={Rb0m8(U|B=S_R|UWZ6QF~C zr11gh{|xItL*!qJWlU_%oXrUs85mhO>BTIpolP9+#jF8+iI^DK83V?`e`EK%L|BKq zbPUcAY}Zuv@g7X9jcV<%r#3A)2n+}OIS3~tABWLMTs<{uJdwwjkA!cs zaCP|MFpX$dLZ7PPs^RN=5=gA}HppQEe5%e$DBsL9N~*)IS3nc-!6f8MenQ4U1g8&B zXgEjD%d_+Iesg8k{4!xrIhCqJDYWZ)ggmlr*2ClX<6CIN;m;Xm=+1h6iTYaKJ-V1W zd)e5CgotWzbP0G<%J`^`wZ}bi7PT>_`gG1~#Gk_7 z`nW*t>S(4<&Zr=rj)7X=!dy}k@0FOK-4Mz(L!aQ9apr+?{(SielNo5oZ>V{_**c0* z*oaxG+Rm9&J1|Mwdx=U0!`18N^!ri_n2oWkNZfzEyr?cmrtAJe_DoW$`GdYZun==Y zMD?V(;Ax?}&2%sgBCC}J=`G0i0~N1IubR#-8PrX;aCo-tc&BY0x5Xl*p<-4eUwEw2 zalT&nF;jQ3Zll$QrVi9CItM%O>@hNkHIUq%GNI8=hJ$`g` zNR+gM?$kA6{-o~cd{TSW8d1_}BCoD;YE+>}&9HpE1F6*#o9#v+G?eqCwsyM&GAj7H zYLKBON@SVYV6@7uNWtt!Xm~A$xq8F7Sy`XTC3$cllCG(-7F-e*kpZA8M33y_{mgNA&=DU4E6FABV>6~@v&oaua{!eMf z?zR>j(ri8YZu94dJANt>zxr>G5TXgi@(qr%;X7p7f;7@kPtBt`P)ojvY zj*XR<`Vz!Pk@Lc|ss&yJSeBj44-zU93<}>u-YPIjc6bBI~pS3)W$^OmM@;IpNxlX(x?h~CX4L%jUH@UOz1cOyqp zEx06+@tnKv`3a`n!*V?vbhi_*Wzh*7)6$~VhI9>jw8&|b7-i&)j*;xSHq)kjJn6xA z&e>_qKf~2OABe5`=S1@;%JipICJqzSPJ*js27E3t2WLk{Lu1z*17YKZ5W^^BH;KN{ zP_3Xo6Y@FQU{-}mN3nDs0v9I^#CH)*Fv9)1nU>f<_Q?w{vvkIU+f?R+TQ?Qsh~JBd zr3u>X(Q}B8*>7OHEwXo6`K9w2kU&aVPRso6`A?4lP8Qu3oj%4I`r6Hfh*uy6Yw`nb zkVpYE2D6lw1dG;53(X-_v88YO^D^GIpw?S1pVYdT8n8PT-bozWR^@yS4Vtn+xGb9L z+T_?x$$5@bw!(PZu}PUT-?)}Kye;-t(2w$Z!m|oNgCd2Or-LsA`mjcVCvtzJP)$H_ z>&oH@`uw(l7m&RJB~eIl#@lEgj?)jVM6{LoB>@}JzDcOaI8ADKE<~<%f^D;~iw;hQ z@t6uLveVv4oHS$L$YDERf@barR!a?w_B?1@i^IJ9JvWtnwO0(9ro<8o?syK~;h4d5 zuoq81yYhGGeodH9y)r!pRN{AHEg|qB$hN&;LPBR}K?>#P4Ghc++V{yhI|#hF8H7?S zW@Cg3OQ<_3Q)BK;6etiMuX^Bs8iqmG{49(p)6CU4?{HP0l7vueM3m`mj_LgD^r5Kc zk0x06C>t$NG9eVI<31F{VFx0YKb1`k5d^)ABaz9NU~uep4IIE(oKVNVFtbj_VX4Mr ziHT=F9VtJv9r*{n`;%&@#A@g58-isCICpi~=`D9dzp>me=2e3qyJH@d{+=`zuN_`Y z6-$9>vpGoG6_J(L>`J-NAue5iujB9tkUSMI{W6G$PmAkoES73vcSWPr+b*xKn8ovMIULo^5LQhRV{6Z21Yl5xP z)^yGioCx!GhGG~(o80r}tF}ZNyy69RFVs!3QpD~8g*a#-lgs|ETVs$P_RcU*Ej!$C z;Qo6;z`P;S7Qe+Im=eQl6wuwpVc3fbfc}O&ZvS=qRyIqaPYWwv7U(&SXH6c#p##A; zRmrfIW=bmTOK=Se$TrjM0JP)L^{rrD^W)pfZzbR`LIU)!3gG6uQw`zJb_m52qM5UO zJogauS@Dggb_!@Lj@ql(H*$th!(C9+Y2iX6x3XG7B{BJx=m;O$rEogr0xI#82km@f z%O8qG_N`U)y5^!|;ty9=4vQygCQv0dA3Hm*|Qy1nDGhPQX5Ae^X`8!i&(iXk3pX zI(5&e;Z6~7sHI|S{OZJh3A-Gd3I#M>61gcmmq|?Pj_=Ma({*>dI|8_Ya zm`v}HdmbTDOxMaq`qm}_M!@sQ!7^kRRxq?H#gAeD2SZ1()sS+&xUe`JDEO9Q5rP{) z!Tc-h?Mft(dJ-0Hy#zCXn@!3*Zyw>-1NB=|-nR^KN+sPgzYaYl^eH-$X4IIyT?ACZ zg9$!f@iuG&6o{K3StdGPn#Ok4OjUrrc&;C3NeZyn4P+mc?A8knEC?351 z!{;SS>~v1oRBO30325W5Tf5ofP(OF4#vnhHkW;zMXJI19&FuQ?;;Hd+A}KlB5&*5V)4EhwNIM7C9pFLtszCs}%p>_3bIV&r|mpNW-v7 zr7WWKxo7rxt-wjXaYri@CBS3ZmKzA9)edTl+5fhPN`ppS(0C)vyL!9MzI2QoZ-ILp zra@hU8&nB^f))w*WhdMAgm!_p^SxBog9R$8kccNHUI|fdq%^kgqS;zC@nXk7i~2OO zmv|^V=1CVsP#!rmMF49-uhmf`S`qN55Lnn*i-!#&J3G^#sXWOC%0qw1qRK8=JdCv$ z&Vy2&;b~s#DB1@_)FLLcCs^n=(o~RBf5kf*og33u*{GZXjx3>dqDG}eRAMaE8menJ zT519ul(M6}gZD27#v%RCdihNE0xr`G_99ByBbc@o_we8oq~0Tvtp_C_ zle;51tg#>kUCm!YE3$p2Omif9k)X~kKRdbEVeYF1WqTKK7R1+w0ya=)%yHv^figQtG zZcjUE-@ZN;o8M_J@5tTxc@ZGa1sfmdr@kz!(S`7E3H^)c1B4`5NW$+Mhb=z*!(4mM z#kiZ3LuXfWQ~kpGkeUpUH8FzuZ9+!I+6RjLy@xCYFQ{-b6zkfhRe#{ftK_?pmGugm zRflzr(v>alw^I*y#~5`$A)smu4|;03iPtpF_!;kTjxNZ$1PTr|{I;Qe=0I=nXfLae zst!~d>K(2}b&1iir>?2Z>#f}fyTBn0c*z8(Lv!JG3Qn*uGC3U9dO4fI>wCuvNAsBY;go|5hZ&RuCj(Z;+HkTd`>U)69$#y}^SN zYe_{Tu)eA4aGV3{6%;5oxHs-$z^ZZd)5~0b70nzHZcH_xzRn!7C;aMRb zo=#jlY5BMQc;Cmt6~&}_tJ2L5Xe#xuE9(}QFWBOt9F@}q9p=lEFkfLX0arY-d2AP+6%D2yZ2fD&hp|}TV*(GW=lF}-Fz#*BdAo!QPY@%(GG4jT(aMN zy_F_cy<0ZGTH{|m*M~s2UkN&_lBtDkfcw#VC?puRV3+9l3zCeg)3R0{8fov3g>fYw z7@n_TM;S=|-6%OG!BLiyKI;I((n?eY!yVpGP^E(IJ8}XRV?-V&LF>s%-(y}BAbf=& z2Abcg(b+c6qy@h7SKn|KHq2QQrWI}1r-hF)H@308gu@A8gtWlf;MMnEXK>mm?8wC? z_fZqM8y(|Q9!tLAtRsGiDNEB-kTjTjSCF8fT({khF9B~dr@~}nvtfbuZQVit@c3TO zbo(kDJ21{a8QC`2F|>cTq`B*?Mr`ADm<~r*%xqN~kYw(n;a-cD*A84m7CYi=z>EL* z$DrXS-7(rc5vDuaW?Y^99vpP`iKQW%htQO+0*Z&Rpoe;ANijxwrf!)8vFR49nTAbchQcE#{&WPOS#OCUv#5RTuH zeuArEOzIy_8FkJgwO+T3r!5u-Ra%*K=9a48QqL(1RZ!5`?X9?}$z{<(AHcja>PJ`$ zs2!meVnDQp;h7S_c3EIcAIJ%!`EN~wW!Q~4rTW;QW@F_Dz|uC zBnuRP<=D4h%g&WXYlqt zg^A|rt7on&vrt;xc+l=7x!+?Vy>NuEEUZ;|U;Gq2SaHNysXZa*iA=4-^BCFQs?38f z8j_uqHs&2?BYUvA3g4DW!OaGW^KS~Jth$DgbI|(OX@I%loO(HK!9hv!H6(}jY8_L2 zV;H+KV3Ez=qxP}9HfNnOpWKgTA&ZPrsXv7JG#!KIe4cR*;};L7{Ybfs4pn*cLvvuk zZWyhiK@qmmC?FF@f!T zmYNY93nZW^9X>;uU1aaRhm@6^q-i*hWT|5+&lRVVOUOZ|6G`iQ_7?sWiovB2u1fVk z0eig}dcIU*H|mgthvB`>!~1c{+Fp_5k!JP+nphH8<@I`(Q^{WL49)zfodNX6Hy}Pb zN6LJrQ(>MnB+@I~H`A1W2_mI2KJa6LGe# zP9|~jv@q+is%6dQy^IB;el0x&b4mhMlo%-QT$t%s(AXg=xkoI|h85OZx6mZlCPzCB zNvTb;8TtkKEFH0X_C6omK0iEVtWBYLi4V(jvjPv-%gs2pD_&L&^U*CEhyRelCFB$& z@ro=agF$Or-B(*O48$tu<Y4PAZ80SPtb4vC^FTR!0VBk?7kuI+cS`MlW zB$wa)vX(k781WXu*ClHd-2SMM$F9& zk{E8v&k)lJ9C~T-*k^CXqd_94s_mpFn$8!UU-jws7#;19&3!(Z;B)WF>DriD$m5!N zl;B~L-#Fh69sp2PyS$d+so^`yZ>}&Zqwxi;R7o-4lLydUl(VWY?WQ*gg1#QV-(#c2 z4ucK7-4SG#bwz)bF)G+lfY?}sw<{h8zRE%xV%0FKEyr^|5>8bKX42p6X`B<{Il7j% zOKS02qKf5P&_I_nw>WxU11FDa^eMaTd!v=POS}(CS=Ez5_kC!ZRc)y}y@hWCF8)AE z2dW`*T(2CI?NBiW1pzudqIS@QPTw@OYSh@^Lfr~xn@4_1${#O??|}?bS7;z``Kj}P za|cY@6BqgzduIf#ZvXkN<^Mh-smvH+xxsp=6YV_euUVUoZ8`MyaUDx?OjE)?jrI@kVxI59^_?7 z-Lb5St5b-M(_d5{|FhqNSPAk+w!Mnny;NR3dUh0C5xBSpq_T7i*P*RH6a*!`5J{jg zB(&4*pV2sAEaN>{?YYJ3%^eCWo?8*U@BRWvm98XMJU7NGMkb8yu)y> zQIbLUP^c1*;CsvV2hZFqp402c;m zd5`L^2QE*3}9b$H*7MeT-X^ct7~4g2iZ7lE(ShyEX+Z~ z*UWB#V%)YfuAfDgI9S{|w%Dp@jNUo(OstRx)r1U>#zF?b-K&^P!#{q<=;t=khh4ej z85xYWa;U!#BRevCnp4!2)N@TlXqTCP9it{=L4F6OEpB7L(#@KoZ1xP_F7$1kEiCKO zvw!rvaAmBiJc4q=g0W>lTkWivS8JvqQT7M(#g@#G>2>%~O7VM8j;=*mSi5~t19DRb zze*o>T+r@{;@9U+(_8{=qr+tqI)X;>nen!xv!(Aggl_ z-2u&W7p~hErg1}6hETyUZI{qEo@8BXB6QHHM=ErNSNr$khG*SG7GuEhAcG8D!x!)Hj~gmdN^;Z?Fv^+(w?2%eM>2TR4NFihM%8DIx~!^}h>XQg~!F4 zS$N2`WI#zB9?R}2tQ$@4O;HfEgq&M9MA+O98H=*_DN5mK>~0buqAJaKKZCZM% z`W`(C4nun&?aSqEv-7PmNmE+(nuHt&Q;;!Q^;bxp6g@iMM!VHhCluoL^Cq^O^C5~~ zg-zTd%pq(WaEGVLC?8GlX9H)=P{IBO7hr~orP_Q7&T4h?1@}QK+Sjh+{vyT|j<$Km zy31Ue0&o~%w$fFpSMk#89r_p1jfAU z4^DUeS?k#SDf)8>6Uqo^g}Tcwyh#p=-mT>h55UlNvL=0JJB=lo8ahmLZ{YJvt7tXP zu5ct3SZO1%{F1NT@vn!6uUcubC;)VrH{T11{-d&S`ep+w$hWDgvj?6MRHQw5<(~+$ z3UFrIcxa0=XE%vfwxi+Z!KSDb!kOnSOiQfzhD0Lx!NZicEwPX$Y~D;spG}Sfs~t<+ zeVe#W&?Z}afgAjdQ4*5Y$Z)pU1RI~aQPsp|?ThOb{xxlQSH(9+#t7&oxnLi~tQL;H zk=NJY-*Qh@Ev-`DVZ_CD5yvT{Wg1DLehY?cFJY5q=i@P8V*1N~Qt7koY7 zOQApfbDPxzm?~Se@VSv>i0smvs(Tro`B{GAq#81~@W_fa%TChR)r_y$#d|w4WY!?cZE^kO$^>HZylHBoOxhxSgBRMAb z&^@rh&%>Ayfb&HE`XrJViWx(jI=}YiIj;(6}xl&1Uo^bTAJs(iCJ|Sl?dJrcc$9 z<4rnx0`5sY`O98IXp3@gy+lIor1z$4xnJ7Z*i&$=LQK*5VLfw9+em(Hi}bj@L0)4l zs;KdS+E%(zbsLMaDF3Xf9V$yXHyW8we*><|1nbh>@3Z5y-_y$as0o^v`?pJ9 zx{gYnUgup!Tat}Z+r779Te_&QDqzKC$AvW9DJBG{FC^%hV5AmSjaf=0B(5O?tksF4f&jLDYtzWOZWIej5!A={2<;3<*+qJe(KYEbG6 zwVT6r=mG7r$YM&>$`K(}CTk=a49kbl9q)cBI@&t6_e_(Y-TKvD$|~N7sH1Ud4tKX5 zQq`GcY{3T7M|=z~%1b2uMD@vyylAR-LKfd-HWk~Z0LPB*z)64m#}7IloW**%=9`RX zAMBMYkz)nV%@3ZTWQ8K4>wqaAjM-g<7xWPo1)pQ5EvaTG7S3P?xIG9Mj`=n* zTp5gZ6O?utsicn05Sv44yi}@sVO-sf?hTP3D(b)NMPwWeNM548b(_;*K`~KLS63Ep z8d{X8J=VsJC!&RQ>5$uTmc#|&CFn6%wbF2r(w&ON{qW(RPl!7; z)%B;t-vMOEi6`1$LV+5lp8ulbrMCSU`x7mJE-}84C`jj!XTn3F;ie~KPI~+11{utN zEeW>+^m4Sne z<$nYHqZhJpc2Y2L6t=Umx3m2VJmvTwILN;+#(xoz>K4WT03io6C%uG;g_*fC0Xq}J zzd+0X(3JlZLwKG-Z;ms8JtQxZSrQ6(aoiyDx{HFMLaDG^ha+K@nE%cT3J0f>DxnY; zg+x6lfz%e+Ci?C%Azkw9pn^h|SA+VC*P(`-NK7O$6hpCgm-UU$Y0A{r_EG+k&c|uw z@a;tS`Es0xyME2;a!#rcDN7l!kQG4eX!%YvB=h)H%}+ikfZ}Vp3KS}GaZIuWKY}Nk zGmtCIvY=moRsEu41fUn3C0~gHd6H1>r<6;NV-ingW}w(NUnu@Ptt8X1G^4Na$7wiw zw4{z6{UR-S-+W1sRP^Jl($l7JZv4Wku-HEoeU~~fyQ^uWr2acDQmz{RPsGqYeQU&g z`!ScY=IN$~iXs+|iRr{afocJ-*#xOuxBsZ89ecB8yN>a{lweevvEFD{O1+LDot5uL zG=*)E;#8WS@46zEjNiFm_ik*ROix}(birvw*ZStC*)&GFwFVR8oW{-K78~~u4LQ=d zBwNi$SaMdOO6%m!B(t=~0qSPxb^M6L#HHPHcoZvB2y%Y8wMiK@htlIB9$5)1lW3pG zt+*+5`;s4vGr%%v%-FH;+dH$obpEf;(jTWdOQTe403Rq}+vGDx(YQ$3v51LBHcpEP zH_UgQC`8HVyPrfFPgp{5c;g+c&J53pN?7)(NE@?jV%WQ8;aSljl>?Tp*4L5BM8D z2-b4AhW{n9`uSQbNkV#O<~>asmm=J4WcsX? zW#qvW%(f&oL97GBm?-h?xIS+GsMDEA1?^2bC=4D={f$@}6eX{DC_zHg2!d(AcqAv;dafeqP+eQplMF zpV)OG@7ex)=|iqd1$n{b_^OF%R>qp);X0Mo8PQ8$!qF7jQtDCGeM702&axppTDM2< z5mV#Gf1)(drL!Dp+nlwdK_W2!Hj}xuW=p75Pk+q*IF0Wi<- zMXd4CM`w+%+M{4w{l#)*r;D6=W4B|@hIC@x%H@*%l2EP#AA3+CC6Vmq5YhXg8$DbqqM@w z7Bn5KCBuB?DwBd}oruCoTb6;SQYCgKu2MIL4#h3KphvnH{MKq6S!pZVR^xwjl{2QmR%i)JQm0ld zxy?OIrH$reZcOF)l8J_E=d>P;sxfj#apIjpUHkq-^xvx%TUw#*cYrF?o5M;&5zwA{ z5~tZs3M1i@Gh*y#{n`#T9seDOH%8;4+=3>pb0e0_)mc=-ej5JcG`(b=C~GhMMbcCi z+wMOdTx#cKcK}mt09*331ni$nFUoh9{D^{R5`}DGVx)hr&+8NffOXgaA_YZgf3k-jz@0eSTA+58E2 z|M16SvB_LODLwu3UzBls>2H^=K=Y9gnfC7h7!4a;QMJBPS(PGXyR$Hik_w755?k7niz;4yF%aY z$;OTb@1(aTS1s*}k4M!@Xds|%rgfT9Xg}8dp@rY*3UIH!1(v&i&_NM9HlpRITE zhd{Sq72fnJ<7FS@TyA=aKJuZ#1?!e*GIDadN14BpeX__m-0S1otamp3JFQPIY*Dl9 za6NOzVv0di4d2~HqPED+eN#)Ia6o7gb94iZ>VQdge@Rjb3RF#!V zI@{jeG4312M9WY`rEI}0k4#V|#mksC@g#Dw8y_A(Ij5gyT2r1Md5~@s$obtCwtl@+ z$LfK!UQvF3>v}&Q0aWhBLrYBbF2z2X+wiz5egmZHnqT-TAzzv%<{qPSIMo8~tUV|^ zAj+W-f_x`O|G75c0$9cKPEl5#&-#*UB}0Rv^EHzG$XiNE8{T)FF<<{!U5$*GZ6orL z&SNvGFGRHS=rvVffOW_Tkm9fjddo&VYOQwCqX<2ds`*V7iTR{~^)|XqETUizDR(17z zYaYL%^jM?jM7IAtu>D%gq7plTWln{);>FUwTnNggw@^s}!8vYOH+vtTjB9f;7JJ+A zQQqoW;*fvExSzvvCCh%cyj%ViiX+>V?p(&&W3nbo`N`O2UlaRQBL6is!n?0^sr2M6 zf6q+w^~iEajj__wstu>ruo0mP*iDSj+Hu)}<(>~QMoYE~k@%&!^1eHuRyh_v*4fme zI$rg3ccVrQ*iTF+-onYNms<&U_;laO3;v7Y&fr%~)gfFg@CW8{`X{)Nt!K4e)naAL@7X)j)1_=}NwT$9Ct%{g)NS11wP>R5m9Ll( zyxD>1B)jJ023Dl@yicXbT&(1m&cO;NvJ=mQ_lXst9cNk==Rcm!SdhLJBYl*K?9>>mc4)%A>0B61 zao=)uCArpz&|cXpKl;xMM*vnnX<2)8RJ+ezjI;U|g1!(d=z+Fgm467>x*Tj%>48$v zqDy4e6xdb~$q$*15xPXcqwTeC;I^;zrhwv2`HB8K_Pts?U0b!8cokWKl)wcFUXjw7 zh6bdsd-UV9_|v^blAnOj%f#ZvSemu|&}Rp|x{DIF{xVgcyYX-spl-Eq;S6CEw##vS z$GrgFBI$PJHu=Y5s@{p~HC9+*-I#{FzY9Gyn@W{w09pE|fmmzoYV_Bl zdrMuLnn`i|)RADo^8uS<(na{oT4Y+J(}DgkyN_l-dc|i7*)h|4j31uLvri8}4(0e| zeYzh%be^|o!5UPOw_C89u$&K{B})>CbEM00DGfMAA>zMrA5Amqmq zaUgEwodZ`Ut^uj^nAy^38FsaT7Dj>erc!pGNh$uJ4mI=z|8v{AJfz+ zdaJ_756pfVF_8r>1&01WbYC6`X-gK>&L%zB4p=G(qP+Iun_rVjIz2h#Qy6+Vnoata zB!Hpip$9A#rZr1`8No*0mca1)R1q-QNx1mO-a*^$FcT$-$ETuDr z6;9N(U#XE$zhLrpfys#HS};!W?rn;s9`0T6OZp|HXqLSkvr0^!$=423j}s5BJPN+5 zXR|y%T>^x&NZQ(&+Uu9i|6Jm@7JIybb+4&qCD0dTS;SVO2~2LVTBLkCGhM1@f_>_4 z`ZlIgil=5-SbnauACpo!-q5lw!pyG*2P2^KAgpRr=2vC3l6NU#bRtW+{T*?^5xhqr zXVeue7diuMoCNQbfmh=kWPwL&779UH2{>D`L26Y{2QG+90Pa|^vKvU~p_lPx+8&4` zY_u#E|Fgh}s*7fmxtR)lI2#FcTdxTua^Y8&9{wAKZjYwu`gpv~Jm%o!%|eG8K94r(bQa#aw!n zR%g2Wg!7!}ayuS^+HdOH+V7rtjiOr$JbL*=fSV! z&i7`2#Mtzw_`&BJ$*Ld{v)eCC$269*G6kP5>~DFTt!1CO=u``o3Z)28PY;8Xf=2OJ z)hfkjA&cT&Ytp=UIg4X~6%C49=HrFAig8&fq%w{s=t2m=JO}=WUSvdmDCyny79zXb zp0kp%W6B1K9VC4U=T^N`QbtkT&AVF7MvG^|lLzh$!^9)h82JTs`mARkGGuhh+n&&X z3pC2JcFZkKN#Y}fS}O^Les{(kYjsYRAqyO#fBB9_m8S2gkK}5qNFNv*`{#3yS!}4K z{4wMlnwu)BjQxMn_LgCBHO=2(AS46{7FH!XLL7qUrYU#HOh@?>LO74h-E3C10Gn(0z3a)!=R(Kz zpz2G|lDXxNOu@+1i=UU}!5mqZzhNO0xxP<{Q5pM6>*=32>&z-R1Ra*07oJ4>u@HR5 zJo+Z_fA(}E$i&m=o+?nn6~j1>M8*>-7XgZv$Lp=Gu2(E?#@N9q?Y3uSwwCtNjywTV z7t`BIT8aw>qOoM=f+bJm6glV=ASOWc347YF*6?NY)s>_hAE7^w%waN^=m6b3u@|l> zzXmre5DivHhRnz8NzRGHd$D_ApT1cFIyE9pwEHTJ^(l7OGt^&KJAp8TMIV zq|W7%7~?mqw1}L*;9^q6cjO*T`NGe!nz^xvN3uf4mE?kWTw-h?>v|OOd7Q5m{R#0Y z!%J*86Y~nRq(%b)JGr-&aPR z2h-^^VUNUPgP+phzEsD>tB`NYWH97AM+*BYE)4s0vXQCiG-afwaXgt{=zjpSb9O*+ zOsq^NOUYeeB@t7IOp4=AU1Y8VI8` zNJs$NVR=9oS`h(54}y8KkiDpye={6Vi;W~-DDefxYKVZbcOT0DU3-e>$7$4Q+^1p+ zg=^aZWE;(;r(a=fe-u2`RP}<2$+M55|EiKkaQ5h)oE(?_=SA0-HV<>Io@9&yC>D8t zT7OvluB!gllvXJ`eLY>K<}NwIKg&!g&ZO;EkXDM)2rDZp+Wp9XyLBrbSB2g}Tbatz za>i``1gD@zoW8T??R*~eo~VzUSXO*$FSvwj?doM}M!1Di<~%`j%S4ViLvQb?QL(Zl z7OG>EqqS^_w3u*HqAbZPHarNm@Ife67K&XDHiSk(E4u}#<~jfMd^+kiTsDerJ|9Xil;6Rw?0A3ZqtZdb6g;8Wl``dLpXMOxugX)! zz)BN{`kM01989M8r6HC~ktXDk8j>$X9*+y<>LY3|pPhMy#D3LCOo%H=+eGo=^UuUe z0)FH#@bg$1H~@rP`{m)dk@HQUyps&=l!IQqY1} z)GOBY6lM%9e-oxBD${h425?AQjGv<^+w-S-^R`Dt0&fe(P0Eo)0SY)pjQTut7@7k1 z1fR_~yi$uPmCM1oVHDCGTyVB^wxg_eLA8|{3es0Gs0=}GiiBlnzmhkkC=|UsE5l@C zQ*#h4l72RdVj6C&xx$;WeE6KV>qBWnC`QGv=spGoOO!N+rC z{4~_Ij}YGFXGdg!h8cpO*=2qFmS=M3O;DY;#e{jfMh{L;H2=J7XM=?Unv($06>}Wc zg~*d71?|D6PTv4@v?SqzX>aAc)dRkETPg7^+f(Bx*hXLv=Cr*osW4m)KUey-E1}Ns zMF#e)qk=Ua)?JM#jHX-6)*a`>c{!#0=16QI9dD=M8na6T`Yf9FkPe87TCWef<{t=& zdW|^(lFEm2Da^{KPSDPW&msau@}iz8h!QjV3Pta@hc`8JZ35taq}M>C6cRr)Fq0_5 z&=_SNXsT)}^Q+?UB|mvP|gQWg5=lCQkKSQ#!6NjHR+D4SY8Kp6T=?nHl zHbc1TbLA%s(z@|d71EWVD7Vf;T4-6;4|BJ-^Ubx~iTCbC&Q^;3wlNr(;`Oq$3l5nC zs}0E#;tV&Pw6HA}5sxMv2Z9STx10yhMd@DMR z#iNiryeSZ7#zQ0rq7oLwgB=_f6n-84o_mq}Se_3|=QU7G*4NfP z=Eu}0zOzw5mTsS6uWq|?g*EoUN%&=H1#EjJRU6^(E8bUvDjgO|dY7!%_0uqH@c|HQxaU9F z@ZKyPX5i!oC;z_@je)Z=I7&{zI!GkLr?pxC;x90MW)@MceGEobt3NlxP!z#VX++0vFUbm@dGyr-DS z7`~vzK9$=*!$`xZ*A{l9G+FP%M6(dzo}tPD0*@4?HXFrv&VM5#m_2T)NM{?iakrFl zKdH2(avbsdnq)TW7Z?rNxjx?bsyAZ~&hZ=>mwZnVF|7>ZSolo=c~KTM(Kk^kPuK;c znW;4o%kog<>>-UWT-H3{92YF1qv?ldZ&7@;AufZ8aVQ!~n({6pL#!)qmYVF=sklX@ zhWX~)9gtk--QFG>`tbPq>dP6-_YAp8sqOOd%E_DXKj-q~DANz5D(gA>I_LTxztR$^ zLNp|4BUQg0Lt^i!4>KAm^eiGM8qb`U;hYNboNv5MGR|w~MwJh_*`NG2c+7uu6wibc zJ!#n}A`XhkeaSgrI$y45yhpMbd%T=eVqC7(g=aF!0pUb$*L_v3J^I~t*=o~(FOaJ5 zz=1m9M3G3)2M0cXxSDlhe5#oCQ3ygn3IY`tVWu{v1dUW~a)QrWinD(9_VA#BQ@>cg zGZ%1p!8*|W9rx~c1d1BZ%WPc_rVSf%8$fMJ$t?Z|PfJh0d`waD&^ml;>2=P!~k3TdRR48lo@aTG|BTF#;VuGcM-okiXk-?eO#EGp8i|e$Gug<{N z&XY4`HJ~NHc4v~IGZE$}1kg{Q__RC+9g7kffVdD6?&e>yPho*;Z2X5p)lwOkGOe`oTuZ5@)4*$^_ zSjglwK-4w(imBKVe8Wku?^?^mYTi>eMt^A#D8#E;PdhQQeenq<=7Y%;rOD(i^kNSM zb6l;Anl%bMrlqIv*id|So3~F4MQBNtg~~Y3BZW0d9@hS%6?ZHXmJXij+;Wc|ns&0# z9Apz7`7Eu(S77XtV#Z2xCU9*xJ7f|sEv?2^sEn44zBDxRuG0Up~{d<)8! zH>obK3>SyFPk*&YHAK!AZ@D|iz$HZee9@x2V^x>tzU0BNZj1P$()QrEe#QyCbd0}{ zvl;ehN6O?z42na_Wy;-XWCrZ?%IvIl#b-*gJc)){Rov*Qipd!qT1mYcNgd;s9L*Sx ze5}Y}sf@Wr8*839DqciiMqWCsW1N>8AqvYhB?)fp6bN)A(50p&+l)^Sv-J;IYw6X> zus<^;)~rdUjL+w`a|~g;_j6CHqT8b5S;|(lP+9Y>Zrqo739LjwjXx9R{944I4m2{8 zvw#ws$G?vo92-0vUex=n(*xggtGmQiooD5v{eYZ<*d|v64oj$mnQ9>ww1Dmp+dD6$ z6H|Xeei4OV*QUA6acFZW8uaqTY=09Yc#1rl6>k)kvL+^aTQYpu_-sgPtJkwD@4Vq` zYsa{w#dXtq8^eh`6{1p4TU^)Ntl6rk>|D*MGXWdZyxx^_IrmMDFxHUh^*ngR-$zzD zEBeI?ulJf&br}S?S{)7TyS6V@o9En{lSjVt9)pyPtdl)u+A+?!V(TLhf2I2-MAUW6 z&g;cyz?sBy9S_$;&^-i>e)1-)uq_$qG1Yy1ScBRjHXvn3z`nQ_A0KGAC^9OaDV)ja zg7MBb{sflx4U;1+oS_+`g$fma9)0nAc)1!fED!a6Sh99Eg{;gjh+ADlWJ@%j1IM`f z<^KfBXJ7vPUkUe5%v}1P82bO>u_pSt$3`)VK?D#7L8xaH@N}|bkdyZR*6?|_|8uuL zEkVwwW3O;c!gA`>gn=xntG7Tlv=LSYZ!cOPs`i2oE)V5{ zP85(gRUL@32{b>CS&0_K5|;T_-&fyqO9W)2Ak z{89oZ4@g3c4GV&gV)%dVP~$6**UAB)nd$xWm0wgAJg3HnQL1Z zr7^Gz67t#@;y_I~0`C`68ZsXa6D}x+mBa^E$7r;)6(|&%yR4&)U^Bh=$?gXbGsKf; zy)z>BDOF`?SzcakyhlnbnOOzX&yFBIQ}vq|%cy%f#&i4p``e&qNp4EH(=W`4L$cFn z-6SdW`Y*gKUITn2Lh?s>9rGopm(1CeyyEvVnmpA$n;)CsxbwM@X?YRvj@&*t8V}YD zjtwc|)kIn?fvToERB0Emo)$T#3GTwP2BpNrFzapbl_hzj`Ng0y-Pq75NpV90Jogw) z#T*bNBqb!d_Ogd&k$M+B&t;oh`~@1}81$ULyKY&(YwfsBYW;&I}?q(XskM1{dtSv5v+ zd2RARLGz+mbB@EE1$WUU*myp=L_+SK8I<_39)_1-Gz`I0yNrq#%zZr_VL9?U@p=kL zR1r15cdIsIN3yNhhLK)5SrHt2Or32Y=r|Tzjnd6jEvuw|c+9?~u2&ZQOwP+^a)v1! zi`ma6%b?cmrYEf(zg#|Gh{*|+L<>>TOP`8Mrc3(6)}OmlCJyPS9%~L7m&xjpx$K zt0aDbDEM3=QGn-*^&AdnA`1B@CX6OnFiw&L_`X!>u!GNzZ%f>Gb`lDVGZhdZCITGa zPQRc#j^%4wz2b~Z+Ukw&=;OOJrk(R8`^cjMp3Dy>CSB1RXmVXl=Bag+ox7|0xc3cC zAgFKO{kL@ie#-o2suS&z0_7F1OeU1v%(*4 zJjgnm|DIKu64;-T7~xO7cg6FL&YBqRdxyka>IfxSn7pitW&k z;8^KZH8j>?#_(5+K*{vs5q0MAeP1aghQeQonIwK5PjH3(imNg~U6UYH85l)s{@ zqWd@hDdwb56^^gkdljizP>>19qvxA{>PT5WG}SZ9=WbZz}ioaUKYIVf0y$o4(=%u5SS!e5Q%sqAp@ zKW083!Ogw@-ix~#tb@km&X$Jy{$o;yLDtK%a6*dPVCJrmQ>17@60hT$Nrk{uJ_Iq% z$jY>|ghWw`PjqU3`3fh+h=U z2Nk~jTbWl$5KK!N$3+BGdKY?=j3}jpo(z4)K}40o&yhtdoD7PchUI!DgNlV+g1`y)rLZ6?FLOiK zb^XrbcDg{} zrPimxRgOJHX`O6~Ny2Pm1IKz1D&LxTI0_l?J=?lvv=~@R>UmmoL5Wv;`H&v(vbEgK zV;}d0d5h!%>NANY3H`56JN%*c@41iaQ}@3B(+Fr)0#NN1Wsw@}m-;W8<|fM=OGIii zA!%Q54|AQ2baop30-*hmcMoUgcy|BHy)`v3r$3i~%#8pACSA;`$W$8Vj!K%zG>$Dc zPHT?Ko!w{9sbWY6+S6iR@l|2bBcKZdfuM#sfH8fNXT94T75azXzp9p#6A78lm`>}H z`!mCYY|_;!acMT)aZ>Sq(e}Wx#RDRT{6o&LgxTKu?)Yk`WGgwbZ$bl#!8pNvLK8`Y z+gIYeJ0Lhz{Y#~qk&&zQ6yW;)!g`tgZzbvw3J?b&${{;YK&+$m?2BMfA-nFUGvu6Z zG9d#26`UTb^TQPd+dycnxhcx&=c$|-XW2XkJC%D3?mi+y_HvmpMwExqod}7T=I?qk z_Ot5hF6x3^6%>T6!7T}YZK24N)UoLJsDA&bk(ggwbs?Da@#i4xkCX`4bX+F7siOJI z9Y@0+33nu!scs9y5|}A8!xaI&Veohmx$ZmgJ4s!f4v{x1PbU0?2M@a{nX0+0Q~3-# zI`GY$C#5B}B!gp1NvI z2l9EKti3R>gau)41hli!<|Kifi6Hi&dInieWX8R_psR0EI-@PUgy-!D6+kNeGi7I9 zg1=Tv!zDo!Lfu_@n-`+mtaire&KwR2AW}9LkwE73gGi1vB7kG*7N@M0pvn!wdZgvx zry63E9L8hjNXS;l{#xeR>g=6rbK z+qtp#a91hmxVD;Lz;5N*)3W?#w@W@i2=nF2bs7to3LxMe?2hhHJ9w$rg&2l4Ld0a9 z!|(}g_X@Kc92rmL^6eQ8eDGhgoy==_{)>1WtD3-K`nx5Y#I1#A1rl`W(bAZkdQF*U zt=+i+b1t23mh$~_%%ilJw*-*!1dct;#g|~l9Ei?&wDA8ZQYlZVLd0EM>ez_nOi%3( zk*wLG)w@Z#lIkbShK#(5+v`;|+}`>}aX)Y821DrmTkL0F#XMJFZ+Maa*OmlG@*>b; zUO#P-*a!V%C0S^ozG_k67q9>M#m}5Ply~Kp_7EYV1gys);cb+EKZngPkCQyfeIW2L zF3DO=2*>Kut-(nLNJOM^ltuuy3~XA*C*xSmFTd`O;tw3}HundV2Mj&0$ebg%ADj49 zhW!_%^3TlYmYnR%N0uQ=c77`xW{hM)cSSlb>Yd41*?tYPb9M|Rwy?`SlwuQhF3!=M z+aC67{A)ZM-?y$Q?Y@4uzDeBie>#h568^`e72bfAhOkv#f-(+JJyDD0OQx{v)-u1; z!yAN}i85!TdaVtah_H_}eR7;q)E74mFB6+Tn^{Qsg8Lg?J_y^=qr$%v&pBDAhI+cCe=I)ca-fb%7Y6_8)*ham-QDOOrqjrDO~dR(c8w0SP8t z<^&1rt~Dmy&u_$lHBF?KL4C`*<%EqrqRqM_Rm}818$~bWpB=t1)2F3&t{)A!{>eWi z-^D)k8|FmD*3uzq_4}Wff`a)!nXrfXU&lBd?7~Y5>G8XF zt0W8=AN)9%91`R;f>WOQbey_mv-I7-j03lK&Z~uZJ2@TnM^yB+)I z;FPhrSpkhj?cZ5NBylvKyH#@%hZRLL_&~dHGRA}%vuuXS=Z6uQ17vx=POSG6RDrI# z?dqrCCfXD!HU`8ccPD-GZTo8YF-N@^1KIN}lo49A;kWYAjB`Xy*5L%(<0eQtJQrUX zPWkCWQ1s6l|K5CG*(#pj5->K*zxm8VKk|m6lG3IXwrqSY(l~KSm*!&n9V6LEiVW>L&By%-PCt4z>~zheo?d zBAYZIL`@{>moFxI;|~&1G-`%G;E@z~%wwVUl|UB>Ssh%(gvoDQWy7%#t!#YLFZ#3M z4V9<>gLaVu^HZ{Cs+7+w<#VmUl(x|f&iqFql$@7{ zlIhbKb%gi=lgN%FHykVlY-k!%xCCH4Jfw)yT8T)xX51Phdw#hzNH(^dC{vKV4_@Iz zyOjwWVFl5A5^1ejo}AI^FO|KQmmfxSBK#40C5b|QX+y`L^$#uBqz-1>2t$uO3^>e( zhP*YA@tGoH1q>`+FI%O1mE1IQr}}+F`2_jpB&G{csB68;V|rO+2W2vY2so}A`HNRO z&Zq`u6u?@N%FybcAc^$M`ZUiE^zq?Rjx{uwKi*QeT;**uRMR6w4-UEY<&TDav%CBf zUt#p+ThYD{$jV6O9i#q^Awl52Rlyaui`N*1^*cjZlFjjR!64EN?o9Mj1np84d@wg7 zs_bnGNR~+#`UkbLwNVyqgtNk%2p(d1O`e@5n6I&U$X`EE_-K~-XV+r$CuwF@aCJ3U zSSo>w^_z5U0+v3{Z?|8IWKTsQER@PnRgCy%x=KvYjy<(wZ`wU4iCx2T9aZcdRV?vC zZ~7R~yfnFF>^BK0Ts2Qqoo(T2bJRTn-jqyyGeVv?rA}D`{RCtW#8E3W#HRBqx$UMH z&paTLNzVOeg^@-PrlVbF&jm)F9R80_GHtZea2xr6@Fx(d$05e@Ohucbe1Fcp-`^l; zq!7jjd-;Cl1NF(A@4L*OtLw;aE(VMftDNY$U>Z{tL10Wo9cu=c7hPL>W@4q51@iS@ z?KRSFojp{ygmSPqq*FsOXDfJLL|lx2?I59X)X=BS8Y-&pJn0D>>%c(BZm{hGTPzo%h$` zDsi8)K_)z2hCAOt0W_b3qIs#g!34;!x7>^woXYVemy8?Neygo3f1=SY%_}h~wEu0* zJHM{9EZ;|}jl|zk0x|Z!Q~h~h!dRu% zcZ5nKUg(5A%A4E9$&}IM6U%mdzBL5rE{>D%72pp@j(ZYzD8UB>CIxqyn={Pbuw<(Y zUrh1U=tpR$VYR!~E!P(r-FGUka$UL&hwFb|+|}hTT#h&Q4F>g5BKilU*eyuvUYUZW ztNInZbQ?fyUwW+d?ST>l)`lRCNloa@b#uxVL=}ch$4jeJtA~>^ zRLK@%sq0Imx@vPpC0$s2@zSSfyNV#jexj1;OYzj@B`9DJ#rO|Yue*pi*nK3IP|=8LZ@WcSRs%*i{# zZ^WzsCB8}!f^MQ#WZr@^V>3fo?3JNk0Z{M3Hv0X2`9I%ciYmr=y^U{9_KukbjMKyI z&ZEV)kg4kw5HCzTm#89Uk9fW{xgvN7mc}t8hbkmeYDp>wXsZkbnqm^iH^++rb6frEKKI>Jn;NTEKKJ*vHFHoM-qwifaq-H|iS{gq;?xVkUvnl_+Q`txzQ z9WQWyYc60N^BCKv3;XL*F=|O8mET&;S=P5{{-hi_KtJr)PbyBnd^@>B^WuJ*j^!G> z+V5U-QQ@r8(E#MPCz;)x96^lhh@%X?tJo*!x2MHZ3($&DZHb*3=7}a(O7XnJE)OgRmE}I0-To* zn8L2^>aj+%_Z|*T1^jaLZ_`7ng^C~}!2{=L_WSQMqZq>|9ozICVu>lW2?aThAzL4S z-NtqykAZ_U9|DwUagN5Lj&-Lcz}kc#A!}E5ifowXhK_kq~##Y~{uWxZ>IWq7h_d|wp5eN#zT{Geox_2BnPfB#j;r~_!Au=gAekIb7cb>N@>0$|acmPVm?B)urpsE=<4`g@ zXx-dg-U8CNgVAshclcpqHnN3hP$*j79lc;>r!RBtRT4?E|R ze?K$rAWII2gYtwnf~e^rHTTEjc)!MG9s?&7W%RXnjcYDug%Y6UNQqo}hu?7WVwxw! z1du-}oj*AXO#;CPlm1^+Xp;30Yl}`r7x^eFxdN=L7))~py|KytNt0Rb6*96CRqo)8 zw-$FD3%fwY_rR&|6Inmi2EW5R$ZD7MSL+$3T0&==Gt;vhz_EX+Oh8w*_$&W z&o$sptw=?n!7Xn6=;<44Qvezx=_({NE^Td0=1KH7?o0XZw&YUN^{cw|qQ_)QklY2i zy8nJlT_BoSYzshgvdE=p!5u#wiAHEBCZNYRe`a=hAJT89ec^eT4$-*gMr2=gb`nOx9s|4Ujq>llN)=HMjYEy0XmC}F0?s;p zG;_XBKj_zQiPQe1Rujp{QEXh+Y$3b#Je1E4G`_=WNW+|m*H+xQr)^_uG@_R%rGn!3snA!TP z19!`Js`{+$4gGg+sxM^1*aM=3$KZ)V!j9vFD+gVxRL$~^T6x&^j-}W;zk@gUf1a&> z%?Ft|WTo>zJ8&S%>@>(f4qR2=KMve?Y`0wFFfO6O*O3G@Ido)zy~8%eT=SL35?_6E z2K5b)ZLJn9@DoXp2dq*xx)g#G{!z7+{YQjoI>+8h>i)7aXZpcSg+W~3mB#ZXVK=bR zkOGQix`4}}RY#_ZZ{_I7NEG|)RcIeJIm&VV~_ z@5rv`NnjuRNS8R>f-dkXv@kr$4S4ETIZZrOk2=@6$aVG9Or+tjL1bf!7wTMg_Zo zP)r)ozwaqOJ_Mkv!3l=2YTE^3GC#kzG?MeR^8oTp+xg6sZ#?}g)r z31Y_eW!#!dNO+$wJ_$;k<@pGOMl8NKk@BR=9EnTR8`-kyt9FW7MA`?QZkkFl?J`Pw zm9|^rThs3QB||lo;s|vDzr8h4^|UQ5RixoKuKR#^!At`c=-H%o0$1oqzi@sI|2E3f z?ddAUUQT+x2i+c=*T1fOqGWs;<= z4wXWX*1=LwfY87GfhaPn;RwBSb#B|wyc^1xATs{rf~);{D5IzB;4(|#o+ z9wVWdM!_0vh#UAN1fWJr9a{WCny8BjIrsmcr^L?329N9h9!J~VTO6O6A4B}t6YF|^ zb_M8jiOHaW1m>r5twsVj47QQH?yYmlY8ud}-;#lh6nF)-hLK_>u?c=&s3(cd1F{HR zOrH)Fub34GaFG8)a3V~+r?+|Jd>K1Nr}2k4x)k>dIo_lf4zL^$-^kpW=+h<1jcl}+ zcwfF|;hnXUf(jWlNMq9$t2brSe#dRTHzs~rXV+N?VMgv^qM>udli7ERqj5Z{BFHH( z5pm6Jl}GgRLJ#u{v+aD?&a8|}3z5*VJmdQKxe>8jhs`Q^G3nEUE_Ar>gTY^M9>D=! z2;eS~;mkf>`>nE!_@8RPB;WBK>zYQ`}s}qDa-U!Tet~{RlA6a{hNd zwP_!MzJie$Ue*;vdWPvcJO&)6yF%78?@Za+_x0eG_cqb3$L$|K$1X35gH!DXV)o?7 z>n-KLti8$vIK$O>C`8{FXN0z~gA;gR0-H(z0`=(@72cQ<=onepvhw6(XWLT>?VOlS zem@w<{BWqlgIF%)3e;XeF%gE!I_Al-HmlE}90VNrMVb<(;~i+$G;TgtL-6`W1l-Lu zpw{p18JjMxE#_*};W*{sgJz!&xpB-^u zRfpM0o0fW6s~wp3g6orMBe%$}(3tv(Pez3t*I{$43w+C~M>|J7X@)?Rm3C@Y%ayi3 zAn>Uy0;NHbPRAebIN@gGwy>};Gn9Ny43F0I7{sUBiRx*KB@lHIonhUv(yMfVKK(J| z!JgPofvDOLk#y}vBJ+M@>iQ5KuRS<#Rs@k=J|HjaJ1MD z>2!};x%e_K03%Smnwe~Mw2-LJZnBp&%iPGJH(&7l#Nf&1e998MaMwKRzDRh4QsvKt zY(<)tYC1<$oa3ANEW917;dd!1$djgQd1k+N-hPQDZVk=AjmB3zE_ww7rTSlJGD%hY zC8?JM^4PS`$q-1BTd@qV7s$T89ub$lSiF}GE7~61_BSo5muFwjiJvsXOAjB9EoiuO zLh{Vtk|LOGoM^JM^@m)T|4yr_{WBS{tGgh+aoX=8tyL`(V5fH?Yr}8B@&3~|1LpNz zdo#{Jtfl=VL@<2iRjr|7uSh)Bzw53PnPa1^p8;m%5OJ&Y;ytpl$Eh4ooDNhU1-IuY zI@FcKLN>)a8$I%aO>e$2|42gfXlO)|6=rUCe{~XiC;zoug&9NqfF`EUriRxC=N89_J>p46R_tk1GrRD7 zTbWFdkv?I3*lgF=NjhK6?94kKWCycmZ2n%)8xUN2H;XHH|1R+{oB`Mz|Lz`fVn9K~ zQiwvZEh8~PhbB7z9u-^T%*>1v-{HrX#TWb+Q|~7POo`nIf8>d71H8(H;zc5Hm}9p! zK6tiV*2WXSh$6D%bNM)puo<;wNcAvAA8a4D;NQth@Qh@1_i<#s? z-XNGkWHvO(*`<~$-dwq)28I_Pb*hruw5hd?4n^3(&JlgPrt)GL#J8suMmH*_lmAg~ zey3a#E<_V|oWe;-TgN$N8%ALDj*`A$1dkSTg{SBnPl%LGFWB2J+iu=P6z5=5ax@$) z+l%Xy!1|yL^Y5a~dVYGKqZ>boxY~Bh^lKxH=v&A!& z3_U!f=$gb=+!pEe#4ELfZF*+GndMt}lVQ(Bsr6$Wb*5$pn$>iTBvBjr)bi7KUqoLM zDDfvn#OHz~yrFP;m;NZOWUADL3+?<&#M=->G7ormFc~B^jbMrsj~;e}a3y8ae+W@p zhx!Y+1om1Est`Tb2-5f{F3~g z@p(c?2T}I0eC*Bq%7}(M^6N~-2M;IbI{7y`;3(wF=*Qv-sN(7-~T0!9(r3@y^TNzX` z>=A+y>~M8_MB2-PwxfwNW|dkcYGq3OgUSa_f@tQqsk~CDGT*|@Vmq-{$)s5G_yQ&DZ`H@~Rk&8*c!61kh7G}E_!ZFzBi{47WZ%5MfC+Y7g zeZK;f#mAVPk1Yyv4UOVDrkI}#rwokpS3fuS@N%Xg;KU|(gtwmC!T-m~s(*<`27pk2^L}j9e1=p(Q$F?wEn@T84(RcH-P9u_uqHS*r9h>ghEzfP z)yS%k^{gm4(D8jMAsh^bJ85K){OlA+EhRyL9E_x-n`mZXx}n>wz<4~LIxb^P2fG5( z^zAX9jAkPw65%g40y*EBaJ*()@g4f(Hzt#n;H^$J*(UOC{#xBGF&2m{yUqea7eX&B{K1)K2pKsr0-!9R?BLYNu%dj{VBDDmOm-^JK z@Qway1}BnHW?`uogtLVs362f@FLKjEBg*$lgX%R>Ro$hgY6n$C{q0wk_0*P*Y~`I9 zb=(#r-oDlK>4K&fKMaaRAZ{|%WF$0G|7iFQyc2wJ$nh;id-Z-$Kkv;YRPR#M*cbBf zer@vbX_t~f=^58_AFTj=?@Ntro_hu>2PwgS*>ZcMZ(6sc`FJ&wThN|8W(ON0 zk-q*P-BJZ$G>HHA4?JlQW?bagh5+`e!BqjOy!z)$9>eX#-_Ohp6X-us#x?f=5Gq*I zkI%EOiY2wgA_P|Y2YDp~pmYG_)j!>1`hpt5fFAxLukz40>i|n%(UiK{vwQplSmBVg)W7O%sNsRE~rfXi(wZ-``{+)pp{rTrU0TMc9m>$B; zSLtaUr(0niHygcd$Yf96fFuwF!9y=GQ8ES5ey;bbtc$2N_FptJkj7MX_9qp|5CPn( z$3g1kv`G6BwTA^;r8f5#ns#My-|>gfNYdh;NSDI^1z`c1B?gTKxOtt`#hM;3{b@V) zP!wF(ajO{lu=9j0@+x@2(IhR9sW}Q%_!VI5c_WCZhG${y(cLP4QdDl4+(GFY9GQIwnU^Pz2nUN-C*`y{lAyyLt z2q*j|6rkj^o70`+Y$xh7@!t*rJ&SqPRKa}fMGcLgM*0?9D^J_M;EJhhLvz}R z@ly)68nFNv3z-6Nt_vv0y&?z)MQDl*uxyDer`~s6pKX6BM*9`ljm^jQ68b-scp8`Z zW+N_Q0AM@4|FqEn+IYxl&0f51YsZ<>;iPd4I!0M@EQ>X|jv3Y*aQ)h((=aXz0LT!i z9cdBsYTq_ApZ0I&P%bUbjKVfei^! zTspIM*wUH{Wot}tU}dKctOyh}Y1iTw8`%huuGyrPgoXcI=TecP3m=r;xttg65pt5s zOX#@2K{(KM&@3#w)zwjs(vO-mA$4*AW*Y!xj;}6fVPgpOZwP}^anPF1&cEG=Hr*EB zFTTntGQ+=@g$t>IxXfnMnax#LHtdIlxb4j^T!q;K%kgu21*p`!V9(mPW^#CW4XxP| zj=J4oz~lwMT6mie=>X%QK-7UEmi^a{o3i*)6V;s@CDSug{+om`{>-}u&unJaZB?2* zg65jz%>(YEoHOshFEY559y7hPBr|Y6a6wZ#b`foruw}8ToDwrVHPT^*Jj~dS??%so zBZfz#`mkAgFJ~d_SHP(tFqJ=9q;&Rc$-f=<*67VCqzlEO9{CQu{~?Wu)dRdsXSO$r zA8dcV`>M$1H4IcQ_|p_+xP;OI{H4H9CUf zmNIPzVfpT1z!I-Q>+#5+4iPwW1lDz*{DfxHw8*|FyyzAk;L2aA&F(l)7-IBZPQpd1I^8HYpCKCUsLQJ+n zv%7V`y{2zOxSvd}=dhS$@bjwBDJR@(zw1YexNOr8VazWSYem7qJa(!5RWSu-27v~@ zTwDk8Y|wIpd&93K8${Y}n?r&y)QWA2i@L{*7puu4DG)p!zK4l}_qob(8T&Uyd%e$U z0hh^bPfq>N_5z`IgN>hU!$mFwbT1n_OV0pIY`=Thnmfxe?}I4$=2{kTO8UIE8h{D> zf5VZyHZVax@>Q2`U2#3ZvpoGBm#gz{qaMI0xg(IUCL4yl222Lg z)p(2^xy{06=a}eVV>z4>x$v<*0xqb(hd7ZZ!crmGFvrlU;k6)EIjP@kQ*0dx*q~^b zjJhs5UTGX=+R{S%RT+g&kyDNh8>Y3kQ`4QyT;kGk+|pJwl2dI8I&RCtr(CZ;M`%c) zTRn9r8lH-e{|9`LWwZej4udtiV91+)qV6|C+ncF!N?7i2_fh+=falRop}Yiw;2`}Cu5puveZHPy^bzC{shD@Fl`&eJ%m z=ws!N76SRuoM=B;)LXA3xKkxo=(c0X+d|``xJq~}*x9^ul9Pm>HcSN$Xc~j+1o=%K z4ZQdI`J!JPCVNuyQ||0o@=&m{*Toh6gCu^!-7#JrMqpHP)ESDJhDxOOBmdG5-(OOU zR*VRHTL7Sxd~bjt`~0Juhsf@*6U*ddZ$W6%m3qg5;TG*g&EiLUf>oXBj8Q+7*w;AN zJOD^ZX!=`XoJ5vIUX5j}MSUc8!6EOVm`a?q5D*+I0)k^IaaE+Lr2DyDvWpgvv!S382Z_{|pk0ofMZIR9_2 zRXz@Ap*D;co;EO_PRv3q$I`P9G&GK4s(~>VG0MnamhNm0N%k)LaTMohW6(I}ivR}& zrIipo`}-TImsy_axAZ739~yb9@N!XWL~uuEQbCgUO@EkN z^KHumXT-=l$fWP+(nFS4=V_LCzZ?Eu%yp6qy6zjgXDpHKfM(TC^p{df7C%#ROe&5; zyw~yRo4F0*!~JUgllR5jHAEQ?JCa1)Wfik~k(EsetIGl1;l)&m{!%*Bia^+amfq2O zKmksiSp2K`dN{6d)=6^m-(##cleURM#dB3w62~{*Wi?8`ITi-|3nF8XZ8FS%lbn_)Mbo2Jq{`VR^@PB?^JdW!SxVMe6OX@eKLNWoI z5t5-xOzfg!z$f5jNjr;5J2WC=PEL2;?^&?!Sfc33oky^J4#}XMGp8et9tcJ9*sA`j z=Js`lQ!dRQc{Xqsq6{tmSu9OdYu!+k9XsHEHrupPrBbb1*e<@DxRm!8hLN$wj224ESv>xlH9w+*3Ju$QbV1H(z6+%GVPMPC!T%L>mQxcnUODl7MG#OlNbvo

lODm zb>G)^zO-%v^08jA9%O<%tx+O1le0W&&{1WXaD}+eH;U?haaHM2Bdc`b(9uSie#8(> z^Ee>DKaGB2^Vc)SwWtebq0WsmaC1;ZHPIc9JvU)%2fo?VzaFguCRy7n9EN#E)_)3Q zcFdp87mbQXeDVHbnqpbds6~E0!*j=z{KiBKsSlX}%&E=u$@K9zj3>ViPIpfQxF(`v zGCj+r*OAOyW(8CQ?*&^R-M_cZ!7g(#hoi^j$yN6zE9hIW3~zqw%P#D$&2l*vU(SCE zM~@p)GQ!9R<{2H*?2pt_^-kwaAFo3N5>sAB3rFcR=%%;XPOwP_CN#c0ew6L((>nS# zxOTtxA~|(Ixqi}Q0+BkK)0j1^PLUpO!O2KB`rb>y)ZAF@z!KZ|aQ(I2>l@ig6S?*- z-jFb1A^@FtrN8a^6K?~mi$%N6{r99n<<))P%@ATxi z#6q6Cu~}Mf&9go8@wp7ojm!xp))%i%P!RQ-JCYXi_8XnPh@#3=4E?pbh}OZ)GRO>w z%pWnI$RE{gp2tR)U4p$!#C;5bB^=-}yvU8A^$uo^L{c$5zvrtXC-H%Foi~0>PrH0( zwNZeRhSr;i6}5}0LquRe8hp5Ex@)NWC-J1_);%5LZd1oV&zEfF>nBd)Ss$3xJ1GY5 zZBbr9An#=G$F2n4M9rnNhLo_^m?trF>IWfOp8&K2@Obp{Jc>qb_;5t}K$B@6xwXKZ zcgwMNNO!!!&oz5MMS5Yzo7(Z)ArDZL{7!mB8`VUbxXNIt{K;A)Q613#e=JrB6&N_d zbGHO-O|I<8H<$HWPxBr=5q2bN^SqQ3>VRm9!%NRfr;1>+`X=Z{$wmr0RMm-9JaXRG zc3wbAbj0wsjXyUYGcJP!{2eYUO*oB^8bX@3pvlaHNp>vOZmAPaNP@6e?+!(I7<5W7F!PGB-}wRP4oV)>S` z(cw>kkYJ(+x#l)Mam2Up792}pe-rj6o#g7h4K75mHS6I^Nrj zt;v!QlJHIm9{$2(_s8=yzB?Xz;j7P!Jsqr3hDyU^O&ycdrHmyq;JoM+w?u?)%v6N7eS*O9#79g4tVmmYK)vwmZAFAk{D=>^NSJie29jy7x#>9CRuW9)d0U+%}DfT6}m&{MNpk7W6lCAe1)z>16`%0d+=ksnL42{h3 z{JdNqQUd#5Tj`DRL((1D+@c5!wt^ID@Pyb6kDFRHW`R6;m>GL$vR0a4%3>`_ez{D1 z%rXThts%AkaY?-GS=Q;#wn5CSdUGjlDd40uVIa@3wWII0+ZicyUQC5?qTkvdb&I5s ze!21h#gNc)`0D&EqfoX_!``s*x3Qdyo^l!xAsM${XyRkg$QvVbw?{ME{%EpGH+9+@ zr8{#ia~oZ%>m=;!r9r?_TmuebS*XC~KO68T$NS{t5ji-G{L+P6z15`)l;{fBIxne zl-KUH&HbqWVb{3?S|cF$cTYD2h@6cfT!q5Y8QVIwx2C$K12OB$pF=%*bwki>eAg#Pg z8RNLlPJdOR%0@(t$IqX!zA!Rw@BA2qSkfW>DJW2vtTa#y)ft_d=atse4)M?)|0<%; zAYem;&otfUcwoPosjXcjqA7gdICEf3Tc8|x`BK>1AJW#87kVg+5q^pYg z-7hrRE)>Xa+it^``b}bNqPXfu=RZV3%HF>8P*QqAU;QM}UK?Wl#*W-);+*5I;Y)27 zcM&%Lzihs5*_8m!>A=m1$6@OlEdUR&T$C3kuK6?M^M#GA7rO>F3r5sVFaD4u-Xb(G>-V|S!`N~Uu zr<@4o4zUT<>%Lp?J=Gux(|T3q$=$$ulQ_(19ite!aJ_)l;dhg43VEgN?ECrliQna`H;4(|OYw%i>IWF#|!h5yuNnn*x~ zfLbfc|J+~`i1uOs33t!FgFB(+j{=3sKupet5!@6A+WK1v{J*^o6$?Zn7$#yxJx5bh zDk$1-76d{G?=jhPEj#<7b8F}G+nOdUNluEQmdb~8;P}q)+7v?yNkCX$RT3Bz)IK*MG!i8D5w2D}bhem5s`osSbG+}p zv-?b&juh>m5D#W|#mraIzH_~}t2`|tkO{OCJKLC(S(;l&V>VtTcW}0Iq3b||crRwqKENe$fT4Y&uCqYCl zDIot0oYe98pHW@Z-o~=W-q=06QSFXD~{B3Di?1dfVWma8cd;vKGT}a<{Q>QQMZBxA6*MU1hG@ z#V(PNNRWIG6AUV3^_v`&%-_y>l~7KjjhF#>|sXfnR=~ zt@a=;)5Jv6b8!ok=Tb<9YzT~$>>Mz?MiBWCH9#)i{3@aG$vft+Y-nHYM?w_)h8L(< zb((Fg6(PD-#o*m98pM{1;>|oHQxbh|>eU!DwfZp2ec?K#E6|+Vv!H*p$?VJgFiH*ZQ!P%2BLc`6BDH?w7m7(0FKPF{4~2 z<%TTWfwaBvkULNW#%}Lu>L4JeDerFf43F9S_?(n%xUv38h8ZWcKU?hhUF9|NRapcK za+%#b*5!w#Y8{(_`n|qryZQjzvGwa0e`Rq*%>+)&a}SBSRLVptrCP?Y#&0i>()Kb^ z5%az#IUp}pi6b5_`p!pN57C!%{ow2cBaby&#?{~-4=?zF832IS5QJ+=Ya>IJE8Lc_(Agb+SRS4uyiLpQ!^ zd(`%ijLq}pDfKT-GJ+TAc|jo}LaUKQmth~>AeVETM@W=!QJdqbp`B?Y6xg`z3Z-Fq z952=gHeNb?7_isR!!py2(Y@ju16j3?eD|J}92SrJXt}B()-QoSd3+2uouQf!1A^PR zdDktnb*cHI$5_wukpqQ1L`@}`CJ|+T>Z8%dr_Xj(XBeBf{5gB;)W2!;vY0+c;d0(= zlz5cu`UPGS7hX1Ul37vaRFHx&_N?t!lauy`6i=I^@KP?zn4THR?==o4?p}Gx=q}2^ z9C!R!6&Srpr|{bbw`7llPcW%{)6L3Bh1{-+u6~k}w|X~Wz@A*d?2=U2TPH@xUy}2+ ztzknkB7_Uu5fp+MR#x(J3+iieL>EfS!k5x2rb z1vTQmuxNk%!ktN%d9*_DVMrv|~WkAZ>w_Xluj zW{|1~h$F9%^VpmiSVA(*Nuo*+E%?j3JOEXBh^gMJAE5DLdw?(;U6#CEGuR`U=AXd< zrU0YZw;|dpPMas4jFXJ)1dx}Qt#^qH0h{Fy5f+0(FyVK1=`tb+}h zz~juYQnBEgiyg#7D3Bu(UuGEKlgtDbKJ1g#-?2h7l>WJO(WgZUiwP3@kx+bE6a-Me z=SQVeevv|ZQ`NON(8kce;xmQWR_$N;Lw(DmPYKw=GIBBLqqjuauw4>BjYBG7B#VlV zS3dg7s@ff!7bkJj4DS#N^3L{S<(UJ6fQ_bd7S!keOfkKdU1$L>Qv0h^(1SsP3SQ@C zge#8*729p};EttSPBHn@?8mWypPr*9hqT4)tJ#m6Ajuzq2*LZ6nW1B0YVn9$X3Wv5 zlYEo~7|O?mg44!2Cr9t#RK-SIz}!+f0gR|${0o; z7ovc&_Ntu@Cl_yCC-!?<#KQxWxkh4fUZ9T4bu`nH_nfDM75G&WfD6ZHHeXGOuAC?jS=I9qPA@rxZpXyExorxZFMbfzh~)t+!IA0F zeiEYqr-6&de|?X3nas>J5HkxTKv*o_VI_xeO={iuu_osPZuZ&z{9E+9!>?ubSo?du zt-(s{&vA>pO>H`}@xEv#?Gn6J3w=~2TAhvWlw+O=)fC+fB`k=4@T|-UqSolX)qhte z3VKbbxfPVx`iY_^#n>I0hX%ZD0C*a69tq{txDpaZNg06cA{I8GoS?&>Cl4KVI9x;E z&Lw5D#-B+$Bw7~;pleqSG*1)oD%W!b+B^c{;c9N7r9!?Qa z+IC&d;v7L!@Ul6(e=kmlnNM>|B2pJCJqAMPRRW|D}4ja_f;ZjHPlfjKqf&GX{uCj-ZU(l3p)Z4}IkNlu#WI z%m(1QJUD{>rFqdeVJ9o63?dAG+ca~*4=0#Clk6a(cIsj20YPAmGelpa8)lNX{%5`( zBBbl_Lo$i?KY!6kKJ!+j4*%g2;28e#CxK_`$p4TkbMf@QE&vZX`~gV;@E8Bz-AvoC zj*yN+cSgVU$*MdBKjqE6@vZDkOcCX8F}$+^|IO>vUE7{ip)xx>`fy?9a(1Q%SEzTm zGxMkXZ1Lax)Oty+2`Z;t`+JMF8?gnxYrd@PfZ(Sj0BqA&Y6+wV+;={$Dtm38e?&g;%4jC`nUA)P(IXrwi|sdc@RS&&b{0VFmHE91E1a&>W&uP>VMFc3l1u0RA+0KBE!Y)|#+f=VC<|4<&MU>~@V6brKcDBv!qjnrvBxEK*b;MhrmXA|zQ+3MREO7oB8pnGzO@g`bLHBG;~!kIT%JeAqDA+u6ZEzN@Gobl z*uVyPW9f-TkwJSW(t-D+qw3urpZ;!uF67i^(eh%BpcKA5=Cu%0j|&ShWEUX83NfAD z1uxd!HtH|-_Xb3r&Da{IgxBdrJ^y8YKPDXYB6mnNeXZ<5r(XNAkU06|+F^5qs=KiyKGdqJAy5F6~KgDDy#|y*=8qfR`AM^G8$;J8FX%WLup!t*fk_WWw z7)HkK8ECGiH}3_YuXUBzCJ8hD-auACBx*Mc;8=XLI!=~Mjrc>E6{cGm_UjIWs7M8Vb> znon}8neEhawb%Ku`F{-gC)*w_$|a@EpuTrlhfE!^nQKPS{i=Tr7vyyjhp4So}SjirRwnKP*NI^}URUed$SVgh>^ z$)=lbUk)bJT%D6Mz*><=wG7SIljUQzrw77&SB2UwKku4CuD@ISv`g|>P!1)Ch4D)} z=SSD@kYTZ_ljd{Xg5zMB4SobIGqP}#ugsvC>)b6iB@`IVrx?8O$gobf;WsO^tG|B) z;2#M#h>gN_yM8XwA4N+$?dmwN&qWAQwNdI0EK*#uE%?^9-t9oGiz~@Z8t{sD$o7t- z+Q>Xe7{20-K%yVxM3k}bgMyleKNP&7EwtLJSSW8l@!V=AR|sw{i_uZC?@fRe=ZNxL zM7*+RI404)HjNrzqqyjr?kej@_g8#r&3F79AXRy?v-G4+bgyMbW$0%o%?nkQ_hqT( z8gNj_=g)DQM#2VPwnHf*C@~ut>(7rV)_1%o=kBb$wnPN$=Y^08hV>XV^z)y=KRDSIvJV zYw9Ha^2^LOv)|^&X~!hBP+^qZ=5h%u0|r2A70Zx^alkw#s=g{8(&3g4{JLFU#|Whr zrK0Wg`cy$79{QpP_rPhFZ7Kq3Qm-UCax%;_6IDsoMQahuv&$-#hmR{wsiRIvfdexF z)o0iiPqji5h;vux0I<8X=^X}J1O;*|=$ zTHx@n6KBE<-IlKo49AS6=C^l2c%XQ+98N~M^}Ok`E?Z}t3bv+_&w{kQy@rdy-?T(6 z%z|QiU+$#np2&cIw;FL783bEXRx}lI`GA;yIpU8AG`B8IdUL&wsWb07JqM=fxD*yt zkkYI^NcNms4iGlS7kiy(3**MjlT=@t3GWM6JYQT#*JM0M+P>5%r}oItgyQ@K+m(A zUcVo$e1!k0NT-_Io}QJ8?FYKwx1BCtbq5M1fjuK6h3-B_!sN~-iyl4vR2J3ax;T+DE^;~&SN^@}&mlN&Vz=E@WUD(c? ztl!TuXOe96fLczI^jtyq3c$V){58;EKq|k`ww>!1$A(lMX9_;gn7AMQwrUvuv(7%SOxyJ^PNgJE=;SfC+c0$BQKGIxjZ5c zKjLgkXIV&d?MU^I?*{U~>|znU1%SHJhTS#%OM}VzuV&DE+45(Ye?6|<;5<7%G8%$e z{HZXxbVHkG0ikk%t93Cm{nUW@M_tkIaS-?#IaDzfs%>L*@Kl-y2X9c~&23u-*}j zu}L|tEfHw^+panT_R`7}>7lIsXb!nzw^GPJ%y_<5iUaZ#Admz-i<$jl9;g+PHD984B4Z z_4}aKSw3g4rwLy=TU06*T{*KUEw0{XN4`TGTrS?u`r z9QvB&H!W`_Xfi}Tc;P_y(KWdBCMwB?u;rh>j<2bo{1NnCNgcbHW!7A{PwnLbc>nkF z3XjyCbK}&sOeN4-C8`RttUf{9f7$poyiC&T>Kzh#DoHR0Btb5$m=;n(12{L04}PZU zoXDH$mV-;y#r<#HM<&R+_=7*0gYfsJqKx1l2c0@VBY~lK z|LKRofz!hUod39tEwCVdGb>rZDWy^RA9oGJk*EIKvj=ZKP%E4--|qN$BtR2I@7I0x ziVcryTAhyV?Hx4VLPYqOzs##FvrzCx|K7#tdffGLA8?d*DW@wOZ-Al2!opZO&5JZ9 zrU}-BI%>mh}6PW;mo1QF?pMYOjF);F5&^uJ&$VAuaJ-4za>%{&4}5`;2$_nFZn#4HzEnoqadgDO1ecaeW z3V+25B~*bc0EY+9C8OVI93~*fuu(@C7r;)xofMy!FedIN>)7keJ}Dv`bmA3*hYLz6 zbJfl#=m7YVkIETyjTT%@Z`VLumIf)gFk(?r_`9#LxU^W>lQzYp6=GrFSPO|zk%Mi+GF|zcG=hE-j5-fYk!ClnojX9;k*fSyd zcKherF(3VNeO12Nb<#8ykBWiy?C?QB|@h#1^FBH?XHY!8P9+~DqXqJD3A zhGMX;k2^6$B-n{X39cqatg|Ou<~1ROICC`#wW2IH%z??SM0t_q+f6Y-CaotEgZgq_X>!1@qf(zQJC`0XBUj&;T(qe4z6I751R2^Nv^(LN(!T5 z?xO4pjKm9*^K(9#BF@0(P})_*Sa+wjci)=PTx4pexSiM2_|lP(^MU~g zI{+kLDN>BUWKcjJcm4QY;W48TOmB;|Lh&3OX~SnRUM{a?!Vb-zvEEjmqgejy!FWjS zZv$e>2B(T=Gn#LqT^wEGSL+*2$!1eurdkJB;w6K!VSKF>Z(6LVy>cc9VKJGF#7Av` z72DCue35HPxFu)wmgF5JBAhQwY(BAa;3&ISsW#9YvI1fesy78ZOO@Oh92^2!_5=@FMOZ-%hO z%i=K3*04mH)|_?q^_PZl8jHYTBSvD%*2N(s@d}YLN+BUpMEc-2VlortO@fu8`qYmi z6qmyfCj|QLu%GBgRiL67(~dK2qoZ?ZG14R(t<=*>iKEJAwh=TfUd(8HGf6v~;+DxI z{0-gaThF(p*&{X23P0j2xskA*HiNJ8S) z?dZEWp8(^io7EvNvs%ew+q)&nky9#OJj!Z@KuPn&jp!TqDR&kgojh}qR^hU)eHu69 z)>u=OkJ~f|uX`mKVH7jZ^4Kb8H_A{&Fc=Y?-V_|t7lcFbe#XCoQt_NTLyDqh1;6@z zI+I}cwF90vI*DaqlW_!8R_KTGJBdNkw<%vM>=y_OlS~xU>UO41p{V1w1^)MkCkIa) zdZ_~UHYP>d&8^wkE(U~WsJaw>TYS}Cu$H$k9sFiT`YZ|qTYD^pWubhrBoL+hQ5p9aaCKlFA6~wl zRP#nqw4Nv&05rVwXmr`!Ee#cqlO3NEn0~a+Y)e_D)UVugX?(pMc zE20Ra27S#kLA-RMk`Uc-HvN#J>;4_u>q2Xm!s~m55MuJ%Y~MD~fe!=!y{kOz;Dq5% zE!bm6H52`W6nSbyxbP);LgXY6oaP#?D)=Qc#jmydbZ~?Xd9$@Tl|YpFEst6am5Rv| zq7+n$L7Bu*ymAm!_ZcK21GnqSU-6aAvHE2F-XT38fbFPdGcOO^u6k4bk&4}E2n9o6 z*$7^0$IGeK3*tpuBs`MRq*x)q{~el(Wn`ZseTP**C|^r)nvUEf%SN}3i8xq54U776 zd`?!T3gH$nC-w21Q*UJ@3K&9aF5U22ah`ep;G^r4hR{ZcLWTewZoJt>7~;ktpw5~= z%yBJ%#wmINur@m{%Cdk}d`=;8Z;Vxg1d$e{2>X%0(NGWSzc7R~tXy(lPt+xKp~?h> zDt13@C`EI4xtKK9#buJb))wwNQXllF+G+!tIY=~Gnz#n#c~M^tE2Qi6a4%cSU-*%? z=rqP{?D*jfI`2C-fT+WS(9s&LX!>G?$SRP$efqM{3|uM-Pi~Y)d#e-`$%}MJP!!XG zD@kE~tG&CLeO)+O%^`IvMsP+%m`*udPZ=q)Ur*qEMEwHuuZa6k$?B7=y@F8!NIgz! zk3?zm?R;33f$BW~l-dlalS6w42TfehJ1dcMLIXljdNS7vXs=X(%motwBmF@~_HD4n1wTm)TGe~(9_W1`#@!Ub@Vmr2a8la?s+?UU<9~_fa%3zz0T={;J zckl@WI_I`#U&}-_XLS;~rWG2-idS8EYc}k$-$Ma`~(MJ2Ii2 z9%keaKlpIR`xi8Ym)Y1Q;J5=sgZuAS07ALKL!&`mU;v$dZOux}_~Je4O0x<-^jpct ztdZO7KaKzU+V|TH1@;f~&&!|6FL}3bJLLcO4>=kgeprq|xEhJNrzGXb$)4IC*7;2v z3O(f%c<{0Si{t+g+kb&5r?2r&dP@a9ESe&4D^N%Ha4IkiMEw8EYo1aQ*~0~yNB?v; z9oSRxv%y1-3MU?bYxm##^GApL$uThpiuoRXE(*c;xU}sHFM#MtxzRMH*sV*^{(gRU z?C3Ufgd^_q&-j%j2s~ZzT~Wf5*lIl9%G+U;Tk zyE+isqEe^-YaJjm!JlRam$+u7_2-zV8_;|6a zyvro9_vN(86s_*4YR}EK)l9rXv=%`0RhWOXLton9Q25dF z^}hEnBhk~{F27eK?_IskJ-e+yPhzYWKZwo;rbwe|WB=C^V18e&29J$iI$%TZUjXqNP z4+kl$SO5WXBT(rr@Zs=l+xm5;;kkF5Qhi3R68EArlKi26Q#22t9%$>1$2S~Lu1?U9cznzEoc~QJ@d^^PDUh53 ztUxyG4-hV|iDBqx7@9k}gw4t6gtB+{I#Uc!#uLg9>HYCKz-h`0BG(gb{r!%))ro4c zcZ~QP;q~X;0rhlK=Fh)h!o)yFEc%gklR8=46HfSkaJPoVtK+L-kW@mquITR9AQxi+ zNWy!?YqR@ zq26IoeoIG%zqgcDmDcN&&hIXe1O(16X*H!&I_C?Qvtl1z)?SPQuw@@}0XR~@^NiQl zpAipro!6(QObInC83I`W6(mamOyzJzRsLQ@BUhiLSqnn zu#C6NniV*}L;-RNes71EuR1=h8utyN_ssoU z7Y@DCtEc3B{yd^-YjoNeI9wgkl}e{7>Q35%Wt(%f@842q4l--@<#4yEMXrhH@2tGz zfBKupEgfDujefxku$mYjc+L$V!jI7;y5A?y{v6hxwko?;pQwX=>Zu~xR|8;QAx`fQ zh@~XATDv!+sxxFKtT-gPx2;JuCB^&2vtju^wr^E#rS#|bx?FdSY(8i}x)ia`EpXc$ z+qVHocJ5RIAV>5uMv?%Y(Db;rmqx&|RlgJun_n!8C*tr+CxlwOh8rH?c5jr=1 z*u_EPr~no%EzGz|rcA$N-+d@_>^+` zByziSiwrMsfo02H_Fd#6Jz1dTP)_-}(&uGTD7gy!_eUo1&y}4$MZbmnbA*j z`n9lA-;+ZSleDInPIEHh0H1q_A~ZdlGB-j-4pkO7)yBlB0f1QN8;$q(Vj7;u3G_K~ zgwvL_6{RC?M@k0g3f`095 zRYGScxg1?+uN@eBBMOlZ_+7j8Ub$=GgL4DZUTE=7MXcze(MVP!Odqp~R!*PdJILZJ zFAXgCU^V-J?S1|enk_r%e)8^{qfaaTF2L^fBHjBtWW?5ihtWPUfGQS2VR7M$4++;e z5FQx%o0jPeRMevv0Fj#Ce{w$i_5P`jKiQnaNcn6L@BZ%}0dtP|hXaP`-*;0%7I&Ha z5f3*0@~<`b5S7n#{d2}2`=2lU)s=wp9C6quzMb^Nd4j>X`RbTZ8g+o{kGTOl1l@G_ zy}5zjrmWD>Ed|S!RZaEV=XFb;re5^tgnonq^auY@C#5n=gJv0CO2>8jTx&qKe-;hJ zcY;&Z`(^nWwXOGscR2>jj9+e2wsk@wHk#IfdKSlLac4<6>*7BP0TOy{eJU{Qab1?M{4rP(f=X@YuB~$!dAr{(t z+nO|$bY`q;xxqn}f%%SS*g_1HlE~&0o)%<$G+#5Hh!9Cb?;|ZPGyTU2)u#Zw27fA4 zwK1YGSNk=W6}P|x9Ymidc@>8GN}u%z8V0Zc{=u0 zjd^Ee%{PKtEjk9PozWzIG#tn2{Of~5tWOatLr&6Q2uHREn6DwJeWLN0_LItM1D@~g znzfr*A1{7OH=VaKT&0+Pz#%mY2J{+jIHRZ z!;2sNSM*`uGHL;2l)XW{9gaZqFgE5L9nA@|ksORJ-)t)z)(rlZuU27v-}L3hMa|V|PL_Ml*+Ts~-%<9?4!}icz%=2t zR<~UHz0!O_Ja1!C4^}7$V(# zv5*mu1k^|yo3?UFMVN}1)DX0%9`**lUQGl zgDHM{CcZE5PXKh_!&$Fu?4;o)p$SiYqk0O!-vPK}V*m{`IP}+jh87tRgV1!2UY4!<7hR2-u@|v5-mb0F~NcB$+KT&HXcNcd-CdnJT-rr==HaMKJ7E-89 zUjGz|DUkAkL;qNXsg&TWX_O{r6_zUe?Cg9M{Puudu|l{!{Lb<#XDX6Zm;x_TSxPKw zt`-jFcowS6h8>jXEs@;sEO(CbFhM%}$kahsB&^`DskiE_)=atJ@1L^8N%=^6A7qTHvl(xb^ZP7VJ=7|^!96T>>y zus9Y3+lbIzf*B7zf|;lQ-?FcPu^Im+lM3V(q@4)WRl2W@VTow-Yg5B{ve#7)M!hAv zy~YPPr2uvNu-Zi-%_S$kC(*)WOD+U~v4Vg%`Ttb3{|g=b|LLPr)7W(3nqPkqYhDoo z8!0=6husu4pz~=rTmix*imoP$6Xk0$9M-RiL&Jtd)Gx+>hMO`0gqf~^lmwOxt^%Vq z8u)k~)3C^Sdb8p#&VD)z!S2kfBsY>d%>4@NSvoijTOX)zedxO{RD*?OPnl_55HU@g!I*7+tKZ}@&nJ- zpZgPeio#9ZTLHOx`}?=+6Uw(jVFFZ{$#n2nn%blqx9Z;Jl3*;ssvo#n-|P2e>1`-$ zOU6nrn=f<6!pib3!p|e-PD=}>#yVR+bz1tW5H>Gv$m_LLWoyndyTmkC@irSaLrW|W zZr+4Gw8{wAq15@g$PXT_SGUHCj@rvGQaT^D-D|i(6Vc}~Ue}k1xWc2CTmrE5hjj{? z7EZXFwgJ+#+Q2~zC6$!ghqjwJ6%9Oeh>t(+!_x*dpH%+((=aEz8?1jn_{}f>zQ)k| z-Eg@@8D_~{ad|!G7)!eg-MzBG)|^fKSv8Nj5|{Dyd%;HTf`a%QyF)Ld>8NG&2Gw7| z*CJQ>A^E@fGb3$s9V&1z3ps}@gAcFg(_H~JfMz-O_r)EZ8e+}Wwz_R5&Hw`?5_^fC zJy>3F23P27vnTyd^P@`7e_cUtLry9rFbgY|mdeX1YAxE4qy*dDDSkf&rVT)Ofz-{4 zWBi1*!;h_we8*3c+$fm$(*(`As#FT+T!vQEyUhf9Y_-zWSd$utMj`8&nk$>)hS4PU zq<6~&+DZ*D^Pk|GZRq-2&0owNy(iz~xF-j$mS0&DzcGT23$0u)Pc{+fLo)FCO7j!) zY!S3%CCOuz{xX{-8-$w>?q291Z-Vi%w3FDp>MyuX5b?JKbyF zGou z5Fvx|}Rl`;>s(+bSPiuwU?YS;Tn+bdC4$=nJxN40I?4 zL4#ZcC2ulbNw1-U@Or8uh^BnR+x9#QhiH! zEpj~`q^9918lza3;esXTNsg(OrLPyJOE;VP9Ap5su)8R?;%Hfjh$vJ#)t;KK>|Eej zLS3~Ng(2?L{!-b(Y}I4a^ir7&gLf|1DsBWyDA`U5e~3wU-ev-aV~2kpT%4GVYau2k zA_eD6-G28}2k@J$krfw!N&bdD&*a-Bq0BJ8qs{u*z z%>3=DO^TOPXvsff=&AND|Jf+ za$802B|j7J1nDq^3iy-;t^rW88MbNPw%n?f?*iMlss`GXVHzQPjdXT+P0fct7|C4{%k%7q`UVeLGFhr(Wx7?!R`vSg)*WKFs+5KZ+RnI}S z0g7D*c}a2(T}g6)bvIPNsQ#fN;yB=T8(c8JxeJVDjs{@l#Q++qkpjN`{8Q0m^N6Cy z0E4dz%J6d)6o9}t2P^eu&VGGx#4g8qx@`!ed)E45Hy|veVES3DR0pqT^c~r9E=%)K4XR5&cC!FD8(GA zx3#4r%0Jd)OBpdgUp@c}gIR|L%Pkft7k>KRjrkslsc62gyerU4 z>?t;TEk@a~h6-dhV9$SkSEuc%_FFvYr{S<^Ev6!oUgA%?$(7|xH^>YM&Xso84(Mm_vhZzL%7 z)b9k~q+kRyE3*J3TDPo=EEfq_Z=_WAq!byT<<7?q6)Km_9wWJgDoANh1g^aCl?D%c zJ5>s06Qh|GGOdFhv;p1R`mQX^GE?;}!=Oy-CqhCnqAf^8$4_6GgtSfWw{`Tdv$HmO z1T9wJk(8xNWlHx@{4A$eD`e^|9xGn<=q(!gWT&FRv~i*`P}o(!*Lv<{p4d4ictDw1 za(ffBe2^x@)iuR$9@e`MjBF4oaQL>VyaXSnm6<}BQuo1SfmX$$b0WifwNAz2!+Raa zyiI9JQcurYz$FYDo~^1XiS!tWTQazAUIkvME7{f1pZ9op4-0C(*%Ef?rxDk+$gNUn zp{6~Us>fF7jseYYT7aGYcID?&7}9?}x-}$EVga;Vfp6km|3xi4N!lOHt7zcwqC3)$?{e`#+jhzbtdq_$>=OS)9}IJ#RGhF6LXC zzu{x{^3Bfo3n+IO#qR^a@B4boUkzAC12S{d_aL)B3Bdvo;SzWYVD>vXxlVp5>Q-%K z2wZJs@D;fBzRi^0bZVN9_^bpI`kZ|S-PbQ8U#e!67t4pr&(8*`6!NL1d&Dh*iY>e5 zgTrb+z;=4Lr(2w;Ty1_aC$!M3pcqyCxd{pQ3c~C>rpLbcGOI9;$v&lKH~e!cN$=}f zZWT9SU0^xqmSUEiO^i|4zknykjg{2frt4ObrgOkumw$b*FT2&aFxj+}>M6o(5UIz| zMS6)JCBU7kbfbCgoH`=eM_=Z&XZ;L}@Enlt2}=r<2GRTwIj5WQua>}G>9LRGqaTbfmg+8cHOob>50)VP`_5RW?!8ux>%;{wFL%F=9We=(M7lca5f0K4k%5H}oW##ihvH6Qu zl?t!#okKj}7LJDD3GSh`+Hk}cJ+A!yr@v5+^I^>3ZY<@`)I>6{2l9m{j_<9z9J<3p zfq%G~k~cXRZL@#VU=kgq(9{+?*-45%tQLb*#HG*(%+!rp&>@YL+-4BQ?6pn}e2^nG z0U<~Qx5}iO0GFWZcBO_PZIqs&hAUlgV0izSBQPO>YsGuZbd+}tp}h$O^0=SADHeLS z<$LN`=MK?=>RG+{aQU{N=D1dsEycEw&EhSEuTZH$iJjrxnb3h6M78<4xTHU*OnlFL z1e+;shZP?vfIar*QVQ+}GluN?Y^%F6%P9{$A%29gK@M=Ar*DYDqrC@oj}26#I#T@S zU1um;-Vphc7mxc+B+o-QNunZG->hJYX95&Q_4nq6XZdG8zJwUlIcM#Uey0QH+S8iV zop(m6nR?{HUYqnFApCv=5U49wvx7Af@|@4CuN@bTTUIrjRL|9Cl(Mg(EN=1FNZCqB z0xbHI9roBJa{8(8)8d180ez`~ZR-Octq)@jj56 z&#y0DRT?hDEM#q+ikvEL89o--Q2CkjyOoy9<^tTP4N2%^N*ixb>1IYm*uZ_55>rF? z3rj#eJt`o)Yk(eVEq@>D?Qcvc{oHQMSB%z7?+(iyTl>3e*qx4gxbVr~%=z zpBj`8kiQEPS{i!m%`#4*|jE|Dx_KqvCqD2T_PX2mul_I0?bs9YS!I#@*eaaR~$o z?hvGLcb7&J+^um7Az0(?Fo)m0Z|2UMH*3B1{%bzG{%}t9IaPbtuG&(y&#o$@r~2o8 z0wW+e=m`V4QIwGp5JI2I{hv*}`+>Y2#Pcz1t=D;|pI76l?VV!toU)5Jb?1S#= zDeFoe{$ZDy3pn7upUm;1^0drZ@z358VkbX&EN|Yyd!XhWWZ<;XX9lNaU_oWB=RiJS z(R)EH!W_ROBpNmXP;ml;2JO%zaAGKLk%QR)EO!AgTVB+!Bi~@xlFM(NK%~hV^<{W{$*^ehxcP>aX=0qJ#%IeHlSYK0aM|Ac3YX@(OQSKNlda7k z)UTA09VJEJzqF@MTW7w_yhf?`b}p%V32v+b(cqe^Iu<$|xk9aB&R53{{3MTbc)V;t z=lM!0z)1u>HGtV=1~`vGh*C&Acj6)K{-N+8MJDM)JRx*719ekMhwe+RZv@(qS{cOX%J7 zHWn=FfeMbBxsQ^wH4tGU0nL)xl123f9Da9PzRGPPlxA{);xxOt+~QN@i%H+fnYO&y zq@G3P#`wBEAz#RZ@0*MhV0k;CoIbeLvNh_rU_>)loyGzMPE1`+JBaa(Emy2lGou#wC2UoxRLc*B4op~Cm}<3O z`iw&GK7geuExJ+ax!pJtLjUaUWIbs!;S8&OrD;7iTk+gj5{h%}^R?M*Kb^p?sW+K+ z&Wm2vg{xqc4Nl^{Un*CP$i9-p0pfSuLiu(BE-ol(4J0*TmW9SI4zCZ!*jI?T0V11i zcww+D1YPKbsjP1`^;TvSr`j@x;QF)fND-1GBX>_x{o z=^0z38}cX}uLNTnx=Bhxp8RDff1V1hl<_CpIK~#N{kHN-Xfkf;&xc(fpOQky!fcXd zV_q4zvf**k>zf77ab@;M^^T}3ZNXHqB*f`L?pHk_$A-p#O9cYJAGilFM-UMQ)u4vh9*#=Ulp4f*jInF;5`=L>CqRI0rF>{(P}T zvlW-Mu!BI5Lf-K~r-#^9zv+}eeS943C81g+Kgd7j-sS38v1L*dtu7J9Ll7yQEMq0} zYJ<}=bZRkPR{Zh@OU{>{7q_+hZ_S4!YDtPu%fq80qpZXy+4c)M!TU9`i+&`jmj!{l zwVs~;rU^+O>2)cAowd@BVzXgvNn`#oHi&i*5aQoU19palE1M7gwgd#O9oWPxixP8m zibvBlKlXm?zNdRrzOgy7z1hUg|5b4~srkWDv-eHrO~GlUuzQ|nQuz)k`yFo`-Fj<| zvq^ff@QkDaJfPfsdPqycfN9h!i%uG>b*VjVty-UUnab-@fi!1v0fG>ex!y65jx6Lc ze%_d{`$)0Zl2>t=?G}8l`D6$?07LR%(+CG`lHtdk0vhU!(Nd3LXai7hbEHEoDiA<* z6)9Jawsb7lFEHPES;&#g$`;oi=K}rUqXf4hQkCJb$tYjdJ}4%+zNrVajUjZKJ?ivq zJvMR?jYiSM&NmO%6OAQk%0hbkWc%Np#pCc3TUj`=Coe=UxR~mwyF>>P#Z|zFuNNK` z$e_<{>oPC?lpqmI0n1$)XKHolqreS=(_uH@_4yVhVBK8VTKDS7M42#Pzf-dWZA(&X zl6s&i!019#Kj(bn>fZ{(Q<(}{37sxgZTkYp%pc0{twh7oPNY|L=lOiBtOO}h2lVhK za2He8O(huodYWZtRmVS!4qO5+4AM$(5rNf&%>D(^5h(o>f?TIv82v_wc)Mx3kZ9`pUTpMy_I0?>pM#kT`$hQN_{ z3zXp(f696kS$TBQb|y;8YbZvgf;Z2WeRt|Cyfd{c8SK4P0b5EZCHiL z<*6TKof{S6n{zMQlc^=8S*H5Y7++aRLIk+HUo5T)H774m|90m?PW<`6E~J5|E1dK% z-0dT$w|_-d?+)~mvLF^dYEQ#bCQBLJB%Z}3#8FZjDcyFBXqYMK|0@$d^fDPrieMlN zkAWILS#V&SFsgzC%*p1fZPLm-jO||MjRpV_X3637U<3!+g`I^%yuaU*ppk{iLEx&r zk6?t9Pooduj35}I&WqQ0Z)SdC`lvsR7GD%UNBAoG|0(ro-WVmE-cQ0ERahliE%rJb z8<&RujmG;bW?+XSBNSZBDrScuV9z5pBgYr0DC+%cU+8g-KKvy>(Uz>@e%j;c11@TH zm1L|@7#*-r(*2`fd~zBwRs8($p4p}8z3(elt`v{c6&btJdMv;@@;#{N`JO1?I5GMF zN8o$%sc3xX?UVF>m}Q~MpY&${TxPB{%uc7LPu}@6x(bn{j9-yzTx}S6Ig%`QxeTeZV|TkIE&q1m z+v46*w5|M^n1#$}AAhwR#c9Tmh{)|hd zE(-Y~#-iq<0p3K(<2((PYk2G2LNLJpWif{k&uzcO%~D!gc)4`_q^*X80NUtZgi1Vv zy>qnd;1Sp<&ugfJ$1>e%1}Cr$I#B@Q-OwWt{+o%OZT#+zfcETT6*}Y^q$;K|rG+J( z*v)$ZKDMy1ONr+wJ+=;h|lgr8$u#`mzjuM``I=MB0M6E6{*t4QS<=KW4Pfr?` zO-)b}&GsVWbSw$+kL;NuPa+>u%e#p+3rIAj%Ulna6=tiC2Nk5MNZY)`jBUgSV3->P z22e{Kzd1r0;A(e;Rw-?9e;a##{ux+<(nm{5TpF8H8Ex^wEl|Y@n5)7k9CIt$R%iTE zf`g}zONypA!ssYsQbL~)|5yxJ4c_!#9~0+HLmRF-t;nTE$&fmD{e;iq#Bw z92|$Z{K~O|6niR}Q;U{jl%@=&j9WhE28$9K6rvJ=C%xxYo}EAL@L^GTQIv`+BW&LR z%<#+@f(!TA-nm)GO&y4f7lrgrc*7NT?-lNUjeHS>?R?J8F=kjxKBv_BelWP7Zngoq>O!{IpHM3V2L* ziA~yV$`@f20brLMBMAt$Jq`}%`mqL%(h5VN8|={KolW37HiS`1n^k?yMRBI6Qu z5s^G5nAf7sE`JM~jo`Yd*jt8KsnCxD3#??&Hh`Nmfvp9lbLIhg>98@J$-roUL*t>A z?3ydHJw3jjHzkJ1naB&Sf)k2^E&5Q9J3#gE0S zx8rPL>dpEC`a_wQ5mD4kw&Ah}u7LaeDdXD`uW{A>e7DII*@9MW5?|tsr!3ss_F7Ni zw7>qJFcVENXE+-@;p%_X&-Aa1nKS@vlU^Z^gN_$_ZS-8S)0Q#^eeR1WF=L*Iz6}B( zAlhL?T|t1c3I&|-Ngv@39{>-VktlIh{&!v-Nx+E;D03T{9CULt7w!_F^2|ZSo1w~y zkBop%w;OPJ%h)o)m3^NS`}Kh^*A9D^W#{(+iH;U@w$so2CV*yd!)5;u%`R}=9+bu% zL>TnY_prBRq;Y6m=}^lIE-3vUaM|I!er`*Tw=NrVG>1vG^LsAP6LoI? z<7mf25&LP`oK@YBP-vUF*OVXL)Is|pJmOY;oBbgRVq&zgIJGPrS-d|ft zn;yR*ybUXQ-T>1SUGAQ!Z1xSGriEJH8Sgz*wOfvv)dCaaU!NaScTu@edDRw+7y(ht zJd^ZxMcr8!5hDDKYLT5P!-xHUL=d4aE+D+cobEQqOCt&%@ zP9~-{d);sOKRCN^PY!c@$LC!8LTR@GBbi zhPIa%H;mTvI9eITJ3{LsQxCSXbmKYg<|rNSTk{3?(RJi(hL?}HAq#D%o*p1Ow!FWe ze(CG{#NV6*U|(7yt_zt%#bgu>2AxP$rqaJG(-b`3$V!q$r3bh#zYV#rTcg2qW zGRN{z$tNZA8BaNpXlk4~Av!qG;>6!e-$hV`1-t#6yF&M{rmzu_pb$OwYpi^j$CQ#d zEdh?M9%uyG*b6fpQQ5~@^gDp@?Z}2hnL+0{^0%8?59>a}oQ_n0m4C<%IOS3*L@r!W zUbb2m@ZnHA^l%eV_!+??1RpN_-5!-3y}N=Gjr{uq{3}PIJWL80OzfWjcF$66(x5Mi z9p)|F(39J^$~AIDrIAda9z?2@r3&SRR9qAB^Ns%~d3pI0gS1c_Li?q5x}9=;q??#? z28+dfI&)?$oy}BgO-w8{MZ(UfbI}*bhwwbJPJDB&8j5dbY^K+rHFG&`p{ik zQ@p3n+QNP5ZZtpw2bZ%us|zwIm-c#euw_RmPqHuV`O3L$+(;PjJ%_uD?cz*67^m@% zT@zI*NOngqQm^l_cV=nnXW!T|K9~81p6Tcgcwh#LCtDe)W&Wa=!e*w2@S1d>)cn>e8YY-QFbfW8O@6VPGo*Kk&z~zdm2jPfpt1HZH`tNHuKHXl~

GA|#gw*@C`xv-Wy6oBLx=Ej~ojrr|9(~c^7J_9?+8O49xm17^U zkVRQTngr@=AI0VTqcJyg)X90dB|D3JAQ1M!k}?UM$hj zs`>X2%XQ8RyW)z{5h3TE47-UkR37!!IZxARFr^&fqvbF(AK^_7x&}xl5=wu2wPSqf zGsXiBrV=T?%IBk~Tve;^Tbd2e3H6|;#kX0sYquF4tdf*X^N1wCK8!@`1URt1zFuk8 zXI8aelTS@BbJeHHI&%)#B-r%z=tbuKp6KSY9ejoo6`T;~10c4eTO5XHZDpN1im&ef zW%SQ;v@g_c@QTumUH19(>rj6zZuHjBEDfDemvGaungnb};IwCgAecP7R|{bsmj zrzVHWXkTE4K7GqgL4f<1Dh^k90(g%1|tlC3;c%!5N8SCF#rM{)l5E{^x@IphOs$(ZQG!60hD!n_CJoNV9tG_ zs$&sYXm-Urj@X9L_G4`81PXK=!W?a}7zBu-58U zwwnj5>fnTn4b=bxMo0(mwfD+G^@4=$A(S`Er7)o-cG1t9<;!D}ezW?7;dR+jL;T$;mk%?CwvEX^$ZG(2J?pZLyD#NAF zB}N9Z#b>lqmUGa$J8}^MILuSwc3)_bKs4Fm`5|oL=fdpNC!o_ido^5P9%aO(o*fIf z<7rN##e_16UWrSQ=}x;x*V!mMiw)B@R&4u+4>ev_ec4<#w?+FAG77gBZrZni8^iCs zUQc&eIKT07F=L0Tk_sI9B^#K6B7BXk-YasxROpfy_b6CT)CN@gX6!;`{X*Bmkx3Dh z(3jA&tE4Y|sE7?iUKGOf*_Cw9YO3ntJ?Mmn3}9-6DwfIb(C-Q6w2ZZ@fL$8}w4ch4 zYo>RCaN5U;J)sq@d(JCfW(=#(`Q%TX%-K7b`{Z&y+WRcDf7sxw>ZW?2s$zuaCkQ^d zZY59vZ*_?F7w!5T>@Ka`X7tkFhL`Z|Z({~&2i+Wk0cJ(8iAa>t1=`(90~8I!ldUH@ z+<>oaw~4DREh-hMseA8|n>gVoT&Ei?8G3PPYJwf9f_(O(cDvD~LXs$Ho~qeK1a39|{PHZsP3nKa#7|mV*c|EO9?L{4AANTZ(}pT(}#Y|H!yHD)Tsjx$O`6^sG7^`(q|EY zKe3;ln&E52lU70C1WX)ICB!G7U(uer1HlI237*08w*dDe5CT&|fL9Tol+CS^w&k=N zu{EVD4D#qRnq;!xf%93C?y+_7mw9fBO1Y;U&1#5dqtS-5%(dW=AIqcQ6-6kNImEDJ z(=Sbw_-jnV)~V~Ld2T2)VXKyQQ*iiSiPKr>1Ch5%jhH}|BOpss5!-j(Z@>i_0ZeZL z8wD~OMIpj4{HKd4krFal>;82s1^i9UC>d~JTnDPYW;?dUpk)3QjP!KDmw+L@viB0S z5B&!Wa2evxLI3PN|Jb@udTF~TFNpq>t#?y&*)pd#6#8=Vey4qx(Nz|hZIRsgHM*8f zLX`L3)jWE%6pcycjA~33Xs^hj{SC?y`#Rbj|Oj4_z)c>VRWS~ic zGe8vBbxUt-o}v4*msmFA{?A_$QqOe;pxQM3enF_=;EzxA{wETNLsbA8{hXvt)hpzX zIRi(N@t4R>2ICas+;6*H1~^vzMt$StkcGziZALAj;0grmdL%=1i;Jx3L! ztGha@dU%Ojx$U>X@_O_~$L)rd#9Bm?uG#zfNl_4T)6zk$ zog)z+7Ead(V+>OMze|H2F;bHO{Ovc>%T-$aReoMcrtf?HT;=0%zkf5+p||>Q%X#UY z92tq0&Pl#lrM+s$bGU4G_)tZ-uh?xS`Qf`bTogfQ?5j0{mo9sZg|Q_8Neb@=Gnpcc zij8{fP&>aRY~-#;drpfpPD*HHc-GyUAT-GoUSFfx#g+@bJtg{W`;QJsr^4J@R!mzd zQtzmG_azn$y;#6WT=rf$#Ud&Ag#z6e+oe1~UkfQSOqL7K(Wr1MFIHednHj%Cf8%8` zHBo(_$7wf{p4_Rry{`agpoFu6dpwC}+W%ByTRVw`HQgLEig zVM>a|0LA-N4^ss7oscoMrrgVLH}v^%--#y4n%!>suy)xQk^i_P*X55Qo2%s4BM{Q@ zx1f9uRd401mmB1&NxB;%7pdz)QShG`?=u9Xz+)4~rbdB&$s zP0A58Xmf2}6jmtf4_+kQ4ftELTA8WqT)rsWC#(O*GBS*`HB)TVJd-u~#(jkMr$1@?hQW(hrVi^ZJTn)7p-N@m+gX3{L^Ji+L$U9 z-bkGS`R|%jv|o$tgciiT!imEcAFm=?gySnSiBq94+zZ9k38F{nI4ou6TG~)mP$7-? zCbLz*F=Wa$tyxu+%kdc6;EeIdb=1I9L&pUJarMe9H=*%v2*zTFw_fPPk@qfs_|=+% zu~K7k?ynQa=ITIg8TiyG*8UH}RyW5O#Yr^G`o}53f%KJykvG z2h~CAS!7WO5nFcCj~1~;C9=DN3ZiCH%rKcsdfG+6xdn_1A6~CcTo0dvJ%iWh3L}s( zZHG}ZZ{C7-9B#>L_h!<}L!P(*Eli!RbF_-2a4X114#nWFcOX0%zA(?(W731l zA@ZrZDPqj0L|aJ<;7YRqwrW4}Vz&L)C$j6C_i}e*FHY%MkwJZE;slQ#*Bvs}#aCyd zDy&C11?W}XFebQx z>Fc)jzE|XLrb44Td|arqxcXl7_*RRPqVG%U6fsyxUV0>N{1h1NxGfu6H*B1IP4otd zU((O3*axy5APX)+7~$d=HO^!ukfh}W6u{=^x-T1{`(r7wgp1{O-2zXP+BZR)b+UyH zlh2lVx3a7rovP|VTjkRuD8IB4$z(y@u|{ROu1sAeV!3qr2fsJ`c~&gok_nCC`NEQ9 z$C&5cpph+8_?3gkJG%M0JVAl|(PBaOIgd#ibW9HL91oJo+%_*!@&*^UcjGWQ!A4CT z{;zH|j%VFliS}AkXygsM8ynB@`T$KzwT2_u_x_Bj-`BSL1stV~b~(h_AEIpRv3PCQ zm+8UqVPbt{!|_0?{umHp~PvR^~OH)Jm* zD2AJ@pXQ8o*x+)oncRG|n?WCX_n?xXMvD!X!1j>l3DL~KK>YYa-(vk1#2Y_nDSe$T zyR3LI!qJ>GS4jE>i5)Yx^u&6!X5pKXl#quPD;=LXeRJ{pZcAox2!TAJt9$6b#k_OH zrTw6XWHmW)n3el(Bj)f2>l+#>#TC@*dYA>M@1x`E>x=G2?5+>c(RK6+jB&JGv)cML zakHjAqagCe*D>rb2IG>_7<8;z$Zn%Ri44q0YYY;V(aOa9t*PiXQa5bwNv3y0jYo%Q z>XuI?!13QEU=a4i0ZBF(*`N7HPXwDQL6zY@pmz({EMHuiL~_gE`6YyGjsmr1w`IH2 z^n32MszUEN1>3KKA;7d)qv@<|P(wztCcA;?0cZ{L~P)!>hgz&8Angsf47 z1Ui&c%L`HAdS>(C(!7b*si8qY3y@ouVI@~oF4+A{KUa>HR67SRcO-)| zTr?IvjOHao!ViKR7&sPSp-M48m(esi2^OmCCdahoHrA&?kywyHv#+c;z-UGf1r>>A zWhtxgOyGeUWcL{NE1F|O%cE0}k=wNJj0pyqdTWv#be9c0glowGPJy}rGl54q%IRK;Ri2(Dc8Gf%9ek=q|6g)U2t3D)%D81heuyhhdk&bK?-R{@ zo^&Adei%M0xc5at#chuH>~=v^<96y*9I&xoL_cJ5(F)Xfv@^nnfm2|&HkD>hQpZ$s zs%T;an#BaMNoNGTHJZ@kuupgqtvn$;J1910y%LdwgO&EQRi2R@Jv4_gSj=3P{S6@J z!1m4GaXuHw11GM(HF^n93#?HK)IfZyCXiA?_%CIta#-Hd)rGq3Oy;VFEop6%=IpV((;P>hw=*FzYh?gZZDv_)pYN zjqx9-**V!6Svbi!xc_zI;3nhX;b7$czajpg0gIYCyHHE8Ftc;Av9QwoZ}2=d_J81E zVFn;`F*9-kWU{j`a{pf_|LcgJdh( zHm-m868HmPW#jr6zlu2XJpK8H+W{sJ2Ur%!FV6Dx@LxORtXHqeBchO}Mb9a#dJ@GpLPXhE0z<*>2_`^!Z#`zCG zLE!h_8T%h0`B&v-P3_EGEXY_`m|5AG#4T-HOr4m-Z2(xJrpERrfHeGDs$WZnwyMk6 z6AhrXUsi6f14nc9c*dyCo>L;>&he8W^1Sz&8y+OI7gsalJGog6`P+A}6N^LsIi~Zg zgo%1A>1r8XrJcpYb=TR*{@EWlkAmxq5%$fz$6g&&hVI%rmemyn%Lfmryo_}5SYzM7 z!{E(q;m-M5+Emvu?G!7zUs^{;i=Vq6co~=1yB%5~BQ&hu+P^lv+|qI>G&B(xb+ z>DzQ~ceB5r2Q5@H*F&O8)wYsJbJkbRSY4H~{~E@qwBsnia`Uo4hY0Jpx7QT4zOFew zD(Qp6-IW7)M26>XL*8+tVgVz;*Vs>OV>97MlW1x)5RXad$ouZMwB>_?H=-Sov(DC{}F0$bU5$oQh4O|WmlM{AhoMFlNG$X zKe)OWSzM1MT@`HAerG?bp;bT`HJ~#&7+10xDl9!@A%ZwrvoJBEz)+3v{qVYvca6{c?|Ydo2p*@{OE!mI8f!e0+LZx)CTyEG^0YW|P9HhUEnV%)P)aiM z2@lU9WNNV*)LO0Y`tN)C5yJN=&(7sL{o;7^_L=NA{ld>S zHxBF9d{c0FnRsVCptyX&*@op_3`AZkI$PboN``hSh-U{=lylc+1!CbWt@Pq`sHP<>|k&jAgHBb#zBCEH?x!>qQROK<6oKI8NRRXaZG zrZjx%Vc6q%^E;R#;FgAd3Oj*q>u*1(AadQszQX;llW^&yA$-sPm*^;^Sdvb5U*Oxt zDFKyrj`S?^Gu_z(awuR&4z({q;n5d7(P=`WFd^Z zE6zJn_o|q>+bCP{EA$Kh4NAk!1eVC@l>Og57ggKn7`TjzK9tipH(d3{q^3GbVH*{k zGF#wyn~T;zp8j}Glz8P+xd4BUN1d99o6-K?H5_9i7oK9*0hsVBO$hBsK96oGpAzX? z4}>CD4S+A$s$@;+(FV0cJYcc)>bL-7}BN7|EdS0ubqHT7G~nyBaA;_nZ%bqS6&* z^$Xeyh}?K>LJ}g%NXFaX58uoJh~cZa>4^48RjuH0%fJnAeQQVuYHV&8jy~#}6xFxm z%G`{fetoL*|5Fh@4-#fIo)|5no5JVGR-h4WQYwl$vc<}aebpD*|8XQ#!d<9Aov}(s z{aK;4a7KA4l?Pv6B;t=3tC4}WLTr z=Tnvwy*)pscJPMMujrzDA7MZ77J^&XeBM_4odM;xzx4q=ImM5>4rcmN9GTO^(cBZ` z)hX}V3=g0231_uT=la@zyJ(=JV$spOm&*e&|J1}@7U+wtn%;~pvkbK~QQex@?~Lh% zmw%f3@SE7$ChHv6%G{?}NxO6?lRzz-d&1cb%8Y4nNN69csxQ%?Wp%oFu$bx;SnJ%1 zvxt&u-eBlKRosBiv85stSrR`*Gq8NWXzd+IK+^BK@wN+7#xNIIOmy-{0w?lZ{JcEV zTY=rvp>?B7p;Y`txL`G2z1nH8Gg8MNdSw7=8OBrCm%|;|ZP5iaCvzvJQ4?GIa6ka1= zhreptX$BEs?cmo);iRvm|G0;)QzY@aWq`x_%Me>GzebIzKz6#0HolhmXff*tkFk#! z5h-^6;3PQ>zP6Hip%hidl6HnB`n^fhh%CI3Wz64%DuHBz8YtyIC6|O3>TSeo1rcqdH6necS*uRjk z&cw0$tyZ2aFXRx2cSGz?@RZ!H?vU(5E1ARYUS*_p=AM5oh$^LX#A5%Ew!l>eArUJs z_v)a;WwS~6CA0IAFE8GA+nj z+CT~P<$Mg^)&rQzd$YsRH>mA@%5Q*;j`c2E`_Tt|e6=VtGc_A{3ffJz65fHzR9@~X zlt)lhR9freQ(PGAElejfYS$YiMqrYO{}7I#Hzie5)uy=cICiiyR0{pHtK=zqq)Ymj zYVKC-m@9;jvTwb<^4;u|dq%y+ORTWk9%d}2!<G*$XsSXiB+ckUc11#pp6+*N+547XITL5E z*9j9-j+vmPWSSLNNC!S)e*R6LpCR`3(;`b!l)A^#>ufAal-rs03dK(+{6Loc0=KgT zK1k?BccD$`M7y~S7klI%-twggukt13 zZGwDvX&PW@l=wi@@ICgsOK!M0=J30y>;!|pT(qLs5Hh5roXy$*DY?i?`TmnW^Pg5@Hz_<0!kd?afy~I0m1BkUBIdUve{M(bKd~ zP*@>&e@mA{YZnwm*7|u%{iy=^wHk^_&-8L>=XjpatIT4`^o0(YU-`)4R@U|R!fDY^ z$=5SiT+h}BBaQCw#;H~2WVt2kY24?DLf+UgoI z@Gj$U_V4q7KoQ}Nzd}`mb}Uql=6TBQSwdQEN=t=2A9lv$DO5T*z= zoN@c9;#uYuoUfpzuXsju;~&ZQcHX#P0KrkiRqlUb)(ay;f3(l{jdF@-UmPX|R;pcJ z50cu~D6m#SM{#e|=r|9;*OOGN!*LLig0zc|RLGM>Xy8iGu#bx~U1lc@CJ*c3YepN? zUT;d0d6?|E##JlmYoo_}+p(-PzsHAJ)O~s(_|Tim^I$6$LG2hLwjBv#%X#fLe*vzPq#j39I$7VN^NM)r!E4X zi7%;|-H;n+Fhux%r|VO$l+*fA6dTiku>EY^OFG-v2M~?Ma4KvqrSUq% z2(kO@oC|HGtt5k46;;^;6R&VrC6&y+sQIQE4As-=>lw|9N{DFWGxU!kQGZb^5>#~7 zC3z_j3d)hh#ya1SSBsW@B+OsC5`It!>U-`s-|&(zub`UaY&g!vL$jSuw`h|K<(<0=ztOYOVdwdaGL7X)iRSTapPzBYs=Z=&nFNqpZfjiIXY=i@h5 zozo4Qlt@#)5jbou-@MDNlrZ0S>Os&al6flFtnfpCC8UajnY>>XccV4a&B zhry*tTHr9POxkydr}@mP^Qkj+NzG7K;I8QcGgDr&j3wWi$5w1r-#eYdK4UkAqi;AL z__uIW27cIt1Qf*d9k3T+K@ttR=~W!S7ZkcSV#m{_VeQYB%) zeqP@EcLH|Z68w%SCItDmH_z=yWGfyC7g96(b&VXO0cKIs+oAJC%yhlD$20k4WX+T9 z;)mZ-2UWQq7p}{{@hs3E6lD3fW`a@DqDS|{iRx<>ctpj_Ve>n;{DyBE-WO*ej>}hV zr_+;+$B;`k$GE<7pk%U9O`nfEMVdg`AP9V>3$KHmYy3jG7!PI%(vaEU4041_*QKkc zJL-Q7;GPdKK-|}`Q_tn{msn^eSO4OaO{yjlK`1_cP{4e#oK1GsF)fNjim_`sRESeT zr9LP59;Gq@JJN|6Cuunv-b~MYn`s_5F`*Z#~@dY>7CW@$q(Kn>DBvb)DhGQZv zrM=Fk+oPWRolI>9kyQN~zCx?A-j#KuR_1e?rF$da_tJM2=T|oTjM0^5P2F}}oyC@T z-DJ(>Q&-)lqOvd@X;I5$+w+)~9V^rfe_qHJPmZ;HgBhb}@lTah?w6uh4HVeiiu(j~ zB9<#%LRDfpcFyC~&@S{kXx^R7Yw4cNBg3>03gCo`mUB(OVkSe&+tEb&~ zreJY*9O>e*h)H8Yge|Cb=kfmA3hMe1&pzDi$1YONnC89xPBr z-6XAo&q`&J-rvkw;_K#dQ1H6~XyRl}w^_yFY)?@qU(>Jkh0?uhcI3CFEY}Q!p1Vuc z5I*k-U#Y)`_DEf_i)QtoE|{kZz28s&zWZvripS;w^WtLBWcf*+{t_n=Iid?qq^(5w zXW-em`d#Oj3!|48UC(F8&n5U~OiFyDN)srNe@{lW*~9Z+(4Jd1_VRyHb(Lvmf8o@N zMx&2zI4X>8393EE{9tM=%TDW1)}+=sWa$2;lxDYODNpn|FwHuQzUY$ zX-}=8bL1YnbNBm=Z*>4;0}Y`ZGyWU5vNFOlck@M}h@h%(m8(~mbB%1-wbftfi|K#G zS;{I8qbeW~Nh~lsWkFLX7n-`VtqraB*eNJ*PCl@6gP-wd`3z>5aFRX}Wq- z?De5?WG|tP)jWoFFS4p3cA!&GBpRA59t!0MPB*3ce5)XYv8g#!Ac5_d4WgaSSJ5hy zqjFE}00X54q)7>k&t0&vx!6sWb6FlbX##{1Fc8^;Q6tv?(YufO$E%ZhLxv&v zy?I%t?CMEQ^5P5emesX@@_@viM6`_%)aeIcp-Xme+2j}w-n04vCY`{#zsAL9AM5go zeH`=6YU++L*}{&7M43j?+gz8>dbpceuY*K(@_mph-zzRx&FeKQACX|+Fo!cUYe&9r znb?c;kPhtCNTTaJutULyxYlxXI;^QemZ6|xZ` z{p6o)rP{ZWO*hc|OYIUv+BYaa8Q}Ck@t1XQ^|pV$#=u_qwHC2wu&Y7d{$BGWI|_AY z55KY6gFVBA`~A*bF_qQJKW{J&SmOL%$&|kjCr7P7ac8@!{eE&Dvdp1L7sod9cO*pC z#pWb^UZ>1e;PUMd28yY0ddS$LKqM43Eiqi(tU9p>$x4J1Irx&MHRaU`HiBA9HdK|| ziYZm~US{S4_gydKVp^1W={<@TlKXNmE34Zn#P_X3>2_z_ ztFi|UhT`Yndw=JaBIxxZXG#re33P6J`=d$F_`E3b*GvL66)FAEbS6nu#7gd8H0_(Q zQqj*FC6!fTCJzLK5^9+Q#JUd1+>^bs`l=EUS2sDlRg(1)&AE50&h3Ad8PgY6?MZ&l zQ?cidnl7r5w`Z8-O(xTI2J}k5aGS!FNc&PpS@!%})r9uPS3j+DlZ}2Lt-l&TSQ@21 zqM+5Wm*8a(UC76u@Rv}3Hg^~9y6UdU&XnHqx2$Y2o_e65n7E+P?T=h}MyV!DzIdLE z@!F%uUF#br-*U4!u&@7|Z4Jg?B>wUHN2#1-b-O#Ma9<#@u$v)|MjMeDCsK+)+kG2=fW29xVnqoKi=?9-bQSvj$^0Fwiu$ zME3iw7)~N_rkHt!nc2|dI$j>m`0a(3Fw}+$x8veZ>`Cq$%RLH(Ro(^vQ~9NjW2JZY zJxX*tclqhUf7f$cMFi^!!W{)@#7FaeCAjAUBQd^BE55`@TFK${cYTmqmfhJg7tAL$ z(HMRQ+THHub5WZ{w>+FVd=p|g$OG;bB?oq$EwN){^(^FF!ur*^^>F80ilMDeSJ%gC zwZ{wM^<6P84tIKRlCxt8@$p)IeeaPS-Nyb=kp6@!(bYMg^w#R<_%71a-W%WOJe~5i zKVwsD7?^K4@b}$jf5#nIHzi|mQ|TMxdr^d2DN&qmL-^Sn z-G8B4+D|qYmxU{b)N%5XL`cT=!aj(C2%4h7*G-HU* zT;4mOH*FYD`o!DPHs3GlROfnOz#KvJTUPPu2l~@lvg~k43FS6n;6SqoRvT`CAq8|J zkV*F3o*=ry^e0X;Y`QtyH~0I@dyQXLa(t)FFnv()@$Q5J18?OSzLQZ=4I>||MF>ku zwN?^p{iL^A*WAJ%Oqpg*M08$pdytD?-5gL9j%zyPW27L&Hu*Xy()!h|eAF~@Z1d7f znbM?5t5C$ad%ejfRxYLEJ_6>QDl~60=b^WUmPIa|{4Et~0~bLnz%hbe(jVVogGK4b>#0{u^)a6r@YDz5DiTd$w)cwr$(C zZO^uC+csz0wr#t6_xje_vHuq<&WUp__NbeRjCw0aR#fI&QS}?o!&-N1OSm1dfIX}F z>n~+d0-;DyPU<8Smw8qCQ$ER5oufe3ZZpTS;qk(apWdv>QrM zo8JZWP_H5-4`Cg<;3Kw4+x&WV_0YN?2wR66>>o>PUq(3>DGd&17~aGO!_a3O{8!^b zhq1ypOVW_S^Kj_;z>LdbCuaRy1-#e5G$Tz^0kQpA2gIhWZ|gD$$!5T2asf$lI)&^5 zM;wtuSZ2z1%!V0RncHtOeydf+<7Z zl#f-yKZT`nSM7KES$HB*f@fw7MQkZ}gVbz{eAc zA1Ws*5}G=+uW53qH$d%{gNt-E{6&%pJ?lXH`;KsfQnW_dY=b5HGmEY$P;Iq#S*~rL zz7Se#zH!}?`%_#!RD8$5_;2D(lebPm86t+Y?k}6nLm9Oqaj}>BE7M2<7Wqbyn@}#| zLESUQYxC=VB@guhkYWvS$9Pl|tZaV|NAnJ%or_G?edp+2_vk;od`#8{Lh6B-e~v&{P297iZ7F!p9cnn)<$yo1Unn0G8c%aLSIBMy=~v`W9j{&8qSm zeh-_oQ_dhV*s2a;vy@&OUKZGuX>TE89**jy9x{yycOo&t+};7E@%O(tw)-97a4(? zy_?R#oc;AjmR%t6j)~hLH9c}W2@GDx#|V9K1(H;zAd)k`)R3hq)+ouIEYA?AHHJDD zARlq{->c9*hCB0oX&xOo3ZY^|a}gKVp%CyC*j`gTN4cR<@t0I~Gx8_mZ!XO;9S|Mv znH?7RwR*VsS5!KNd9}FNG>|G&k)=Kio18xiL{qJq?|@1%OU`oi1|Xw7!v-3ST@V#v zR`4g+ZIwckr3y2o5;C527=_Qr)!vUH_amENNd87w8A%gcqTO#xpGF?RK*q;osl3Y? zowcqm;t)ohaRrPz!z!9m{k>~fnMqzywpQ%&ewt)V)r223RhUf4K-o|Uf+W`xOSG`9 z79(V_PO~bpDAcBUz!6YoBc+sbx@v_)2F?kE#PCz$0H$1lXq+=PbS$6XuoZp=1t(1} zee`95D9ED*00)$*@4U8F) zGH|s%Q>8@e@V;2bJ=+q|V7DZ`YGNz6^xS230$-5P^X>GCQ&R+j-u&+0?f*)vLEpd= zL`(%3df(ioH{-cDU7IN-l!)^7(yis#4&*7S(Hjc zYpii2Gd$Xy4!?ra^P0H{u90(C>#4l<6hY^W9ObH9DA&s}kxxBng)Qb@fIBap!M@coS+_5~d z?qq@B89Yj9wn1Ds(&u?4!h@}2y zvWKF0%ltnB15`2}poepn(9DcQ1<1ujAH#cWWa6v%)zO0Lxb-yI^&*FS;1C{{A}32< zbTLkXWMF^g_PR;Jo#J53am48ee*v)uhB3EO=n+$k!VtPqw(9-DYMTp;l-~>DF+fjg zGuaexnC-UF{+9hKfB@nQi z_{HDW)7=dDbmkwC7_$^6`a`0i@=4R$GR^jnyWpk=N^SM~(nOu&2=n3r7pI!A_QRkNk^ zz0Vr(OuGt#B(3Yzhz=O>u8lco>O0@wh&BL%xbCw*V#_wvneW4>CGZqylC$NEW%}c= ze!aC}XZ+LGwODkfPzcisY+DB63MMaLEF_h4%{y5QRjPDtMdW)5l*job00Li~s!|i0 zVLd_E=hLM3X(C-3&Y*H03N34m0}s)NyNYm?#b!YK=k@gmO6Y-$n(e3k+b;a^j9f{I zfgg^63L1(}DdA=rD^~=*35(2ihWUy<;x(Cr7xdPHzT^(|N4muVPDd03L&p_MAp3Al z`C;lLjI1`Wlj8N#Vljr+JysCDaVd9!AMw%#EjBxOfIMusSQTC_t8)dJA3eMR{kY3c z9zvOloNd1fw9{M$W+!RO^06BPHm;qP!TJd2dD{7;BAx#)XFj82UM4QO-&0oZT^WY* z`qL9xmRP~stkk~*9hkM7=>?Cui^v>)lOXNbPR zD|{3Kg!NIL%1bZ)=6#8B?REdS;KvFTNm`56UUJV6pfA&43j|OoWg`H>LTEUil3tt| z9??mCn|0F6g?9u_`=Cl1m&9eN_iI-9T89<08i?~OUA!?DT}+8OU0t=ALb+8W?=#s1 z1=Cor>2T3$focHtlGIBN3#Gy@N9urjUwz5}8h!bLk_oZKNuaGY9y6@{t&|Z??-ZB% zl;?W%boO=!8T0Lg17PB-?M2Ue(WGHFi<6ulYoG!*5Ir11Xm%*~n>JV3tD2WH6ZLjN zC3gc1Jc2PvAhZI^a2I83z|Q+my4)J&6o3S-15t+bu4P;7OU9DIZY*f9^{*rK zu>H(5)uV2qamXpnoxtxc~ z>E-6oK&hRRqTtQLo~=4F!d-hCwD&j)1oaFd;F+aKjz zscF)c9bTGAj|u;xO0&MtqoQ*kp`{h9mz=pMgDqEsP(k=F;$7pbOvhq6b<#H2JnkGh zs&9MX&~gTLBEZ-AmmhFy*yr-JUF&rA4B_) zutV`CgeEPB$`}B}eAET%7k;VPTHs4dB#kTuYRT#Y!pDpEq#8dHp*d?AbW2E#>LDJ~ zz9T^?R8xAa!P)()z~C-c{KcxNVqhBar=RA7+whSx$oLd=&#+e-HWAM`M&VdjLt2QR zCUk)C@Qc0GOENiVsV82qsi)i(2(ILDGUCN9@tWSFkZs#ABuh<7-F-#%8U$6R6XRfg z(3Xp&%TCl|tT#pbos6aw^#yZ{T3?|M4ZjEV@OBIjqST<8Qac!xXu)@l$Y4LOLtG>H z#Mq^l%Jn&^+?v0-B02(IT(C8dZ>p ziNnSj`f#!xEkVh|xLH;Cj%cFBGlUp-WzW~Azk}Mxhtojc0)D@c3pP(Ru7BW|pL~Uc zT!mFY+xi|Fj@zGvNz)d4zUwzr1Cq4RE{;IIe0m6lG%}uN6@)7ZI3LlY|4xl_^pB^L zojpo79)+)z-sF!Zm>s&^G_!}&w;0bi(G zQH@T!G__`gh*N_G>H?!#l&HTa4P;57LV!hys^lx&i=*=kSNHw*F-4Yk;rkA*_aXet z8MkMeF#xItU~XI4a&?*Jk5@DOaP)WHek-Ces3M{YaD0K7JQqPTpKan^H65;EBU?oN zNr@TJuki^ywf(082Hgsi^FqMA?^AO>Oer@Df=_XEY$#eFH6&0IQ+Zt_*kd0L?lf1~ zDs%7w(>J3gbJ}U)hDZ`YU z^EQ8O^AI3r4o;fz2uFl+;}1Xm_`ovBoKPDBiYs*7DtSfJ>6F!PloBfA0`9H`M57-t zcbk#J1uxmsxgEl`0vC_Y?&ewy)Chksta0(!)wInB$eKQ$-Bf+yDHnPBySfpvlq@p`s>U9^~aW0*hRJ+`PX*VUq=Ef2H$iVr!v`1T1SKby)PnqQEJwquH zcSfU{czl#2QuANmyf<$py4Vw$7?3xdY?w*t=5wcH*RNGax>zp2^x>)2QQq3q>ogya z1seYDGK9^_&+h)72Jc%1mz?_5lPl)0X!8kqlURaxN7_i1?0NL0q0poKHa3~(mIIB1(%iMR=_z~ zNoYGk5lBS0;O?!FXKBJ%14BZ_PhoA0*a>MX)}S+)F5z!{i{(z7V1ukO2jfGc%~1uU zXu@eLIVMYfpAoY>tJ0uv%&bqIW?e0~9AlJl{uq}pQCvI!HHyQR{v4xQoF18iS)-a^ zxy&H1Gp^5} zzMEy}bn46LKR@Be!%nI0#r_Q7SJa3D4^pM2Kx6)3R5TtkIg6)<}U&$w|Sz zalmSu9UWktwsOM8eT9k111Rtk)SQ}Km<51{v5vR0Nt{MVjLehXGyHTwa+e*BYMeBW zv;mT|1r~pBr*q`-92+Bd2hO6cU74E5*YDxqaAV*tAdx2|*(O_W5ep)Y6@a52)e*m0 z0;^{eXkPjQ)QTL=$c3P!rf%9nADfCQk7*OdrfAX^Ip8xze3OfM9wcT{8!|i{a>i< zf1u$1C*+;|KiK+zMcV(tb+u{#2h#ozGW`DmY5xx(|KE`Ie|r8Oc=Z28r2U_){@t~j ztbxT3Q2&D~i(9k&F#G?I|37T=|3#9TR)pmTjsA!I|8G$BPhvl{0D}L2*y{gNH1NOK zYC1YPhW~fAItFVPrhEE_t%i=ZR<0ZI(4r;%V457!Hqt6pF6awNLcfV%AddONUNkulJ~I}@Lhu^d`Q^vQ~{TQsU>a|LVudKq2?YiZA&5OH#cK0V!=lrxI!xpwj)iB4mbo_N7UL}uelKRWe z$1}E`ggL_)Tbmaa-H>WE?70TE=6Ah!0+HqZCMisyclCKG`MarlY0aOTRiH#%5HZGhoD`;HFu-x%hHXQ0nTEbqGL?o!v;3^|tdjxpLJKq6k=)UQrOyBu?;;cGKW zAE!c=yxF|n@9UIa?zXyAtm=}vxQMMijMYWa0deV??ZE=mH0jRyr)~%*ANTKwY2mKC zX8Mo&J=3^(?YK4CeY{y!W8)OV_n6dB9Nj*SUta~FMY!8)$<9>T0V7BH{uFS zwK^&gl8o(t%I9pwuPaoYCnFhub2yq4Jwa{0QF3YZ=xA?Ifn9Y<#1$#e4mh`Q+Rf9L zs~0thgeGeqmYQ~5uy<7&cR0R_ja6avVKgx9DG?`d$zC4JjLqfDA-CAzj@qwke@{hv zTKS(>8ZCV+M55j7FehCGnO{>rN7;AK<8;m-(8xLpv0p4n)y1GGQYrmzudQBk(BJH7 z#N+AHg7z=n$_00N)W%4E^Mrslawb_HK@|&PVBJAVzrkC2k$s% zXxJwYj{$>R4ms3FhNe6niCuQ^N37@_9@)@-rrKV(KYLlB8is66vUt_w zm$D*9=;sgq>}=02!PTSVGkbG>?5ia9CGzW>G>{g%H3wCvTU*B`B^eiXT1P7Z=4yMU z$r9#X$2vLkz(RAgFIHp?f0gs`TuWlr+m${_S-dq`rPedN-KCVVlKrvjrrj}=pm)Jx=g_)!7%?>qi= z5N*!VYZ_!Ovq?hq5xB{Yf4sNXGmfj`$0v7AR zdWrBp;=O;P4Fh|PNLHvLIn~GAWK)FjogCO;k-{1w1xAbu?C~LNGuNS}m<&k{=}k!v zuS-Wz_^SvNNZV|%N(e8wpP@YLGLAS!70X!R0SY;eYkhA-t}nrk=X~Zp-bd zw?O)vbHcCTh(Oi*3l$gmDpx5gY=3LxD?X2wrM(=0t#qG%sCF{cWAv}TQrPz{t9b93 zHKjvxnl)Cp%CJ~b3m;~0hH&-bQ?eI8I90p9&i!qmof7s(=9C13L=UaagW2>CVvdK& z5Uit7PDga%CS`f+Xx@0nmK?pP{p9Si5fLvH>pJypqFh7j6zY9TSUN` zDZ$;F(SL{xf%|o5Q7o$dqP+$@63Ph0ek>t@Tm(0}SKQsTm=$Y>dq90XZBIW`Gzu z7Sk}5Qt?Ga3O^4OKDZBkB40x&^_3$H%683QGWZ+@yKHn82H_#hPO5};VPA%AZr*fS_hfPtjBN2>X-__SZD&@^< zgW%ibexV>_<>iNQiel!Y-sZHai9^V%BqYJT!%m&D$Jc7YACynvHX)BMf#Nt=WT=R* zV|xH-#)$w9-+~0!DP-v{p6ktH)2F`|Ln1Q8MdvH9S^71j4G;x6$?}o755Dn0t(t`d z7`6ht&`VFvj92hJFk@ie;Wyjh%^u$Kes=8QCgUsyFd{-qDp}OK#--8Ovm(sGHH_hp z1*k#6(fU@%8olg(f7vH}$EU^N?qg8D%A}8$MHXI68k8ptQ9Ed)m@#u7yepEuYn+J( zTFoEegWF){cLFp%jjD0Yji0X4uVZbwquuLaFGC4%nJvfB9z48(R%aAtU5|7uCs22& zc2Nqdz27g?i{-g7_y;)Ztx>(Z@$^GzK279Fety7W$P5Q9m3}hZFp4@ZET>zI!v*XK zP&QlwAy_eU>L^7R>f8jK2fd4Cfwu$(+KHJYhr8WdX{JkN0%^4FB#&(swWrcAdM$yB zDcxFQbE0?=T8M#R@FleDB;=MQRW24&BLI zUXLAE4k?vQ<5ZAx7~_`%I7L0t47Ul7{$ETmRB)2i-LJ)Gz&L=3tNsX19P4U)ZV8CSy- z7Fd6Zw_cjADS)uW0#%&;(B0Le^hIm~ly#D2nQ*1Rwa5N5&2n*b zRORuKctB%~Y#8KEgNEgdIFg-B@S0{rpjsE#9r0~3!_kABLQMR(VuS#e(Z-2ZQ4KB% zsyx5q(54iCnVN7Or@!+$Amb0)?N@k8UQop(^2sDPL{!-QEKLrn7@$;Np9BHrT)&^D z`yZv7UWDX{0Ji9NwNv>+9)0L5$_QB=qu9rJm-4(Hf_!Ko(~6O$X}i@g zrcgb*mQ=A8@Yz%n@$|oqq5wnBW+sC`t+yqYE%@^J*lGh2%*p$-!_8s4dQY_1;@s&9 z7_0*7cMq1hY2aE%)WBLRcQSC{l^Ti7)_RvZ>0SyjqVU1XmU5Z2aZul34=;aZIh8_e zltEDI-U+Ot{*lpkaF%#-{sYP9_F-=pJ%k`0GnC{*){ljzCD(0EyINII6$cUcL^}`5 z2`X;_$oahehfFmS6RTC8k;K(1aYm>NAMjlD$yykKB}SpJQ|a5ShlnOyTg-+MXLtyo zLSQVz+aubEwVw=j4=Te*>n`^`v+E*|Wjj%ipx!UNUDhaTuLoNK(@BwkkG*!Fv?qH- zPr+(VfQ6acNU>9QpHg`JZVtNKn89tf!I_?v5Bqo|?)fus14|kS3Ujaa;b&o|Uh;XM zo>-rn8$aexWmM~EH*pd^m0X70B>c7?R%xMIR>D|?ak;O3mZMotaH{~uLXz*h1{9!79v9B3dXTmJK~Y$ zrs`IZ2d1yH_BkYU`;E#Z8Q+KVb${L-u@3|{6m-1&iICH-xYrLP+!nG2FqVsT2?F`E zS779_I5)LO2*u&^Yc%KZME#$#v;F*dR*@f}Xs^!Tmn4Y_KqiSLoZC-A(BGd^oZdlTz;Ox4+#qPu~63HNzcT;HP`IPRQb=0 zBe*R?0z$RBVG8J4SQ-O$(J&}eNP_X5s&`|y?T#z$QAB@ZE+A_cgmDKA=e?Av`VAzb zStQa_kq6zf`9IfV$3MAZ%oE!nuB)7^xrUNAX||jd z0gN3@XE7y1<#jd!2G?f)p&}(ureg8S-1$c%^HG>EZa9G z5td39O4M4hwji$6|E*o#W;Nu54d_s#LSm4*ZcMw2-I$Wp4SS!kGzHKe1>*K!@D-sAGU%tI$jCu^ z2I#Hk7t){9Vc=WZz86-6ac!SeUHu?%O6{%4?`lV{I@Y<2!S8oNk(BUJH zL929DIsWa{l?qXLOX|j~@ux8SxK!w5KfDEp#7nSnH= zA@42pvz*ckG?#O#a>NK-8bL^l7@75AL`ya6hV~za!>dmcKG?t_jlgB%yG3Fq)*ob~ zs72rqqw3kJ^lwPwoZm#w2tRwXaafgMlcwkgYaK@F4<{Uq;;$yByiHa|BezXRj##7LHiH3j8={hX>qrh zZAEO?U#60|lRo;%p%lY*P*?=Lp)r3$SCQt1C0~Oh_NjGgFMqM|j_+R7w_>n^W6cfS zY3=bcGt>Q@q%ILEmh4^C8I#qUNa9uP#YlQ`CTOQEM>P53qj*~`L&$m_DXNVb~btWCErM}eHo_nOBCPOnVzGf(`)xf1wc=ea~y}n-!9X2@F z&E$-MZkj;3M-kjeEZ8Q0CR>zz-ktd>7o;jV84gsEKbi=53t`XUtwHGS?r-10j?bp4dPGgORLgK6xF6 zr(mmOWwC%aKDf^0$#GUMl!HVP{UX;TP39qAH&eMTT9hHnS0|(d?!l)H$ul*G4SE$^!2F=A!Ey+1Q3|H$aH#nQtH_(1m1`4yINmkJU=^eE3{-x1*;lrKYt#0_sihGG* zVliX)xEa{7?q!snBb-6$NxO>+%vuq|*cle*thcaC3yem~Wf?Uh`D8X+fD$>>l(hFPX9h=i|pN`)}BlW@pCF!aQWRpMJO^}O|%7Xt92_Ml$N}*Z<(QvzF4u7 zgn#;?-zXzs&5)vvkZkMVT0{@qB2ap(A2Na|8dSx57x^jz?(22Z{!~jVwy5g|agN#c z%nSGZxaRHoe2kNlcg7^T6G|ltFC#hZf0l*fv29dm?L~651eDnrm_TpiO(`t>)Q`t&m%!Q8XNYxpGD zWy4*sfV^ttOwTywjN(0LL1JcUVs?e0Y0qe;p>1HHS<*DUw4gi{hg;x4AOmVZLF=SbWch0fkq$m_5@$Tg-Ia<%iZ3`& zb9^hXXC1IP_1k}U^4GyPX@^BTouu{8^XLg85t>^~hrZyOaEuOl0OL zC7G+mArbARJOo=S;agO3vYMK>+2o6wI2qZF^I=*IP^T#!IvcAM6KGJ+F>37{l8N!b zV+vkGnr|k$bCi3`=y6Tc;Ay4E+SLRzww8?Pk^vay>{!F6cIb@+NUvA$wFx^Pk9I`)*Pr7mjtQFYi<2)xUS6P&_o$Se=zJtS@o-& zqLiSAbwK2v5W?`9XGtE*3ZMe+$%A6q4#NQC3X~a1cn}zOk&?Rxl{2Z)OQmXQv!N?g z++7GZ9IsIY-tiXR9lx_B5!OqG%{N6Cu@N__8p589rgqH=VF!=l4m4C6+zKeRyI-UB z7lY#2by+VOQsxCOCY?op-LGMOIJ3Z#(Mqmv$KaOVl$o9C)oU`q@Yhu`d4JV^`B*^5 zat|^!HBz20tn4^%^AJB7HkMdBgk6v@EPJW?3><4Xq^mcamvuv!Ri=MgH{5D{YzUG*HKWooUM)Nr+gYx8%Qt+go zyggu+E;6k8wy-m6kv*F^ift~1hFfMbiTE*@jO%byaEjm?jimWTdyEZV_Vi7)XU1Zd zq^(XCvQ{r99Zle6v}?c>LPkM5-5L4<-1FVNU-*}ba8|*H&y~i2+&3Y(4n(g=l(jQ{ zU)afHzbEY2BkekclJKBnys|g*E`l?F@rt>7UYoIocj8CkYPzw2YcINpcay3IIdh!g zsN!ab(2$PL>2mEBdB>rNcX-0Nv0nH{D!+oXZwULwO*|2MMCftNB5`;IDUM){%sSZ)*k=POsjiioY9FO7U;&2MLonlP~8 zK-QiQrO3S+IDrY|z|+`K!jje}G+DIi9lu7VSSA(Io{b#p=Nmm6-vv)F-I;xmxAv(L zuZe8L$9(bRde^-?MY_PJU>lBI^`D$a_#JRI<7G&F4UZE{-zWnLH4Uq_*YZBn%kyc^ zY&b-9wYd(^hGP?j$d7T(R?sx1e@%#poL^(W=cnant&*dheLI`P;G5Z1PO_1+cW=*U zadI^?7%}T)OqD*51YiKwoWnTAJ6)~x7x%AE_o}IH5ft8_)DBf(}bo&Xt_@u_zb=_6da)fqVcU8sBGDX$+Dh&HvaAS7HTn~|>VSoE8Dr=-% zM0`n%kaKAHjpg%!aV4;;o5ipceM9(OklPD4!9$LVIs9MID4AOh^NM>OpcH}DgxUNl z*vUdojMlvhcY8~Z2ph1G5}XLXKJ^h==D?0aYj93q zCv`2=9FQX2_)AfgEknv-<`L`=WfQByFQ_Q-uA#R@CT(+fJDBDwV>{7o=9Y||tl~JX z3Ln2G)!22Cqw#KE4W^=mkF@$Iuhl*7I{;0Ycj??*;cbH7*uAY%QAgb!9n11OA zAGB&lWw@1%fC2+dOsnp7qA+z$E?G3RJ5zMSSeKGsQHrLC6Z^wM*5sP;p8<5du^$0x z_z}Wi;vWyARPTJewgA5tBorIKF1A~4bYPt(f;1U$vrc5A`jy-gw~I7bW3OicQC>#2 zgT8^G32U#g&fgS|_V3zh`FjBHTtkwS2iikj$JM8XPIFPOs9IKR91f?9r;y^X2vxFC zGyKkp!m|NwX=w9ly^ggRjIk%y;{7^I*FCKE{d_k~I-bUT+CTRFdX8CBPU>Z(1yQQG zIRpa+^Ln63OhkqF?Va;ErC~lA<%&NOTmlakGTIMif%2lI-g+MP!B3{@R|EOHpyF0n z!O<>3%N8PSO8hJIUZfDNmTR{(^CU^gl$HwiZ3Om2Il^}}NZE|`EiY?*&7nB|#NG%yk% zc@ZdLFJS)G?T+2L)<5R9&Bh!IL!B-kZ9YPgeAa97Z)Rg=>$)ARb>aL1+kXyW#Ls8gsGZpuEa>Rpw?$ez=&eV*cg)QIM>kI& z{KC2JW!=5~Q*Cc{<US!9Y_zz54gW;Yu*B*ZGB^_tOw&*l~wcG(|ccnZqB>PklJJF zH{P6AUyLJW+N{B1ahhJisoe2YydYb`{pjY_eoONYNVrh$4D{N_TM>!NjzYUMOnNTk z8g(}8JB6dZQYN9;U-ts)P6rC#8-^rv_=!9`=svt02JwRig&PPGtzIcMI1;pOUhCUF zUWQNNA16v<0-wo*#b)!ftWY)fi7}Vp7p+{5?Ap()nVM|8D4mA>Bv7H|U;(&+;V|M? z_z}ZTAqFs(S;EIQd+%?;!#ye{RKccC(IC!Hk%_=t+c2dl>G(7CZuy*-rZ<0&D*K!7 zHggHBPNZKIL~Ip|op*Ogr&yRxz8;B%Fd9vZ#85hDRN~b;Vw-|P5ivv53v;PL-khq$ zWcmI$A=ic@r2jT1z(>xEt|f3snZO;aglZzMLXdOtiB5?xCNY!gZ_hw6YxI4Ju3Jf< zd0>HDUX4r4OW9XFpd?b97X;uSO=#qp`X;YQKWeom@>s6vg_klm@(C!kburFzpjabX zGJ39E1rnBL)Jhmok0l4k7kR&Z|5O+gOU6~uC%8(I!kJeYbMkt!e1ycKIcN6>-sTneDxzWZ7JPjXS7Yr9A{K7!eUgELuCtmeXB~UiIzzcfux=Om6|aVr z7FviSoNSD$axhDUTN^ySN^TkA@g$$ml}&nEu7hMtqxcz5@}u7Ju+Q)uH9(%Nb%JpQ7$fFsvaUG73F#h?a5!$9_|VCTe`M|5v`dKB{ps{b>$(2KALO*6==VD4vWUgE zx{mTq$cZ&EX}1lWb~*8T7(jb->e4QiPCp>30T~DO`RH>OKddj#MUK$$dv45{drra; z{HyEps$A)K=$>eT{B5`G1$kERcJZAxro@9MO8NQhxTmMb+xMp=N{OquDlCP4n5|{I z6aq~_=pQOSEM!Pp8`mH zF0J>6VV+M}JNSqY5}Yrz`3$JiSKvJ))h(8HJ)pine1uLG?<)>y>-x|v>?NFjVkH2? zc7O_hJ6$2pPK<$lv4T8fWMj2G#ODECV&@2Kb{Ny_vgQr1EOP!g46 z(GLOHD|kpfsNWoj0+#wY_YF9Pmy6fyTpD$!0pQ|^@{ywX!Tcs*Zbj`e1Q!gyv17ly z*-N_*wZ2OCejJ%rKw=++jfZONBhdpwW4z?p4pa-PtTwPA*!|sut2dk!-4y7glc7ly z^;xSAV{WFEw8mXQ*aQ<#SB*o-#~LwBYll&@0`4v2na7Ayp7u0Q)?xZfdEV)a8O__g z_&#qdhCh+9e!wn0GG1CleV3-}k4kqq*WyB!iAyQ33`Ukanuy|W?d3B}-pPl=N*;)q zT0+oK$PQ!diic^5papc_IzEAC&LvWPs2i}0xb--9T6JhNGUY9nOu`eoH-t?4ZNCq1 z&?6&huWC)3=QU^&Zec+I$FX!D)a*g;ihEJLR$!AU4q$kUPpqBh5mQzHxp3eK4WsG6RA%%TU_ zWbY=}m`Ai;1PCrhk?R{8bFeN&_W?Z*7q)K*3*z5>^CQNPZvu$ln}OygLs?mm1Q}sU z>Odzy3<~xN+#^O$Q}`xd;RszXwc>OyxZ{YX=ASLq5TZF?f7P-l40dkC-fzIMczvr= z6f0!cS``x;Lr0YL0Ezlxo(^MkYau~lb4Ocm8yJAT)37NlVC0Ribc8S+lQ@?2~PAqWn8 zoMd!BNMc*^*V|FLC0{9`FK)$^6ML^wa2>}R;Lxmuj3gx(!yoaM(q}-8DtOYX)P6vS z+)S+<4y+C?1P-CK{tB(-&WrX;c>M+9yadHPSqMZ*|MNNHZoB!z z!w9Ys)mCn1OS?G2n@Co`(XMAb{rqDB`lPLNu^hF9j8qRSaPRKixaRI)Q$|0kjJT|k zvjh%8v5B{|LAb@mcLd&G9nc-u^`6VUx(M7S(_j6mpPJquLkkDoe97Zyxjtv2^{2WelazxhOZ6|- z)v;GAY!6_Wb5pmklb@+ep}ZMToreA(=>=$HOu znEwlBM=N0N4PmzbK^p!`a`oS8t*YimKT4=AY=%oALBR#^is3j{2#}-AA2}5 zQDJc8!%}c9p&bH9_esgp7kecnn*3U1e{TC)QUVd7$S^edx;^H19>-}D8=EKDCmL_Z z(WCcM!I!HEF3yH^%d2^bB7`i(9}AS9U_~i#oF!Vot!{btMuH3$$de;el8IxGE>sJd zY{@{ZGR=Z|^RM=&p@(aiaT0$c4B|>cdYD!$JBdj=lbVHK-FhYa_s>c*4aze5o7B$2 zS);|Zb!nHVN&6Q{gC(M$<`kZ{1oPq-*91lWiRk;(1?fEvLj~2`xJa2A+`)+92imrX zg^m*rMUAs9cO`iYE@P9)!$RdkZqrF(*Pehe4_nq2jSg+2e@B90S;miIPZ{L~nq*di zFTpgXd5U9ML4nJvNHT8MLH&o3RWdDU6~QIDB~9DAuSWAY@%B1&j8hsXlWT0;KQZh; z<(zCeD`vr7jV!61Kby?d@#B_#qT8t!iH=RZZ~r7xE*I?ddS{(7W(J|lK{&elr(CRK zHm~xw%=KG#JWd}&zbRwa+;{)n;>zhi=PXk@!&)ArSpT^O3YgXfj8Rn1;!s!IU}dXAU#Y2&tkxxGLghdQA5Y3WlP}}o#h6;r2RdZA}SKQbzkyAN}4m+anT`f z(JeYLeD1{7-7=Xr zYjp+jZwmT+?J|+AWePRUQ+IQhQP$up2eYixUEDX3iQ;soS19{6^*PZH?hKQTTc~(W z`fv+xR5m>NKan<;%;7>k$LLNWP5wqmOrE^2(Y$czhK-5cr!YKzVj9hdVSA7t_qZH- zZq6felgNF3@KN@d=UhozI5n|mY?76+Zg8|gVR=sQ+MjSdO|qPNob}LH=Bd46@I$Y= z_Z>4deg3B_byUeLd+K&4t!Tgq^ndLpb7;<$QYxRR&8eM5e%;cLpHtgniEmZ_mCruE z-^@i4nPw%iD^693RI~A9H2p=lZ?2_0MoVFDDP#P(r?Rk~+Zn4gn2bA6B(tc^#RVI>qKjmBM~ zoR@H9SxlNTbV}ka9o8;48`elU+8U_b%MX<9+#6)Feb>lw=pL0xaaT{NzZRl?|7TE! zX+=}5DB2jy1_g}O#)Z+^5k*rrOhZv+3aktqWv=#}^4q$>Pc*Zs; zn%iFkLr)$JB&K6FvRh+U4EyXIx^Dd+mkP2vH%etgZ${fL`SUWjer41BeK^Mr(zgr6 zEZclYnrci*ReF_lqU~Q zI?J){iR*e=$Kr5X`J02X5)5@kHl0?QcU z+I1qy?QUsG?Np!h<4Px23{)JuXALM6O_8(mlOJ@dS`Vkf|6a9N(u!;#e^Q~`8c`UI zfb!TEJIijC8x5bD6=6Le(6YDg{Lg_n<5bRyttgV(w<5_LUB$JmXW?pR>7@$13)zhtLj-ISEP?MTVOuz zM>cQ5{Xgv!v6v*zK;#|)1@Qz55e9I&@l&IS@Shs0B?d0XKUP}+0I4vnl4pbVd^)Jd zh`b6-xwvvqp51R(!Sy)76KHC0|rA zV7<0QK0Q-SosC{e@69fnT9=4Zxu|lmZ$5<fPgk221;eFUEcP@w$v%TyUTIXz>H-^t!tq?>LHaqU*STLE2G zXO}j}S+>|7IpZNaj9WNjd6-R)k3j4*&$DeQFHc+ucL}7t zu8Z6LAC$4WfNj_0kni0e7o*zO6gVh}iC$%xr}LZc*Cp@blnD!q-=(C>GlZPuH1=nj zzq;xUiw+5L$OOPYNKyUPhn$l+@IT1PD+-uj^DL#P{uga;85U*KhKr)KbT|%Q0z-Gh&|Lz1jPKj~oPGYB>)QL*=&<66`+lBh&AZlm z@r|B_(yxR|U$aDiJ;{L7UsYG*;bhxOJ){fS4##&s6;cR^oIHI%RzzO9SAH4~n!Ox6 z@?^QZ3uq8bR(3QMoQ0MhOOPYh(MzfNSxiCB3bw++5bv43$Yd^a z%2VV$Ir5BNP4gC3@n=1GlzEg@v8+^A|7y(_RaYEtG#JSahCFjxXqndF!?w+-_@I6` zv#An+f8;9xN_=@hQP#!xwVG_LIfaxDyt|V>zmPN#T=65odA5>!Gh5Z8;27V9_tgB-%66`2^#h<{|}{#qi3SsYrVW`Z`j~AN9oY=m6aIEs3kWYPc3LCyq1v;Re>dXORA$hWZ z$4Jqbv4Na{jEqOge341WI}|^K)7xi(X{`{%foCL;SvgT`wuUIgF*Ckb*GI4%J7``7j}OMcPW%{t@M^F2 zoIL!&6I=v;`%29OzV*2LLCgWTwaRD$&%i5 zG$IgykVO3wEBySYct`{pr}f|}^|0U5dFxI+^H9@gF{B3$8E=p4a! zfV%`qwM7eN`rb~W$ZSS!&OSWForYJ2!^hu)rafEgz&h_sK9B8)hum!Ox+EV)-!8Bb_Nc8VCTg?(HwR`0u4XTQvSiS%A^H2t!^s^=C|f$b*OV0 zR13eB@hfc~ceJ10ruws|eaUuKNLNCK1nllI*QxtzqpS8!JeqUTh0cUV>fw0K4n=@d zq~B)0-dYWrDGYma;wJ)GP)dgG?Te@l-0n;yBP5Fv8+w^MQ;0I0pg)S?r3WXn=R(zC zWTyp)4*z=Iw)B$TzG{jM|xI zxtNiCb}1l8>*6l~8a>0JaQyd74VgCP{F!V8#2O2g(ilPPV>c~_iC2YIB2?=EDicv& zmf!8zV-H?t8;Mz3M=#pxju^jdvJlI6L3GnntWR*Zez|sjHLbp%-Ky=^6i=^@OB@(k zhpLzVJSrXGB>tL@wP=-O51GB4{q|fTQ;EBDyr{yJsrKnhENT#Xf$=lCSCcKoP|6GY zVui~KAQh-rUV(Ym$0ZB&{)T>G`^#?9*0ERNQ}sla_aiVwGK&`62D_Ye*81BKxqFG% zJ!02_PF5mYah6R&HKEw(5|2$P!oKB9JtxL>R};dpRw<>9ZBhAw)@FQa<&TDzRVgk} z9ZYmFqf1F``?7#4^SS&ZIrBZ`H>)pjrd*J^#d5y8Bj%xHApW4Ggt80kA3UEDQkX!+ zR@8WwZP1`FFK&bx1`xyCRj=%Vlep~Rxc%t_M;rCMEP?8=(3P>1d6}!35os_R7k<^G z>3Qr_P?iaWX^w?apyhO;;rPU;LO{BvO z^Q9j}VkYn5{!Ln!^7vZMie;IW>f7re@LdVObCODN5*rA zyWK>bY&I5s=-MFqXY3mDfRGNq#q4eNj1E}k9D(uJ)8sd>J+(?F7 z-BOh3LWkIHUr;ipy>oeo>u#ye7MhUo?Qw%kW}v0~D&hc5knv*~-_#?qBbRm=ebqNL zww|~~s%{~@16Q&Lx=fB*F@S95BKwPBWI@I%ubeE*n`rWRVnMJ??ZWc{ zbI;x<`=ARW?}J*?h$Y((kvZb=85iA`RZ)DoA7-CJ#tVXQ4&&;r4LRwx{(r)N^8YQxsWrs%V0 zZ?l#O@BBIi-xxnIKv4nk45Ghe<3*s#=pO&wJxoT7f|qbAq`@5i)76!{j|h3FkkVmV zDa8SfZE8P4Yf(LMZaDUH38hy-Hcu+<)PalN7WP@da?r^UNs3*>Os=QIUH=>lvy~J! zio{g+%Z#^E0lxi&bkuZDWe*(7f4~!!7k42qlCz`e-^K`vCG#o#)%13e`RrknYR^l! zy3(oEp zre7DyTnqgOT3~xq0FWCKwW+a-AIAl->yQEkN7GG3`Brl_7D=dAm;qnBUL2Rdw^Q~$ ziWc}O(vI`=;wd{oW;X}_N@Gcv5mM^z+fiANGXEXbiZD$A&D!A={o%Hw=Hc;Mfl0H> z@xtb}4gjgjN_CBmuk+06CuXTn(>YAWlAiJ&ExhQpa78=O*yZOck5Nxn{$@l7nhGx@?n z%}(n{po)x?NRMW31M(?sPGg+X)G-4UJjH?U9xWoAANgxmA*lU!PajfOQUw&&lo}jC zOr(Y+*4TbOOy~}#vKV6@sm8wZW?{hV5tCG_cI2>{i=3myTFOd3e>&O7QFouT(A7Vl zC@Kj(c(!wPKzB@~#jH#(SZtxBNTtd&BGfJ7=ii>t%vG`1rBH zE;mZeqG&aI2x{H|p$Xx8WVrZwQe!c$Nte)h?hOZiP2;W9YEl6+md^+1nM@xD>1OQa zhB#~m5NXULv76=OVCk?btQQ+Z#@dH!3zl$|)(r(Cw0=aTE|N%v!(ELQdmlK!ImFzV z?oT^~HAVbXMyGb|G=%PG0K2&qgnmO}i;I{F6 zS=RpkQ;RY!c>*k80?28%S|SV;6JbI)>QFU<**Kn9SuKzC{lnJBs`@;pH{5#s{ETlmAD79O|Zs_sfmlWrzW@?#hX>yOB@1V-)|Ru#KX zE<@S9-l0odde!SDYSZQ)X34WswHSM8!uS;Jex74%xr$~43U?;N!&VFLTeadrVQNGm zws%5vxCUabWCAvP0U2fVT2-Y5v2==E00gH-r%^8Fh=v+{HN~sXSga8!AW7vJ%h%26 zY9RQtN_=i^T{mfv;%`!vF$xmQmE2aQjqNx;Bh(-fkr8v|0iM*bW2HX_VkQ3o6>C2X zSXEpU)1IS~W@2^FLWGxp7a~K991v84UWa20p@|)oh{iy>aoa5YKBB%)qVwK8&310s z?qU>I97zNhQcoY~m<~3L28N)c7Ew~Ol4ioFmRh=v-8Ye4ME7rtd-g!_MV&^(M%-!A1gBt`>fB9N?*sBjZea6L? zZBk}haLXZEZBCPuWxefTdfsLi_h{AiOMJm{o&A71Bm$ih;JLe+*GsgkCJrl)AwQ|V zU{VD+TECP;r!d>q0wlq{K<}|&;FlC5c|NM46#f!`524OQfA$`QK@tgr#NlE#Pq9Q|%fJ@>+LbAWM^Z>d7d5E5dG$EU*~mmj z_aeklT{y~Gmlgl>S%ynyQ28$fDC2%|&?`~~;BMY$s=zu0by^T%F+K$oM=|7eq`ed# zbq%qlcaJwQ$ydruE?F#;z=rN-$+-)3Ml%~k*VzK+{rEUNOK~5>Yae7<9RgHLI?}sx z_1nIr6*}s~K-A}cU(*9$#hxw_x8T3T8uhz*d3vd{tZg=(sRVThSSEZC_732SS{x!2 zS|+omzH4!)i81$+%FQrsYP&>v^TYk&w5giKd#|mke#wEM>CCs4e(qiPZ*Ly0=ufK3 zRbsl7PDegpFHt+T9)_6RcmMLDb*T1_7Jnq{OWs|XN0BEog2q~fgHy6ux6YTcq&19> zPK!)^m@L7Mpu5hywGCvyoZzM~u=*0{s-YnvNTIURs1LCmeLAJ@gH{{gbHP#tKCB;~ z;C0iIAv{bwLY$QDJzQ(KW^Al_)}Bs0vjWZsswgjDWu8P#T<9i zHzQOjIN)%D8|TN*j8*u4{G+iDO|nkaO{we~M%-U!zUX_;<@xL@k2w%L&&ch}V5-UL z*$U^7fQHs|Ei7HgwyNpgtHVr^r@Ncco-V7L?D_=~6~~xs-g_s6QL2c&@P#xNnH^6x z_TG7vT=?yHC>Q`cYDU#p4ciAZ9X0iow_dagmtO*DvRmrD+GgwM)NL&7P?j)z&e0pB z@(}iX4Q+;N6+IY>U+a6@1?T)aNsfgEJqv5|myqlzpBS{Hx(%&uB0N?Pk1ite6-GOP zO`8K=r>?qoT*%2%58dOn+^#{Rq~Fi4cEUB=)M96sW*>>c9}MM7@ZEC8FTl& zE{gkQ9Ge9AK+~7ncc(%@riLP{8Ze?q;*>NjIyy{&VTY*VTUlKhIoh(rO4o9_s3u6{ zZc5&$^Jt`QXd%@GI~{q6BAt(1Gh#>pIzs} zhF#ztv6`#fsC6!fC(+FZHLU67wkD!re;Ty}s&?hgJvM&RKc)$=`1aE)GEGbBfbMJ~ zG|<$VQN4K`2BXSBwcI|ajCQrXf@5L=fA`$U(2$QW@WxG&i;ja8qFtpm8G*6|~UrQ{!2n+V$nT^bK3Su)tQZ0h4X%UxH!i=hzY?91mN8oAss7|T7 zr(IgRP@}?ZZTM1mM!Y&{CeLv&(R2(f z7@B~*DI*4T65q54_Bpa7z?kDOH)zOd>7Y(Zgo6vm`kQ6MIGN>*>afon}m9s0}vU0=U9>&L2_eE$6- zYzfNrspj&z57XDjQi$6rd(y=lNXGQXSzH62mhblT?yQC$DX(e2C_#TQtpI9I$}?FI z$j3a*xUn)k0>*^V2Rv;VC|-vqbRlH=4iCTgYV2&XT%wQ|T>PvAU56_O8O&>fwlSs$ z+G28v{eIajHYfFDB49NWu$?`3Gf|`Z;dnx^+~Ch&aLvjzWPdl2phQxj`29IZE>`;L z_p52s;2D6wKdD{eisF8MT43fvaDMmt@hk~!Vez+D!Y3DrQpNrX6XxHYA6aF-qyF!$ z0l#}C2n7C}iW6B4`ls_Y-2XP^XZfYWYD438PmDJ9l41cnR9L@fw5b4z!Iv;F|8AZT zVTD_oVcCUptC{y(oHW!VfW$=D@1ZT|U<1n&{b`j3(}LFMj|P^{i{cX8{tq{Plqqe4 zJ^Q1~ZY4QeM2D#ko6i1`x73cJ`LXOYTGW1Umk}UzGM>W&GpQU*j>2K?!cigik5)3g z8PX$jeauIOCdW;C+oqFk7j&h*Ur8m*Rx<^{=n==Aqxy{{$D;7>BPdEf*JjJGGdtjY z|1r4ESeR&t7i~qznMafqkQr}c&soZK9r82J=50&Q%C3$EIVUwO$8Tf229~;x8ENS) zRNc;Ww%{Cv#b7}0EQd;P4BeIJDySQx9Q~Io9JKnrXhh^mUr^uevD2)lR7mv6IjU1< z)lfUL0V8djFRLrN8;jontug6h*+?D+2z)0x{35O2pHbsU;7a~Bu0|@L_1s(6_^Duh zn1<5ysj|I1ai|?9&=-_@{r|Kw6v*e;I=`b)Oi02}8qP)_63if>5og=1;xO5jU zWIZMyXci?`+WWE95lNZN0#@ziDbyfroqKTym3N^ozU0`2uP`rJ7P8%T5!mF$`f=k- zR{r(z%WmI2e13%1*j}c9C#@^evd=4|a2f>8q|{-U^^!IGHDwgs8Y8)kzfFiLMixRv zL#I70Uy|V>cM3*%?B#J0r)EcX$tCrm(==(O7C89Y6mo7prmCRG!(g7^CT|3-As`*Y zILK=}By}J9*_+_piiD2@t}JV9TaeA zey`)>5ehNo|4DBxlW?=7ll&6i!D8hnIfCSc0Pzn5_uu7=-nZ`oOfZj_gfjRq_Ls36 zK=0u5iT1YJadze3cLb9`;(b~tt|IcE9(b`bZj#Gl1Lf9YL4?j|=&mKp=U3H!Tkot9 zCBnX-Cjr`dX!Ci6aRQ2BOGOGqVfk+90vF3`RgpfF7REF=Fl{0riPUpRxbrsW;mpH6 z19hV%0-f~tZ@qc2x|qi;GEWkL#C8x{DZ9Ako)L zkEt7BQ%-)cCzspIOw-!@_2Zr&KcjsL_kj0s>+2W9Y`}4N96;|UjQ0w9s0fBW_~QrN z?(e=_b+XVwQPyIy_L)`;s4v29%9^(MUYfVCqx(P%UJDDIte2WS%XXH$eS$ipE@7_hL;T2$Cmioy?)(VV-hMmL6(oxA2vv;l&*_4JL1L#QFZHVbM{F zt0F$TF*F~U#w~n9P|J> zXz;yFkxIW7C*5hszN$p^j1b}Y=xr0ZGFal?qbFu2+6cz(Bl;M*rHT+y@}WBhOEpgY zxbY)@sDdPlbW8x#tiUgki{XbNlOyfJPQs8{c0Q%Z2=p-bdq2Yw8h8cm$W%bo{cz*8 zJp#^f0{Ca^2t5$01lgbek7X(juR7?dw&OEN|OaA&E z9m{BQs5jm8IwybHLq)L82qj6;d`XO&@+~^M=e#IkAF}i!&2tH(cyy_s?F6MW4C@R> zA9p@}{bm&`P3~Cw-W;y@oaxcipkf$ncpOORpg=KAm&!;-L(TI}q%=mLS?XDV74BKe z&me?&ZtM0U4OH`=-3m^eBf83m>Y?uHHydmGVhu%heGz@6C-^4#yvBYS7?xB9k#8fT zS`l4|p5ONoS|Gfgz#S(spx>5IN~@4=s!;x?jT0o~dI{Yq2`r!ohU68`JeBuysPW;< zaVsz8GYprU5MBrl#I@+N8af&mD`s-Qx|GB%A(%An}%Q# zPbqgI7xJo>(wh3}y~7uNl0Ei%MGF5~#y6kU`E3pO$eof1~@rbBlZ+CE;)zU&C zCOwiL`F))+PDnV3k?Xom|jQAmTX_|fy7Z{pxdV?=5u#Q**k zRv-*6szK{eideD!WOv%%V1P~ZC+E}RB!QVFN{8WLL!wYe{hRAgH6f4icZ@$Zh8zt8 zP(M^775Puz#uYCerbz+|{i#PjqbL{==0UcCKFBTcPrMAp{*3!?%xe>gu@aW{y)U@)a|BQR}TNGTSApz$*ll%D|_0)5JpJtL(lblC_ ziZU(6QbX6?Z-qz(j+$w z{8vFNIXXF7S?038oJC3WN10xko9ymoUFX*$5EUE27dJIkPFUL5e$D~UW8g~2f&aN< zu>&GNA6Hk*ZvITuR>(0o=$Z8fmXHjzFtBIw=atY{hf9cR+F{i8pV?z^-eM5{r!;#r+Kaso5UvwQ#&J`OK~p zV#6ngLcIs`@^7CxU2Dwv&sza}P3CpJR*#o(0|8}|M#U}_QdO~w0U!_?sEIHv`r`jDas8#RQsBv?IK!yk; zW^H|V=0aAtWZ$=o-0*fEp4_W>*<_Zz{saRiGRAT9aYAHlQxbR=36=VHo%#p0N5)XN z*wJfns`4xjx8;rbtpCbUHn2}GNuj9!9Ep^@d_9ClreBVt|HhX4%Dib=CF^iL%WeD{ zx(2dB#%m#$I0~NR0TFv$R5%dkBm>tpC7S zDn}8>rrpFCZYrl=CR{0g@)N74-klj1o~<^*j?FnVB6?s7p!bI%F*Dt0ktzS60}{u| z9B8PChi@4X|lp4m!WeMS#OTZw!N}T(@x=GAHL)PIv^UFlJ z7qP5|Mc5LNNPmg;Okqjb)QMv^U{A52T(mruDrM3bhdOjupQN;0xKe*11)LVJKM+p(8J!fH}n3I!7Bw|kDFy)a@G>;{|i7Lcfg#i z0A-m=iP9mVdLSPvx#pTl0{ciDyJFE;Rge|iOkdd+46DyG(S%|Pms10?ch*dd-X2Xg zd@m2iRIR^0)CZ`qul{I3Jg<$=G%Xwo$;)#)9wlDBAvQrb7A?j>X83tD@OtKIe%Y&Tjq6PYGCh(e>yV3 zoCVqg&CCauDHYhx1pKYz80a^pm#GSQl<&Dz3~G9 zU?CXlz$0|#UYfx%)5)sxmGRN03i0=fOHM*Z5^C$y*wZZjWVbS(HmzxoGviJg9@OlV zCo>Es#~@~$gqiM(A)G)cd}I`=oO&$b-PiWW4+={(2xBbiQYMG6Uwb!74@MY(T-&7P z(OA(Z09yPIye)5$zu~y{xkiN93yyM;(sjlPf<==mIT~PDkitil`9N2>EJ$nsHSjY; z_>i@Tb(eRXmPWbvSaK?=>Bk1M2A@w=$I9}q%jUon2YOuE>zQ-$-)qdzkpu~Voo_bn z)`PsHv!77#k46Vz@6#K0_oZhLWwwK-O9tF`A7x$t>~`E}rLv9EpkGm5nmp2g2JaC5 zHa^0y`yni}Ml_j>|8*wtyfKEhVbi@y@zw%6 zy4`?;S6^ktK{IeU0W6OgkCbTy{cZgvCL+hF?CG*L?S{V3FFF`c^^?Ge%@sfC#s%CN zQ*Brbiv~z8k475Z1V=oYNzSx~C0NdsP(vz#CK!JvfpPIG3X#krP0I@g z>F#C}hL1RJzOK{c;y)`wVF(j{qXAOm2pG5?rF_r1ob~W#XuiYMn2@6_UBGAi#}9$A zIz46`Rt|`^Iy#UyGB2*rtX8k}o0_gP2W0MLIDjyw&uj}<(ukl>%_@W#s>e(ildOmi z)W(<0!Y~7w*Z!aC43bcbNCo(eJ}y0wc@mj%-m!y&hzO>YWeR8+pYmsO+soA|waZhQ z4e|fU(u4vOOt)=Jzn;zTO{2Z=aBK~kfv?3L1ZU{&ueGfm*cSUTB`g5ZGE$=ZWg7F; zh$f>En`fbmo9PaUt=ofYR!ROEA7;6D#j-Z|N03LhsYa%AD(B+2f~WU^wsK;3Ld92) zPghf*s{-C|HL{PRlPadjcO`zCw_ke;%s}g}}PrGJP z4}9u$7rw^K%_|lU8soDdUVNyT0Z03m$)x?88FCV6?1ZX9WAR%h*u?A2=gm7=^jSY8 zR|((6&%c0fpWi+%`Ms68j6NzPoN+KMajp(R`=o_cvQpALI4zFWWgWqS|Jitj1o}aZ zBsVT}L#@rIz(s;&Mi>O<0BwTs zA*S%=IPu2hL|A2AhUXQ zE+J9;3q40$;$=OYP^P!umxnJ!85r1@bMP1zNDXiHO09P#_zE#`HVKoG`yY_fieiHv zJztT6h!{J%F~6r|uKH4D0TnfEMRB8hQ?l}K40JUj99qq#&Bs}ojlkhyG()_6 zv05l@WKCTcYv62LYG)s7HQ_wiBz>8UD5PWrql4}b)r~7&Ks6H&L>;YvZYXTl)hQ2P zm6>%~Sm6d14J|QY)JFvB@tAqy1OentuE`+%w)vssUDhw9oYf-winOBq!i0+fZrScB zJV$1s$Fj9Lpn_V%9qw?ja=DON#H4zgQLjors)S{4vr$Pn#K2HaJL;2?pu%Mc<#_z#(lle7mM7 z(A-B+KigMR_o>5W9CA6z#OBIlbQ$6$AED{IuO%KKP!i< zNn$8(rH0s&1m&{>V_H=&cS6n>?+D7QR|odrdr4sX8OUuk-O)5WjxEvzB){UwXpg+- z?RkFJRuz+k37#xb(&YDcMzEX6(W*yFliAc=kobxOFt1?O7XExa+iUVL*?FU_c|u(p z9L~Q%P*YsCz^I0-S%Vdjka|KGoB&a?y4*CAdKWT6=ejCBthQ$sym27l$&VtkvFu)E<&7fQ6G4*_M!;ijP&<@TEE8ddI_%Gs&gwcKE{q)C ztW_p?kvZ3&VrKDo=V!;MyP~j|?*LO2;x+%NC;%s$lbQR7XBVC&MxP(+hZyp8N({rN z9)3^(Vjnh+FIGNA^)8!!hP%hf3w%0$F}=DMki4KFK#*GBJ8}vbsT1jg{FEZ-SPwH8 zvpD!WHYn$=+5C7I8#>3s%k01c z+q|_YOZ}v(f~^p+FWVs;?5T|cRYQD%HQ<5Z-beai3d_8Pq2Q->1LVpABH6pdvmI!# z0Sa6zqwkjP98tdQsl^;!IG8aSW(N+4y&S{9c>Y`Wl)=)|o!X~y`sb&Z9S?2y3s(%~ z-&?V#KGF~K{R5P@KGd|p{c9~R(3r-`zH)&Q>|p<=gE?jJ5PDEvuQBc0&IQ-tF@A` z{}n$9m_S(Jk&D^5R$`mF(!ySw`Pgp}0Gq75;h$(fn{pkVjvnXAxo{GGj2^`S2CMc} zI+a#6jth5|UGC}qT&iz#qrx}%VNr1LF4cd-7@oqmku|$BAkuN=ZMD8~7n|GgNDx+5Avb%&+myeDb9zT$fbA9CWvGWEcR@#<3 zePoqU&(UX23HzVIRNYtXQ+QhkYIGBPyvJx5$mxHFgmWMqNa7H29Kcro6+M?U*X+bw zOj3+LFpZ2$BxlUD`)1sdiBhyF?aDwiS;X<*J4XI=foJKXd(6GX^++8 zqykaAi%9O@H*RfwS&EC*WgJH|BO?GWQlEz6?|zuoGQ&zn4vZCyhjiZ;C#ua zIFXyOk^ljjoXgHXltF_cIU+SK;MTSe7$3JFyMOJMDL5~D72JVLi3ixR{t{HX8_!FD zaWXVlUQfmq#}zs1MwvW_uNLia%8ITO2)OuW2=^|xE#VWt-6rk$_QYC@dKs3C<3}uM zA&7cQtVq2cGg_aTu2Nnh2F6N!x8raC4@lB*nN`Q{Rb7WI7pyk17tf*%4P;t0JUSSt zFs?Q~=6SNl6=le0chP-nIObQmH#IccdCG?G$P`A_-Op`w-w2O161BrcY-E4l5sAK$ ztAbEM#tJJ4N>ofNpe6-8IAK-$w>|vdcPmfxdLG$8npg$@6+;~a-}+HhRjnix2{?IN zKa`5fs#QB>Qq}_7*M(${2F;y6G}y60P6%|r)^9#9gilX@%8cPYdj9^IE@)?jjH)wL z(EbdVFG{N!C=WiQxVCLH;Dmf~N?9XY<(g-)P%aeDa!wjI+>l%nQFq3N=Kpu%Ri>u` z*e-r3(I5+gLl_e9af_G-B{g8LdcPw$ZxquW2iiVBX}lzQ7-PaP)v}V?6}yPYC>Y?w zYKS)UVL4CMgpZ$ed@K!v6bryj#Vs!KWYzU(w9xXzALp~1OAUKa!RL#NK>^$TE*bHH zQ!0Tv67%)KOhR5bCXU4m*b@%eLyv?vtC)!IQCbvnD1WT|*$e-UA1iz~VGRl6TGlt! z*CcgpYvX)L{#3rKXye@1=}Y{|b#I-@sBnQOnAwv~zGPBe*fy^flURuCM7M~*kLMq75g$c3*_yGf*$e2b;Cc1^VE zb(TJ=!gE0+#tQlZs=T6cfUJ6^kY{k|k)VwDb%j&Qrd4JZJ~Ci5U;UM8|M>kZdgF=e zuf70~J~oi`B@_;>5gVv%8pBErT@-_Lv!#Z@db&PSM}`B1f$V7VM-inc;BpD`tD+(S zMA$&MK!Zpy9S!vD|K-Nc0#M8q%DaKirtwcy#xh}fO(!%E+IDncU>br|kbn{z7fBZx z>@@Kh!IMRWW9t2Pqc}iE?VO-*T1W4;{fzL7(fXm?oKKzUy$8xs8~mkU*?Li=+ck<; zzcp?l2X2qEdC%|984;6?YbVjo(cZiJ0(4Lr=o{Ga{KztqlXon83%Jn_2)2uV)Y!@9 zvpz8yUMaJ#)Sc11SxPz+PJiGS#5yMaYb8bHVwtRf^#Sjy9pz}rHdbUwPZC6{Al}B6 zb+%cVxL4|_TEML3&0W8wD!Q4(59&ZXM=IbHRR}7qyERg3flALR)%`r?6Q#7Sx+zGx zcU=9-DPHuOB}k&a*M^9Dht38s4ru0mH01KNTPjXaJv9leB3;6#IbQUx33(So*L%(- z8wa@M!+md_K($+?>XNbrTf^KL0Hc2x7$?IQo`Pbt4yDVU=Qd5z>{q#Om-&ccLa zcnPh$R0l#}l0K$UW+F0zPdLeQ;znrOQFtnZ_}XLpOXgTv+&0&%=Qfq`3LJV&=D?kr zMTSl9Alk-UqV4AUR4@oNNoVTO18SPMXRcWhR8{$u+P2RE9 zy%6DTYZx3@^Zl^gf(H!CS9EEXy#7jA;K+kM$^-?gId9!7LPkc;+X=E^aybb=(_iQC z#Yq{p?q=3us+W|{**}&mDWJi1cLl<5ESo>-T>cS&=Rzj1)o?C!95X{g@$rYFDN&;e zGTb7i`i#_HHuLU?Y+EFz5m5<|6;h3QmpHV{Oj!v^I`S zjfX;Wwe=+Gx%SQRF+kDj|pcsJW$jAiP_;`&oWs`9n#Js-=|Cnj2hq@L!tMJgTP>8Hd^m5M&8G zUEW?@FTn0~fnj)98p4C4z7q_L1S|ll&P7aC&V_tZZi!y9@nd~XQ%@Cz3B>AptE2w$`i2_J%{!WJ=(`DDB%VzT4C*Z&% zU^l&yP*By~;c4T_-?S0 zVdkRkDCc-a)a|h^L>WZe6=7)0-l;rsgA)tNP%rsDfA6Onvt;5<09<>2XL0?wktSD@ zX7vWCsdH|E(5MK@!_WhuzBc3Yb5hs!lZ~s-_r_3>8&vlS)h9HW&U%mU>i4)3a>yTrITLMq+ZrBWKG9=} zlgVP-_xE_}>ulQ848h38{2=58$olo^1=oAyV{z4meqFNhdj={Xw6_q3@$=U*S+!>> zZuuwt7r>j4UUvaryQ*Zra;D z!zc_Scys>lI@PFtTH^Q%OG6gD;P!ZRb(`q%yyo}cV+(j}W$Exiw>vd&Vcf4IFp}QB zdc6hC;Db(Fs&hckdfTLa=PPGksEllZdz=0A_ohn6rh_V-@~Ms$o`u!9+$Yd+j>VYU z)9kVE?wo|C?(V{4{KIl@_H;S}CKJp!86ke(+J1)?F1)2Mw@GCfs2Dqf&%2c@rIs!U z;vQC+87P&0r_!N0kl{D-uqIE)R?hL?5F_z7S8nG@EO^zq-fvw01L?CksjLkc&Ba*$ z^58!i7Dk;w7~dcge`xz0#uPQQztsExZVU?#D!TOxbycWvH>v-q*QjnK;qWS=0X~pN z_AmPhWU@wD;|1m{zV|impQ^^AC9VqTog~A-ImG|X-AwV|_~c^QEc6xhb3E9jN4Wp^ zP5`WrcJQ9rOIq$V_Nk}nh(GuymDTBf>|uCqFfZr{kfWPhUNey#;pP`glF7ZN+2%xUC|y)I%zw5x#^DAT0VEB&`@LOQ1TK56 z$Ni@fdTSmhcVa%5m1bky)NrdQk>CXsHi@U%nc|X(hM~0uNiGr!8{#?Fhs4_OVLxG5 zG=>ds%kBqhB!1*+NE{!RL%X-CyI#oN@v^MmT&`s1&{J_&12TOM^MxVnD zJ+|Bo*BHsd;-R*B>W?Gv8=_|QlCglDeU)R=F(oYrgV5Buoq*$J;j#12WgyM3rPu3N zr@?HGPX{x#F?crc1E(y^8hT2mpT5$Bg#|I8>n#R{Jwrn!#I0d}XZkJ%O>c+*mzh>? zP)DLkFER`}1Gb(FX9?9qsl~1fOJHFxmg5Nc#?#q#8s%!vIb_MJZ>rZU4J+zrd z-#Rkl0oScBwZsTA4g+99vM9>deL~fLJ`}gg8WbrG+(BwN1ARj+Wdicv23Go9cu|!lH zUFXL!>>iT8ERe(Uoo~VDm*S;~OnA7r*f0%~tf1UaKdC6<2|xaj%?jWq&Oxx05`6sB zwauwC9wwDV#`>G6%o30}8dh)u$Q2$I=mtYU^{~|Wn}Z3c>Q=A*mbfqR@<(N0sQXPv zI;pIW|EgW3NB|j3o8L4}!v^yHD||Vrp&$M++5$HAzk>Py=fGfsy$vk~orbBSsopXwbf13BcRDC93VWqRt z{;TR!N_A@E$F8`Uw~}X%Dv;Jy8mTPHm}cb>n;`jRG`K)6YN$@09GM?Unjdg}*ApyC z1$@jiX;nwP(272ig0 ze}PP%+Ru%60SNpjf6~&fTupo0+KUfGvXzyJhVu;tz2}`YaGSv(!?4dve024qA|#>@ zK6m4m`J=FKQ&z3T$3p=vE;h_K@|bty8&@1kY@SZ^DZv5muv~-gvl;ErMq^aYnpcfZ zFRmUJ9xtX+v*tVI=XCOSUTIIyE!#EZG+%N-=qI%1^W)uZ6hmT0>7j75F(5-}hz%B* zrO9>mbYjX}P89e?4F_Z>=~2C~Xp@d}X#M$8_peH4(ct&e&AIzXRLI`LyZqiKr}4hR zOG(wKBLyFK^NR1mWRD-ZzHSAVu{{dxepF!oNd60NO3SgUBqttR?p;Fqn67tTov!Hk z)M^CQNl)2q^LH`bs5Z~4*r!7V5{p`}wC1N!Joy)SHttg0?fcfkIW0$m3Epfn@-Zf7 zB+qvkoUpKmgh_}u!`Iue$AQOFKRMWfWp|ORWZVRQ3Y(4a&>lOqdXTDnEHg&~q6C$@ z@P(i4?U*nV0*MiV{OO{Z4w)8|6iU~V+_yi1Q~a4>9?L5Adx*rhyjznB*rY<@Qs+A_ zTh8BiH&)3|Hs!?wde=nTaTQBWx|F*1>q2~Zy!cT^zE6GJ_brDzA;ShX$t|zIqUkIF z@bVsLKd=7lV&!p0ZhmG`?MzAdkpc9%)bj58frp95hF=jx2{O_??}Il|(2#*SDs8kQ zP49ibIn$_;-<*N}) zyp%i_jzaa%RS$JLG?k0f0gQeDtWZniCBMe<;Xb=B@!%t4U8}e_*&%TX8J6Z5;mc_C zQV~$RYCrh<&Hjy&2|e5|k-xm&gw=m%Rfg=XZ(eJw9XgD}a#Qkt4@ipXTVL%Ji|AGD z1yy%S`86_6)kzt_+?cg$2uVoSzvogf!PVRY8oPZCIoz?wNS8D8?|WS6bg6o%pa@`q zYZ?42Jd8UNF%HfU`8@-9w4=_WbV-6wm>o>n0^GHmRCi^i+Z&eTp8HZ+Hcius)2kb~ zF7=#Lz_s{L%`ba+r4J3#E6^eqj4GEtCtUCBlJiUb(O>VMcRNb{i8A7G>O|=)+gKl|5*#5UlMR9_J7;{T1c`Ux@SKQ@ ztQPOKdGCq&gG@LlmA^{1ps0KFV2EJ;l3c+zdhqY??P#C7O^)2biT-bsmrxbp$)gyx zE-c;)Rl7Iy-f_+!{EF^BGWMu|bLqU@tPMb;vo^q7WjN#y#asEVo zm|fBm78G6{rU-flbgu{m`u_Xg9MUInEo=&?^E`WO^S^YTI&a@?S2QfrD0Odc+E&nk z1T0VmP*i&s>y#Arzl!EKj^+bUj^r#Z9BVshUFbL1$ihxo(m~-ig9X?q#Dhz4dPOZY#_BKB54v8=;?Q z{2W5}cB;HICcZ$0DuUz`_u+EVjj`v@7jmfWSo0&3{87P3DhByY1R@{TjA9^B! z7_D!-h#zmbbh0m3E|X2ib1ou!K6AnTfhwL`#id{LV{&L47a*?OxM{!@o)aG3&2Z@7 zzxh8{d+V^OqOM;Q0ZBo+Q;_aCCROEySLceix2K^lpTfJlS%29yq|O-d>u zcY(g&`OdlLJomZJz5J!H=2~NpIcm;1#&59Y$%mJ2fJtfPa+WHKwRoen4Pe^(`_bs{ zAb)3RssRTyv#>;Uk~s!>2TWx2r=X@vm*b#g4kzH6JnNG03X%8J`h7|i>yiLLfP^cY z^Zje%H)T*y=n&XM<@ROrwp31f4!pNtqwH9V*Y4%jM<2mn>&pvdq%7Hc)eDr-DEl;F zAZsG~Gr~^M)pDlh1^5U2U}mlAf{CrMrRPhYQR7gizVU`E0N7*Pu9*`Lli~kL=1<|B z+w5oG_V#1Bc=qVEU9(GTewB9{MQ?%l#7~yrT=%w0#mJWmQn)cq3-4z(=d`+p`1air zASK}c4Nkmdj9NbJPBQ6??py=mm|Jc0GXJiQHtW%vN7RVt1k{?XZ_Qg-R?GKGA$d{| z9g7h=>r2S{!fKBip@29=FAndjAX}C1gjYnGW$% zrfgcs^L_VNw+qm6Z_Cme_D7-Iqhh%xUagP{Oq9u%by%o5Ca?mPa7IO4lEJd8w zANmRvEqz5{-!I~QA#(?ni@(*1L#7%p+2H zTCp@plxU$~g{#Y*r9iri{BPbnpZn3u>YoVDOTP`~R+h9qeXv7+$6>g1Hcejc)Q*J& zZPsVx64gP^lTMACtnAjG1*_s7Rc$0rl;WCP>GV3s_+Kk7i=*O^)(SzaVBy{Ypm{r^ z*NNuTcNd3wS(n2znm*wr;Xcc8RCLLW*AFL&+6(_@VAM@lGXH` zP$9*4p2r^R?nD<}9O_m)G3TdwX>mIuuId`uxx3ZTyh6va$iC4b7C8t`hegI-;A|xZ zi^Rn~#=%S*w)9IqwJbV|yYRn&b1v=9(?J+%7pJ(+ar~_03igp44XFeFoi) zW&58B|6d1%bH?mz7sFGi^daT5vq30N-$PXTk zAN_e@?s-y}TT1d1o^Pqwf`ucfU(tYDIE0QKsF<=d)IAiUd6!97UVs_F!i0UJZwz-+ zG{RpS<7|ygoWn6=KqIXeE`h7G&c5y1gHRfWLB5_~`KLKlVCMI{*ib@}1KX1nSj#-4Fn-(2&G%`^Q%l;i6d3CT?6z$A zYC8Hj&tu)Z^!u*wea5wg*~8-Mp9LCM%}jpowNHrI7e>@nZd$ihxu|88!F%%z+z1Ww3~EJ0QYqdOUq-xSI85(7T8CBl$uF8* zz-~S+UJ{gq&h<8^G|c|KPum{s!2$$Lf%uidW&bkQP_w1pL22}HlqPg>g1$6V-Z+|`U(SUfBS8hde1_44=Zw$X#r4IW0j zP@e1mr3tmfi9)^f#z^8~qf1g9rUUD`;(duA`Lh4r{yrs7Ssjsvub6}Rmt8^N<`{K^ z^4|n?!W5Wg2-q6=KKU;5pNjq0TgeOHnST@1nOGph7$u{q_Zg9Vl28L#76{Yd1a(Zs zMLsDg|AYT`pW=~3a16T%8(q}xI{K`7v2?k$a^%P-sDdVI#6I+JckTSi^2=V+511YE zL%E;SQ0F^TK>h#H7J>;byQ%tJwO7c|Z}kbC+OZsZG6KZjL?fjv{5T=t0=a&XXb z)WGOIojxv7&cogKHxrLqBW6ASiw|E|pwX8X!wixUhWj#AesFDDu#Oj=Ok+~lxJT~3 zt|#ZS{fjGojED1ajN{8^fS7ErM0CI~p_;rkMgPT?m}Hn+6gcNNvTlT z3M{;Jx8(Ybn^z&DVQ;Q)bRU0a^;N^EdVFJnCP>ua%c7V={-4!g4r{vbuK8UDbyN|@ z8I#@tUil}_|4`>~&VjA4I1@o@m&@lz#j3h3Wf}-8ocoklrkEtyW?AX&0xf1#fz0{Y z0C^&4k(?Q_U$Z}xP6ARFbsnBVxi;$bgU6v!eYEGc8+=sp4nFu1`$9l*@xve|#NqCz zuPHVCFU|T753$CiG2`T^F_zF^-032eqf5Is#OuY?w`GTpKJw9BImx(BnjF{3azin+ z^R$1mUJgF`J^nNokeu+=Vy;Woy1O6pg(%Yec>tD>wc6rNP(=ZOIaauqK&G`AAjdBZ5o>b6WFJo58_X?9q%idGQea+pa?1&s+t}oW zv;>~do@X-?^BjtqWIa>UNx;0SH^in7Sy27=Wqrh2V$ic$vu{mC0eZK)zZSqc<6M14 zOV@CBA*$i}BPe863gCF|qWk-qE$z1?Bzf|KTnoSv7lqGauDGK()ZRaahPqT%8oeyp zy0z;mp;Q|7U(!Cu+!?Sy)mydBpB;fyGwCxwezun2YX0cZj>V5$s-X$$nnxO(esSi1 zK}XZS9B(aPM0LZ0rCQ8Y;&eOmXL?U=f8e7PD04)ZgFGAQCgiz=kZD~>({k8p$BS{)WY^61KX8yN2| zzt4S09@k0TzhCGxCqvL${HGq5Hu*;a*2{c>!EC78lddB%WiC!}<6MIjJc^S8yfuBc z)C5CrAugH8c$3^uncJ8js^}@xo{hkg9y?l)R;X2MTv<{>#jBq1J#*YDUKjUZf6Vsw z)8(J!wzSKIFAaFY!I0iy5gBzFtJ9t(>Y<9)SHkrcDM$;%vW3I}5KQ`$9s#JmpHqQ@ z!tM=10rl2;W9oc%{TENRhnkPD$ep-Zu|e9Gp94;iQC_33va-@^OAft+{G&l%(YSOJ z-Qx*^i=tpUDrIFP#jwipu27a`zK7zyPuZMEQ9|YSWpJp!Mt59`tZ1@exk+&+KhxYm zCC`?_OxS7h9`clSZh&hg{ej%I zNO^&4q>HM2v>XxnW?T2Kk>Ue=#GCF?h6snBbgD9ar_a~@5j6m&AsD%07?x&_2PW0tKJ0*YL(5i_?ilcNoTrFJQ8jm@&~&I}%;p&Xc0R zWfR}?8zHoQ5bMUZ?U>1OpnmUBk}JlID-K&0J>6I)(GGcPQf2uG{?u8vgnTpv66<3F z!k7t?zNo`#U*R;#E=_bW#IT zSH#4Aj>w5VJ;q2{w*zX`%xard?2vR*E{ia&6@t_R7&hfI7?vtG1d6RpF)Fb8o(_`n za15*R-FvA)rm|kNuI_bm9&yMlI4|o5oR8_{66CkI;o`}Qr@_C|Vv4T5_1d0Ztj+^? zUvQn2*x0JKMeq$2|Ij>E+h5L=FXzz|{o5M94Dw_vX0|1I5tocUS_6|x{5h+@T;6Vh z2i^!@)grsop_Gr}#oRWS{Hb;nb*36iki0`!5py3Orw_yBbuXs};`5*#^+(ip13=ma z1z#v`?;Vukj+Q)7eoAz#C!X=B*BztfKcP7;jo9|`FaPD^^|9ni#^KI2k>hp~3|H@i zgI3Y+pmrihT5mm1e|O~PzYOLXgBvzpWFOI_N6aWn#ulE#&eIge0LYD@#Rk<0`q(m#Hh*)S+Q{#5uU_)!9gs-ISF@~tH)3T;t7 zFV@k6M_SK6T;f|}Y{aA{&os|WR5E1uS|s2o;l*YvAb8YRRIYp|7p3p$$E)pAFfWDt zjs%AzJD^*-R{dzq2)-t-J^Ir9#U`S~#4-C(^k*eBDziw8u+7N21kF9y#HU5tRxHxd zSNKwCIKT}06sSM%(s4js_qR}Fw;nf-r20^lIDIdNXC~TuKUG>J&Z7M8qlb~C)rB5) z)%)Tr6*{-mO_|J1@o8g7ht1Tlg@OIeQ$HLT$z}ge?(|Zlh!;;<+xE4MwSE{mGsSPl zq!Rv+RB9ndld9Tm=Z!D3$qS-brPhX=m5R^bmC zetM%O@b|Uz??%A0*Pf@UI~R5H%~FyD7nSJjC+J1P=NCc_IPb%QUb=j5-R?OTWSFSX z3#7Hn1m?yDv9fq?JSD$)n-n>08fC(i%=(;jPhogU0?}auuBepP4QygNcj2gph|6s{DO6Dh-4|6N zQ@QWEo6EL?j2m9yl8t&=+T!4s5w|CUS9Ys4)xw{Seg6<^l{_e{N)cgx+=5bo`NDkyDLEyyr8?R&?eP%U;H|F6pSRgA z2DMx-kDilJ_h#$vQSk^^%(v~vDj(-fxk_NsTX5FtA!;2J>G7JJtJKwBP<_;yMKQ_7 zaTbU*osZx5Atvw9k{sIvO1^|#hD&f?xUFE?Q9R*47Fl^P@%ZWWZ=cR!KKFCfA>?dFv!@_-C?ee1Ad)EA`X0R^<=)htW_`?Jk+C29v$ zNkJSjQantS1aWF6b-|3R9mYaOI!_7olL@iF21hj84HPWU>FSLMc1>p$Iwv}BWilhe z=i{uPyIKP5+q3ZZq4l9mv2rHINY zN}AKl%pto4hzK1cgk?bpTKTCwW9Y}@Jm__>7#BVNudUq(9&&kAKy2Iz+*90KJ0qk| zK7Xg`Pt2zpCw^l%puD0l(KexgCS`N|Jt!@U5)(`+y488gm&7UXTNf&=Gz<9&H>Wq# zJ81GbMU?xh_jwJ?6Hq^8gcRtPbBDEEwuga*59EOT##13BBiLX2XR4UvmHTbisu;IA zsWllYR+CXmf9iiRG&VF&7VztL5wg&zLOzuh4GUFB@okxe6XvfbsbR!xLH(x+<1e`E zYwSxs`V@`aM+>Y99R3i2nfXI%yX2n+{Qz8r`)tjZ$Yx7zsC~A8dcsxMSC(kF45>VZ zp3Xmu#|A;THOnB-{CDlKnb4rYY~{9}Es#gZP*v=lW&bdIv;mGgf?J`3<;ket4denX zd})F4UwNJ|_7j5?NeRh}Rv_G!+qkhihVF<%L|6FO=L@mNC>N_k~jL2ZOJro zM-%#|He;85@i+mpH{B(m>7IE4QN?;VJ@Emf)we%Oql;sa@LsM2y!z^Y)?EwnbwHp! zjTwS7T65wb9heH7o6z&wYPC@d*Dee5iW7l3OruwuvWpS|DTQ(#iE9|dtt7U{T>?)po zz)XD8L^TVEzUn;~JE*XJiP38Nzw<#D3e;HlCnLbo$-UcChVqXQ`rIAK^Z?t!d#81` zg#Xhsf0=i8P-4dX&pF;7jR2=B_oV*am4@Vibr!gV#@@4J`#(Dq<0f*ax-)v~-B*t( z2A76smf$X#0SVl+DP^?Pf0_=Q+Ga%pfO-DcVDw>v=YaOSK)}+CQusaj{?L`b8qt(Z z?5Z76M=-|4CA5F(MjlPFFf?rY8oML&KOCxPNwwb+*8!=Rh6BJ!=5jA{U%_i@+IT~SRj zb}Sb0`V|{>|KQ=q#tTCR9@ynP%$ZEzNqF|~LgO>Jr3!7VT#1vpv9Dqdbq3fvm-)O9 zKro8P(Pe|werOkaq{4`^^vX}~`HdUftK6+n3Z>$UHs*(#h?Q{vY9vOufL( ziSkFvTqXz}Jn;`-F}R$^Zc#mVZuipL?I{(=!e6y~`w|*O#0D;x$Ct#y24~aBpt1(Z zDf8K9^Ze( zX&`Rz9Pl_gBPS=vYs=i4MlUPvw@Eyn)C{fSBJsO|kH#M-(+~X+#D_#WWJmx`#X>p! zhEPoxr}S4UkdzX=`QxIets=(A1c7FPK3!$^y67D?=0aaO?E#K;Swl+ddhq_?`SaPl z0EGZytW3R*$C$nq&n0fYy5y6t`tGei!2>^sp31V0D?rVv@GRr4guyEaQa&FVU6$t)YaLrT?fY?uA>;#~SNE_Q;!tTbm10#FsxtRx)Jk5< zM<&l1`{x>6c5Gzi6HtgJGABO{-C$XKxPk2(rGc)>j20^j#q6-py*)tP2IVtfdpP;5 z1FV)T1CrhMHE=NBnqH~Q>&*YK2`VEn-g4}J^ZX@yXdCTy+BRiK4!0-^$?{0oY@nTA z(Yv}NJzVR=DIv0ogJyLR1@`TBgHr&10-9+FQQS$Njl)gFHfzh` zh1?5)4gNn|k$0?+P3JX(+gSJm7Q9h+$A?d7To|3Jebu>H(bDl|gY@%1RpY2e$R(h< zVj9K2+04(-9s1?+s4D>^h#^fGht>>^CuM?ke8t|xD*G_`N|26|GM()cEsFzg(C&A1 zAuTxrGc92a=1UAh&Ak0pG6ob;gr6}Pt9N)=q!HTO6zC9CmCiZAMs62T662xui06eZ zh%81)koMJzHZX1MY)-zd_bJ~j$9c&;@XU5RAad6v*=cO$aRi~Sl3CwiI$!o?>aP^7>CVBs4{cI|arl=N!!Q7gSPiy@#e zWd0SFMMg%x^19fUQ!w)@qlE*u)?>30Cti%|aMfv=>92vYhox{#1L;F9wrqQ_S^Co^ zvpSJy@AHdwwSTI*Ufp=?zQXQQ>T!8SlLs$}oQck`ks7Fy{)_cdy9q|GVOiw-Xwt00 zj%O8M1@M#weKL8O@TqCP;^)Ha2i~SLD0zWmBG#%}q*i78MDH*%GZ*8HEY=eBS~HAe z;0i@^kK1Tr<67LYaD`bREE{1<;gZp=*#`uZqA79|cMMu2#h^@4bK@BRG!IowoQfO2 zn-5G%vETcWj8Fwb4?v8$N6?tjK^TsS*SL{F&=X5eK}L--+P$q^CmP|M_IkoQg0jE7bP75Z#8*fKPBaTZExtKHHA|kM%25^ zKFgjyjPVGwC*DK!5M&I3qTnetVwWlIl~!xU5LMBALUbdflELoeDTk@AklLb=!h8_J zld7pgVV1>Q0(0Y$Od%go{)aK3Ad|mRF?jUSpzDVX*h@W7eN_tCgPvQ+M1UU6r3}Dt zfK;;K(g_9458XuBB-xVICiYE*RSeZ1J=uFo_IOE(y_}pB!5dn2Rlv?UC*jD+HhlTD%+#kb((W6+p&j{)2Mz464Kf!+tV$Wab}kOBgT&)Q*~l`W;rQ{~yKOX_a25(&@v`4jn{>2Fxc1F%8* zh{oaj`=6c{P^E60fJXW2TEaI}%pbmatqpyC40#Vg1~tMnpV=ZKjg-v~XjF#cN%0I^(U%()nJyPp$7L zKEeNAp2i9{>321C)p!P4&3<;&u9SJutBG^EH$?g$xFZe#3JIr(*2C?~c$2A>I-(ja z2DkG+*hTe3K>VYuW_2V0K$hex7r9B5;Nphywd7E#RMUo$<;X#Y(E$@4bbkX0;G$@c z%Wi2PjsyQ1$2&hg_#aFE-#Kv)w3A;l`c>hCXn>8X9fGFGAljgs za0@^{-n*VscxGp$b4emBU@fw`;H0`JZF%+WZ`?(?VCP_j_H;c*kCmgoeEhl2I)Q!V z)8LxmITJy5kBN=&SCNFxfLrYNV#OWi)4i6n_QS@Eq@}<%mZJUDL+3x_tf`7Q%{k*d zM>BiwY2sE5qxT+~vGf|8+TTFX8bvXmu?e1A6zr~)sBSiCK63;t6zzv~5jWB2xli=R z+7(Aqz`zO29cI-1)rOM^!tk*i8<)etu;+H^XV-T$XW|#x{OI_qYNaC9`+4VzY$j^Z zdH+tMZ!D+cXDO;JcBm^-lN)cjr83RxwrR5|vu4I83|=3>#7a9l=lcObA0A6GUfx~M zK8gWml4Wdw4B`Mw2Sfjp)hk|eO2%!spAQWA#a_PRNodhA83(Lp*~9jtZvu4-T2*oVMjj$n86obPEOnoT)TKXkq1B^ zaqNEV6LdU7#ps^Ul{B#DzxO0};*X7+K$qsr==srkT-C{eO9n+WbVm1Wfm&@$I`=rU zPZ$sKZT({G3QMP7s#;(!WsjH&uS(z*0C5Bik0^H<%{fi(2V3rmV3EcuF;<4A9%exU z9wACaZ++s@!`XtGa<@a)f;Sf4(k#jzv^&2#8@C!NL?~E;wqhcg1TfZ@95g! zNc2CMxMeu%ef`jTf_;Qa+JrrFoyoo)h$wglTYvWlSbh)v>x4~#lgYZDFq(7O?}6sCbFSXt(`Ub*GzGK819(Sh@%=GGz*ZQpC&<+(0~q&mV)}_(QOE zB#i%wD;}!=U8E&A$%8c}LN6lz!DOMkuo*RC$Q+A9d)QFaJPoF%dTpL{O5YRfsM~K| z%;k=6975Wj5lkB$dEPkMrn+mX)TsFWvkixSq^|8I*`>Y$S@ZArJ)5;GwFSjoKiP-> zgW{l|zRqTBbVb_{b<6cASA+um7pI)LRh#i)l&nZS+2udO{=eHq>e^jJdPy`L?$Y69q72A@EO=K^4yVsjLA_7! z{`E+gtXBUnAO4ur;x`F)9iAEeo})!wf+_unP&{mU1B|*_`9!lnaaWnocYp5vu;81x z&JY>vex3RJjsK@No7rA@+o#h`Gwv-Z>M1sCI_yGO9H_-yk1bRXU&%drJKuCSJo)x{!9fi`sQGlmex9JC#AwY4$+Kg%4pn{X?;xbGXZ_OahpM!AzGRq5 z*dHEa+APaV<%nd?Ze1GB^QL-*Vu220TLXWS@WGO{@!73B54;*u)*C*w2)au z;hBaBXDP{yN`75Gd^pL(OUIeKt8IS+tz`JA7u56HkBd|)=@WlVQM)AW~i-)p&fX-ehCW|QUFhBXcs2i1PAP>;D@y`)){ z;mRF{N3w`dkAL~RgO^AeXta$Q?po}GN4B0T=J>nI|M(jB+?faW?BnlVvIXgoJKR2n zoO2f_{Pq{a;;zp(?{T**`D~Bd@6$S1iJG+aYX0w|f@2~Jv zhBP#JAJ2`7VPM+t`W;z7`CGDhW;jNgE@W9=H-u{h?|!Uk%NA4aoZ3u;9Yj}ieTCUt zoKSXMz3W7mH=QBSZCU)93}#;S3{cgFRvJRDy~z$bjM~cRA;U~e5SVTeTp`x1 z&F?ngY9if*%CEerW?PR&M_Vw@)42qr3FXG;c=e?=A;T)2YBdg#kKJw*Conoj&2+e0*ia zv$#XYXRUNoX`?cJMW;9UC5+`Z8-?D~n-2&-v4|oz#%@#YCTtPRYcYQDL9C_k?kN_sEj<2)qpj(#De zn*tvZ!%(o_^*KU?o;ZD>T`gHYC)`#jA{G}57>-i8)h|~qK zv+HplLY1W5*ZMjxqiON{^3Gl*cIrW=yo3d>)GkN~BEQ4rjc8m-MsGwLX8DS%JsL=q z0w&7p)wetL*j%-$wHtrGaxZvXf8fn7bLDD4`)zw`tj$;-su}fLtbDmbuIORlCCuAu z({91GyzW32vrre_i8Utlt-X!XuMvRx7!e-|9$LXtTYtI9(_Ug=YbaI6$}4y%Ji_tk zrynOXsm0u6uev_`u>VA^L+itGHM>85PBcZ&T4cQowD?R53A08}C?^fx12uR*%P4th zZWo+soq~8<;-t~lKkrOjxZ%B}$Mvi;jPJ>+A2!!P!X)uWUIJp(@8Jh4OK8~1Fg(4Q+8VQMot=F;qGl;aP!2#xETT& zsnwin!^}_1(tQ`#G7>=cj1b$uQj5GPyAqP@(Hi-~jwv8P;xJMSzoF`jOMr=)mW);@ zOtJrdDHqNqqxTub0>$rhz}1)tlJfeGZiPd~F*2j|L)i^Q%SC)n(qgWs1g(gVc4yun z{oBMZh4@k>@dlQaHA)1VyS4&7Mr{Q6aNw?o6MNGck*^p4qX-;N9*2qVzo_m z*MhfZig*6|Bmd{u-;MkF5ZnxK&I<{Og7mKS1wsfPm`P8uD(QcJ>0fCjz3V~hW{?1j zMFD6-UoJUVX&a_4PJnGIYXDuIpZ0Ie65@fI75_0>&s+=%DS<7cov?icYx;1-qoDkoma2fOyh|9R1A4Dg?@X|^i1^{O z|D<;8g4!$=xE;Q+^1W-KQ?A!cW512N%_<6z)``Uid^lKe!rfX^;857o{ro}M2yrwX z5|TEsc(uCPbPztSOx;5xwOQsvHRTcqPT2D}sv55vXmr=ki`mVWm698ZGO=<+iPe>} z6*uuW?Q%ZHjeR*``UvMU?`h?Nf-sYCSOU7@x4lo3t=rY9u$?z5vKT-uG#V5|mqP*o zO5WhybW%ou!X>I>hreT+8-h8efQcBfr78yl9$|mlkKCv-x>{~oRs(4a7by8yOwg*~ zI@e<=;d)&?h>=X2d?y;l7TPCFaS92bcfD>$4Pa90%1E<=zT(k=SKG-T!8g|FhQv)+ zxyG_%#DyhD$qm4cC!UO#wxh&?|H;lUzCPsPc7eab9@X+;ILoVS%K?waKn-SMX znkKRKQl%V?k4y7QN5kstx?R{o`B#OMu+nrlEU}5m45~R_&hKSi3d=(7ebPMbszN30eCIa zXT$&V3%L$sW`S=yQ2ZTs{PkzndfwYBq=YvB^BwBNpi{M>RbP&V$E!GuxT+?esETJ3 z`8Lut3Autizk;FgmZ|N4y)nTr0?_D(hM%+?p37670E+NU=_01}Rk>l6jYo4}nvAFF zQeKabr2|mV2?j_81b&~{(csPXrLG{kLO)i~$)|>isqegiRJ1p@=SX-4(IqI9($Y&J z92&c8q#G10>{*MVzR&&02%eJMw^Dg>xqdE^0;STu(v=Q(nvbfx+n+tt>zS67vr`((W*dmOp@`ER}{#4WJ%FYPR3FetfR z&eD`H_WEH3{edF`=@ClOc^M906w}U24%;mbdrPr;jV1SjFE>6B+4y_7u=sHarJe4@V zpxI~L5k3$=D?_=dGOm#gj+3@kI5E~Ft(g7z8@XHuP~3GE9%v{p&R`NdO7d!JT!Fo_ zoZu9PcgRe4S`d{#wQ96syF2@ayVFANzgdB zOkaQg@-7q=8xx55fl}Ddic7#A0H31=K}UiPg#9N^oatAif^{)kr6PJIIXIfW0a5?5 zCtvH}!oi+}@S&qqJAeS=>R`2%xs{pucC5dc<3RAWUIYOqI2=nuf`-o2PM-prb(63a z-}X7M=K1Y_@t%Dc@>V3FQ14?ffRlo?5R_(JcVGZqvk?H-H$=&XCAc)mlHr#&Sv|X@aaT;(uLLE~~K2p?6!%Fw4%fEnyf3}1t#sq1-kid)H z+U#aZ0uAxUvaqVF1*^(;{Ss5G@ZGoVDN&u~aKKGtQu0+vsfwFrgAC{V!A;On0dQK; z2p+Ce(8kL|oy3=z0#a8F0E-lk03%A+Jna|Th zt9*>m7Yta=$3%qn!QJ^XQO2=X}=Nt-2R)@y1#i9d@!|p`|bM^Pat@iQ_qjN zT!i_T{He`a$to(MT7DumkP2ks6leV4gxK`h^y6V#TEeKMHC9mGQpUT<=V0aCWJ7;0 z?HVtSNs7FJjCK8SDL=_Ls=E_n)HT90NnUpL>=rD$SHbxubAYD5b zP%#+o@%Aa_heQ~e?Px$d9Fso!JL}of>5p)AQ~a3i%rnt5z^cc&`9@>V>)bUEF-vNO zuNK@C$8PcUdz<;y2T9f#fI zbhZb_g-d4GDeSilIE1r5J{ zKAavjr%3zqfURqo4PrY=doCzOQEf!Hj81h1G^UyAd%yV=s0|G0PM2#xzY`{`dtu^~ zr}pn2N;v{(15fylhxj8kLQ25)#ZGk;FCS`bU*&93FJ9_^(I32>0}KWtwdhkza}SCV zc0zTNNiahRRLm0e#{UrVI4roNuiyN+(+Gi{BueKOTb3dv{o1pZ60~sI@Nc*t@AVMi z?AyvtlY*75*8*Z4OdLeBb1k##R^@>nW_(dZtQ|5T3Hv~U5MbGuAv*F4ghIIPl@ve^ z99p19N^ypv1T-sGwT|JsBjv^2eeg>l!3nHfod;LxP(hJma-h|WA;H}fgXbj&!<{BV0}*(rPH8{q z_$9JDO+2b*z@ zY4mSC*!Q+sTO>7dfA#E_AF%pIBrB3NrG|wbZB~v`Rv^9AwhN3|+C-RlC05%DE+TVT zL0W-{nKSv5*Mtl+{9XADm%_f=s1~J7Cf)oYsAUCAD`WWLPagW8=0oC3ixAdt{C4Se zleO819nEHtk4WCE&GVw@q>?enSLLX17gqF^s-ubVbbK%#^1gb~oTcA(QG83P+pK`$ zdT%ZqBoS5;*864qmpQOwpbJ{)Bs*vf^!78A*m@rSrHDTN`?Kp=Lyj2vny}AHySaw_ znYfief&0Xb=$Pmw=O>KZcRK3$zfE6uDIZbN{}PeNlEz#iRsid?>*ZuCTUqlfYwoU? z+m?lZn3tI{^0E53YPBY5Wa{D2v$~64^r)S|Z^0iTSp-LnKbvWi^((*Ow#sjn)I?l5 zUkwDA_dvQ!Rx|BJk8C1hU-W$-#cz!p*xz#3v^|fjT79%$qW@ph_`wF*9^U@baaiOU zAK!0hsFdS9UjN{A@L+i#0EZV{6Sf&$vQ~47O8BAFgdR1O1^V{vf?dFgAB*W-;(2n@ zhgFrDvzCvOqqZYf=*U1lFu6nyh}}K2*Cf;JIA0JL z%a`$>jNrTnm(>FTOXe)@z{c=}KMs3~9RJHN@XS2v?**;WcDY)oWW2SzE;GD?uMjKr z2roB2*2C0^(olNHBsc~hEX~R}>@78HH=XsWVVJoT`yqwCXeu< zfjwhH!$)|zNOrHa2nU3BP-c0%d z7cx3>^rRqBJNxH$UFcu2)>%{B3kW{s6$_l0oB-Sn=vJF6Y9%u6EgAL3m?=JKXl?cX zgp`BKKa2JrOj_5mIx!B{NYQA2)vJIOc!QVZWb(roSmXar6(yJyt8x8u(YwU04nG{3 zA;+3pEdO`f#n589(f98cLm4sn?k-QCtt~vYz+Tde41c~D^hc7GpP4y%qAYQ!&HJp0 zJIlW%27G`@_?f@(B^FduMv5~ZIKGr`pyemxSmsI#En)F$IMl#!p6v!a4W4Si0ZnHhY?jP`DkAt{qCwB%AZc3*NQTJMVjpa1dCU+Q<6fIKDjJ zZFzh3Y*FidJ3DDCJoCT!%BTZ1sMD-is)&p4Un}H;dOYryJUkFPEH4$i5w8MZb9+w2 zb{=h2vZlYdJ@cbg5z8IbdiYL;EkI#cou(ww?~|5P8)+EZ%EguE;j1YVZb)qeZNp|T z6C1iZJ_e@tXPS+HYG&ap$lf;wk3gI!c-Cf#un_48=H8VRm+_Wm^~eto0ef~w{sUYr z4VD*N?Ypc-AuEFqpFc)l^8Kb|?Z1kb58dEXjPfx8q~cD;oV1lOs{h68|L?sC;hi^201|`$e{oZT zv<#3{?FM|QJ&W6Pk>@#Rk_pnRs#peFAAf1e-ub+1eDDQ;a!g6|+d2@(EKA2oMt{@# zqneL=_^y0evPc zsH-bn^1aK22Uq4l$M(9-##fl@`RhsBHiI7lx1BG1J++62q|uHnX{c~r;MGZPS8IW* z6Se+jxOF|K*VT*FujecWCx5+-sZsa!WRk=KVn`RKf02_?Bt!Y9`gOp9HxaG-x zevs}Rz&%NE1f2{XYtUVi5Rf0#t@AHCNZ!%~D;SKL-Iq~=v8jWa0wf5bm0%2nMzd?} zE&ptv231ZU?AfJmk8WLW(trK!62ZAid z>`MB~rF?=SZs9y29n%&u$2XED=iH~kkJ8a6fY)xlZ%PKE%xD9-cN=Br=Sc!)=pSYn%;YX&KU}^G- zQ+Klx^-}Z_C}NzWIMe&NVA-N0SA}y9=y*J)YEjQDo_{+m+U8SC`mqDpEr^og zmC9iU$D?q(qyEHYt=QAC)amos9zmhf;opYKozWB}(aLK2%kkScJGhX&E;%>CP*WR8 z0a=&l8|^@92@XqOv|)D4`-}G*j18VGafm?Y4}=krh=Xvl5G3CNdrhfvan z$UJSls30ZB$}3uC5wnp=6;H1q2lG`q$zV!zOdrBO(n-F4m+|p4&@h^D6qfmTjLfq` zbKRRaGVwRx<8*^b?FBCUzbodfAxx>eXl?X6=gK_B9uhKA9ak;*ENcb%hHz22CldB^ zhitjlCYOiuC`eN18l-hRCM-AZU&5D-b!zYwU%I*s8HehPA>`~-?4k7;3Ds+Y*QE(r z$mq|kmq%oDip$@qWY%0^m_ArT$_&&9vL{B3i2=6N^`&WD)Vk0v=Kg*aAK&tcQsbYz zh8SmFh~bA!6s1c*lTr(u)^5$~Ua{EtCay>2M26*@rY6aGgsTxC&#P~?xBI<&viWN= z)S04_`P|fRP*r>AHS(Sj(d@04ipk+_(wEpI6PFmh$d^*E(;P@VM^0KfgiURW?X-j@ z-tpoGl)l}@%%bCKw@g|iakCO?GzhIIV?YlM%`FurxMaS}&1b@XzhoMa-}3Nf4jPED zhfLPv8@2<&Q$YjWwfu_z6b;JDF^gnaepP>xUTpcu@Oni@P0d~t5SI^HalXF3gLsx-e zz7?n(#>a=}Xhh~lktW2`#*1}kkXGK%#J&Ewb0;+IC=!G{Bo0j5w1wu&&!3U757;%g zrQABIq1e>mBq2SGp@)r5NsQR>rAz!a55B%$An9)X8RH_COEDSEB)d5*W@w?H^s=8* z^RHrL%j8_n<=nQhi)QI&bMP2<8aYeY2_FilM}ce?Y#VruePcBQ$q5ex^uEn%y{jVO z-@djv1hT$wG$(r(iL}jpGtd}ha_onE7v(-LU9C>YAab_5+^$@G+=K#i2FD`LPR$O; zlHR4{U_ar{zdVNS)yN<5_mPCDP$bhE@+LNvYd%+L-d?Jt5!VRi)stmrSbPRSF?@oc;y8QaTj<1A zOF(ssBQU*BI{$h$>5Xj>p!W9eR)%F;(AJEFlo;Qg!a#G9mrKDC(OFy$9jOtZ-+shzBnudAw; zg`E}xDqD{`+pAJkEMvIfhV&mveVvl8uAz6wL>s_Bkb3>y*gWv5-QBLFXt#2S&KcgJeTtRDuR*1 z=fs#F3{Na2X_CgrOR3Ocr}U)6z!&-2t|pVj_zkyEvPU~&&Pqi>|9--+G^}L}NYOZy z>>C6mf3O%4kwDzurTvJZ@u!?QgzFGMEDmgfbKSqSfj`@r)jBgffethQd%W<>FqZo=-h~aqT z*D{dQwB`|Ms?l#sMCcU_q94bc*dCf4!czhOxTimQ7$3Yy+uI*X-S2lim2EU@thw9= z!M+a_j;{A+o0Q7MZr+qqKq=h7&JOPe!LzYdXs8PBOKnC0X>LKQ#hxx)REg9hBbMIg z%`4}B5G<~_=^>9CQZAjMDw~gEuN(Qh`o<&IqgYRFi0v~rGO=pl4p(;cG9Cmpr>=r9 zYAIi=800izUq^nU$3Y0OW7r_KxKj&CR zG)?N9R`LpS7BaS^?K;m{Xa|USMeQ$76RC)V3AqNT-uAU<#xMLc+mqqZ9JcIE=@@@S z`(wGep^Pb#-r9_@OXm*OVr3LGBU@o7j#pnjIDlGRY*VghIk2RRX(sO0g_zmt-qr`n zPf?`YNsLwKRRI2`4H~%)#w~N1Y_JSdxrywj3h~G zH$J-w`>c@tuHdfO)8kH00wYqg1(t$W`#t7HVzY5%W`W(QkcG87Vp*8@2q2V1$b2g+ zQc170#cXZkPb{^)(x7x@NiVsW7>qDXV;z(C{K=I@$d8*Iozb#^@>ezz%cmkz zp00XO;6dho{ctEeNA@CAh&e5HEZt%)tq}N?$zubfxg`V4d;!w`#obp0)YZfcwuK^v z0>z~icXx;4ZWniVmy1h_ySr;~EAGXiI9#B(7I!bYhkoC`|G)CG54#WB2Re7o8A&FS z$w@Ma(L-e~g)YP-m&R+ibFY!0v3^w|$oGw2U2Oi3${u;OD?HtX>ow{0;M_ZO4a}F5 znNo~Y(}zl?@75WyNCDjnU7C{U7jhdJ@>(#T1P_WipS55|if{g2+0CuLomM@g;CPGJ z`5sRza>4XH&F0Hd^o~&Sv#qf&p;{7q4m7=^1GD9dRg&3{x5sN$IPpItf1Y7^ns&9$ z;_=Vt3r8k)Xn#pxl4y?D7389j^kbtDU8F@Ed2@(`dFnJAwA2yidu58zD{=J+1z=|q z{>I!gO=p7Y%0ix19m!n?RCJgS4Fs>4Y&Ei0 zsI4A=jh#T6bY=bu9KZjoz@Y^hg;BlHQ1jV&!rs)xH>fSkw~`$rZ~FW!!hzDh(45bl%E}UF>1*P`_m2b|M`yWW=^lLp z_C%1K5(cak^Aryr2G+GM{m z4H&9RRDelJ~!at}>K4>n)lJnDDvdHEbSix*8(jKa#!Fi2;D8OADMyilOFc<@We*_iVe zER8}M4NMSDY}?+=R2hP>v^t6fw%;ey$C87YjJ-D7Sztz1kms)?<6FLStgIOmilsdz zE0VE&vsqGfeAh((sn|-74wT)6J@~O}lew*i*Gb0A%QB+2xHsZP+P5h}OEad`wh++h z_R6u-g3mjbXMSrrd>5TtrG;B*nlmS8Xos@1%7lcjg&MJs)8c@NP?5&`7nT>!5PFDe zaT`KnD&lZ59ynLDkhS(nX2_Z;BYF>nkyDiR<8m*vi^x#)?t{}|jS(MwPp>@+P=-kWrjWu&kvz}xW=W-@r29JTz z$%mNdBTPER9{&PMb8S!7SVyS0{4)q79+GLTzQ2|FEov9Ya`O<5YhjnPH&?>VHS@Yj zY#+5elk6u2c%zZw{w{riQ)WwhzFVTsfjJ*vH|S1(Gf(6<%`nu*6Tb|Q8Db&BK*|?` zBZ7VfBp`Rjx0=}e8xmR^(VlSfX8X44%LTyRU4N680@0~*aWu+_%N)qu&$QI^hMYet z5xm!+grFTgfc^zdI94Uwx`f7NlL*K8I?BUh{##*YL;ghQCF83e!&=g3tBJ4TGY4Q& ziw74L_w7`AWcC_qV46aQWsUzd!tuvNa*e9gIZxnf8d zb%$srbh1hq`8jw4(#`lsiW;zg)b5@zuo+p7hz3A41DQ$`tcpHmAli$-?!5L1+*=5? z`9t1Kt^8CYI&{NN9qPZlc;hIQ$poqYoq`%7qe>!f_?7a5qxxt^2q2Lr`QIeuHYjc# zH|>|zgBq`O(G$7b0n#P>9^yhq9rJNdbRI;Zn}cx{h13BZ*)B#@!m`5S^dn)hhB%-9 zpn#qlfEt#Faolr42(ibX(T(kgtX?7{#pP#IoD$*tf>O-4WnE%%HeTtMy0K;^2wHE3 zhULHG;tjHlJ3HpxDjB7T%8xWLL3&J|Ise)q?bXvn&GA;O{PT|fm0v@{nN#%}PbRi@t)~&P5okyOV_^?}j z0j|~!R`;52qG-4S>)9VtV%d|nWykdPI6?iqfy8#6!eRJ(p&Wg0vk;{7d&d*11y;YO z8KQX`K6lC05D{3B3s1iC?dFGfP>kQNiUXJPk|8M#4&o(Lch8pw{1X^;HGz<6vk}4V zZ}BpYPyi{&`6PN-#?|hI;+!OV09%?{wNVRDrG;cB(1EvHp&4>k6dA03e1(<#rOyBR zd&suHj@!Fa+cV;9$4Md` zQ9uHqoJ8TF(%SbB=j%lt^RIiIK1XV|dcc2FIy@F1waICK{d0K!Cbjk`LLeg2L@_jS zC3+j{LTJ8{E-=b>pM=SEK$QGDEKURR;tY?9@!~HlnNGQjUO+jC7yK99z$HC6R6pbg zQk%i;LR)+0;<FY*)kPBt znL<=>={kkfJ~OHkbC65BF2N&@A8}sfrDM{YAM@SAj9fl!nUec4PDWL+*EB+KR2YD! z*9DXX8SNM+WSqdZf7no$4DsC-9y0d59FB^}{Jx%jXO6h~p6OL)ygZuMnm!7UPZEb4 zV00+WGi~3-u#bA!`OP8ErO_)@#)wD#e6dAwEfKxWB}!SQkm@>6c^~%sw_WFj^+344 zZ9qM1Nby@T(@PUv5R51XGh?apKHkOn5Q2xj#wmATAnl!_wHtf^I7Klbdce%b3vjsX z|L9`GKNlQfM4W`$^RoqKIiGS&71-Js2Du3JOi9%w8M7K6AGe^)@d{7`#4rO!MMDY` z$z|fw8O!M^8t_+>tE`(O7S#sES0lb-g&%NuNJf)$?6FaFgZk%BvlT%++dwv4r&^oXh782imGGj@q*c!7;iHyw-OX z$;T>gKjC=g?j~iJ;sSa)rU8csB|W6Eq?QQKVCa+#8>Xx=Xx`)E?)@yiz}{~FFx0=O zBa_T@UAv0%@IWSoM*Cyd@z7j*^x1k%^k+y!W(z}?r6#f#HdKyeOyOQ*N$WvamJMv= zP!W6(4o|#uHu!@!H8ETdiUChF&pAj^N7E4C&P=-81`QCCWTEE@qztt94Xv658(6F4 z+5=-qHj2@1(oDX3j6_wZpMV<+7lMDMI2vjyx}}lymo?7hHb9e<*DKs z%l4i2dSEy5qdK{28xwoR7~EIuv@3}=M7H-|9CCt+kiNYGA5TT8&<6RMiTlM zV(tmI^RobCq#*Nk3D#rY6~V2fi#uGB%TJfL_LFTZyk4CNOqGqcfexA_((ogR+-2pu z@AG4o34N|SGI3Jr`M}4KXOj|e(RSr3a6~@*aoj|ulI|cgp9=bZ;h?IZrpP1H!@IijG%$^mqJHL{EQ@^@b zdV_)!(ySmg4iyHbL!|t;v5mG30LyDcAQHim*bgWs2Us4hIV&!ZCvx$N36PNllf~9{ z;x+jyd4EV-LY;BSFhgQ!d-I{yx&RETt~ zdrTB>G4EvSEZ(!5HcD==)vN|bBqao*5MiT|1hP#L?#t^R|_QpaM$(0V_qQe*_C*!O0KYTqBV>GD!ffhc*rq+lWC__%^fr%o0( zc6+TD6mr~lywpW2HA`%CUE@dqt9PiX)62(Gurb7}h=P{O)`4_tmf9I!yt(O(Ok-p5 z_%Iw{aMODU2KUJK+j=x$$*)KJtA(8o-MpYiV<(b`{pq3a&)JhtT}xc433fe2?V!#1 z(L;KwKUhK`=P?j?MOi6eJp)jlRbQrp1`w1`h|pim|E&)E`wH*~j_4gx30htn@CZc+ z{V&d90e`Fj%76m75KH0`G$A-exEFF10Z{~? z>6Fyl*0rrJc&nQWKSkeHLu|CqRwa1buA=rV;Oqhn!R;#Ih*zBzDGiiJ~ob8 zZHi^KYIgPEj6B5znxy1@{TGIVlU5w0*}sX0hy^c@+Y_f9&t`c65G|I*4xr`+#0R=G z$H_|2Hj)cTOKKT!)1TWy>&hv>rWt?-Mt!2MLwb&J$)abonHHzMMYD4WUI&oEzWo=^ z{XJq=idQ;7o$IUFES}(Z-i)j8CeZPh5eD~)8_sbp=hd-IG{EG^WMg-F2Uoeq=I23UQnGeG4llkvdr)NdK&EHr!bkc;^X-hKmi9n$_o4$^*YvschQfQT zWK5o94*ibbE%VmQb6rob7c{EoLI(oU(1~0PqU#vffZfCcpcBf#DL*YkK4Oy0gomm$ z1HLg&N@9`;1_NW zgWG1+f(35qGEFiUEb~kkfPalF!`t?ajIXto_&97pX~!qM!wK}=SQp#>m+j3lfppfG zJ3GsXxnRVg(ttR7S-(Nw+O`dcFZHb03rA~4FWFq$-de9)zq{-#`dD$TzoR!s^HXGs zv#sZv;EJnP=1BdMUTyVx(7^ozU$PYv>}7O5A`VMYA^t2n?K@{qIiX*{C&+)S-Z8P8 z?8m0kPyv{GC^!Y!%kO}b#}yLPCy-M-F{`Vh33hO~<28NO!R{{=DJYyAmKzTvxln4e zZX43A$X7B`#kbBb7fCxB*akQ$t{C6NIC%u5S20}ns4TTp>{7$~&gsob;i+EtnwU=d z)Db%#gSGe7%P(hGZ-_m;r1ih)rjNyr?5y_l%AE*CBLo@3rbQ0)IJUYPbF6z|ltP}q z@-*SuOoC9ot?I;*;BUx!=u%dCPww1*aq`J}sN6&O=72e$s4d_-IQG?ZBz-K1f@ zy~O6lC$q5g6kDXE8rh18&#qQLKR(&=>4j|#6-s1s8T*9`;k5iTbt84`zJOn)yvesq zxZFD2_*^!301+Q!o&H4iP1UW~Il`#-@X!k4XTF7PTzsq6098aVVEt?$kOJh9jn%4Z ze;wTSdpVEZX*3jf+w%Dby0cwCcplaeHg@AcmIyfS@KE)q#(S!YK@ zi2f|yHe$jb9`1_4EIzn|;lhO-kfm&SIF^m8hYEUg3xrf|PKzx*G-YW@9q){k#4YW4 zyO>BpOc&83It9>Dk89HC@8htY+*5AyXUsP{#-D+zV&q_|4<3c|aV;Z&E{#l@3WPN; za8@s|m$4HJ6LJHWK*Wul^?pf= zT5+t{uRLHe3lM9pqF2)5b~I>LR0cm1IjJ>$#i5*d4ECl5w>j~`2Yw<_r~BOyJ?T$c zBCR(%s4dYqwux`IjbLN6;tFIJjp%2CibJ_DjBEb3u2|h{&(4f9-s5qQLIoxdE_NH! z0TM+5t1S&ml8S5LB@!i66N{cM9D8^e9o+V^FSLzxzX+lLPW3ot!Tn;AjG892!hrsv zKfNChpBzkIn-qFCE?ZZIU>?=k^E(Nq>iJgIHh7 zlFAD`wr$+-tWszjPNTJ(9=0*Kal{6CkpuKWZ^8|=?KN#S6MuJ~YKcw>)8w9B5wCI> z#H4aNOw=w2{>H3hkp1{^rIu$Fa#^vcMP=2JP}YokI&B&@)*fX>HK#eiT9Q5><9obu zJ)*NSlPK3MB8=3HV#=|>TKDE5hn z80-$J`O6F>$qHZ7hxsK-;s=LhK` zWJt?)HD&D1=~CdZ6kLpASsYc9gSUf|At8Tq3Fs64hyq-R3&16*vuFH zN!#CV?#+Q*vH2+Q(4j;^%_L0jXj(0hO&l_d#5}RQ)EMf05Kp?W5NQezChT*Nl9SFu z01U#E2>z!vNGjU#!gYmpokmz+PBBSA20GoogsK8f>v-!yl;0MVD@!QpqdcZDrkh%p za;d1WqPPTCZZQo;Q;eDmU3}iUEpATna3CO*?Rt@->)b20HmGSu>V;Je40-kqrlt2)*0As(r zs2E2f#g`NWXeKD&^~NiTOJ-!w>rrF@vOjQS*Q<^i??}+W(o9;eueyktWIE)$Rv8Er z^x~MeUJ;cQlID#~h0{7Mgf)Nu6|fa9F-a<#JTzEExX;*C>pSkqfsl?stAk@mX)fp^ z_%jAd`nwl1daT^rLP~mivw@PM8OO$lvYOC5+o3Q?35Tuo6~(&Z#g@p{1D9+t-4U_? zV!Jehm2!{-rcwzch52OG%40?w%7qha1Gz*&eQhEQ+;pCqu^K6;!rNvA*#Rs@d_J)vs?_>qjd>X%2b$8m?XsgU7@f8_Raat z!^8$zcIy^&0Su&wW+GNO77+(oNoVaZh(Ug{ofXR(*q{2KgbP}EIWk$S*l|0ZqNFQ7 zMssf$W(pk`l-+5mGywV0b4BlPy>WppVju83(iS?aN5wH?lVczzv+{uW(+A7_O9Mu3 z2W!|~7h1+6=MhuI-ulTrmo*BpbrQUIiG}IiJF9kc7S#hy9^SA=%G#3C0+A^hPcM-F zWEKPXJCojZnE^rK0=5(NSF6btPn?d5f^V|4uakz33P_i`deH+{Xye%u2{-<3Q|k$@VAca0*ek4nrJ5^}avQ=C$Y2-SqwPJ@ixMD5@!CC=z) zg*iu_6&P4;+15;jQj&+XMvci{pC<>lA=y7qYpM(V3hPUdlNDC#faX8uR;)~e5yJF= zYhTV8L}`9sJ2zteF)gyU9Q_4l0Uf z4s)ckv^^Nd%;?XXlB1X@XV<(GCn;qV33Pc2dCPQIVjv4WSvT3jjy%pvJ)@js>~?rt zpvjocaOKty;s*Z(Jx>EN|FQqq4->~lQziCr$1rYpi5c@FU{91$OnU}%jU!-yb1l>Q z7JKgLHa@7isuM`E2w3Y?gtZsMtAzVdBYVXlz~O5@i0TlDWhkIPElUpMsa>dFgy1!=Njn1bxb>=Sh8Lp%8eg)sjBOCRR2O}gwntrX>co}I2` z-SxjqOF}iHM!JuVXjgA)!_u4*0M#k$zvw5`{@f#Jqo?Le35}SFCFtbRlHvnuYN z3IIF;4~zlg4}qmcVuhJmZzZDrJCT-j)b8z^Era-E&*KtmO0Aq?QpM&|n8RC? z5f&~IJ$R4QdiYBr%8nr z-T4mfNhihlB{SiwGtynQ&LZD6v$`M-F@H+tZR4~IAOBw0?wH!tSwaVMIk0r_xwvDK z;O<3ZBkMKhW}6zSbWtu*ozvQtK3o2G0@gZo35E3pOhVVK|m+E)ddH}0;>iYP)d34mj-acN3Gd6xDH59PkZe2P~l zA>LX0@n<+9a&|??+hG7_VI;{nNMYO{_I|zo$HqsnxFDQlE@>W;fw~VO#`FrDzytaX zQ=6+Xv!l#kX#>GM@r#MbvZ~k{oxTyvfS8R5>Xve`e}s}e#5`N~6Fk@?9j}X?=ygCI z0^+@9wMo4a)gc#YCnrsO3kuHzj2k|(l>4)OC}2Q{dLa1z5E}IMuTXLRE)=j4TILjM zA)tc`2to3f^POhfOHQuKFQUM2e2swh;9S?ed1zsv+fQLF^`8~R-w|Jl+zWU~Pzl2t zZ_k&M^qdFKZ!;CZ{7HX)? z{%OW@Mi`-vAZz_YKI7Ky=-KJ!1X$;ipp5_yOS~$&+jj?Z0*x-WwK;rjT8=e^RIdgO zP(G3hDkdY>S}#-WO9&ccfEBbA4D;nlc%ucPMM)rPv9x0kb0rc?fm7Kx1;a&5VL zc+a18aDO$_w{_Y_H^PKNF~FQ(CTRnk78X{fB2do{FZ->PAw-`tdIA5*3&Cl^eQR&UmpFRN>BRe6 zFQSjPuNb+%7>-q~(8$#XElh#w#?a09nRFf+#GJJG?$wS$U~&@4`=JmC|QDo}~J z7+HABP#l@jd`r*zild6Lcq@eXs`&nPn3m>N+nf34Ukttz z?BD5-S|xG~5&pHV1kn*o)C2*aGH|BhqAzzwUW_e?k{?9Ef+cGL)S%n?{FI;{()2;l zvBYb1Z=`D0&|llc3I&Ey>8=|hU{%fE=-}^|@;Zqp6~@xK`w(M}rA+hMVu6U$xiJwDZVHA(VBHOC3ybw;pR@XJ4Bi>CiUa7a#m|D$QEk`epQlzs= z)Yc8@Eu3LU(DVA8I2@f14nca@rV}8ceMIslJnjJw6#0U?z_3v4=w`$&@65;=fRvEA z6D}WjzTfKi?;k9}JrYR+UlnE=1!KY^RjZ}0E3R+G5tp0?5t2j}PtV;DQ*Cofmik^GUXF+UaLnnd(Y$Z@(!~2WTm*>_?Kae$qTM8JJ z5K#SxbUPXpR9T8{~tu!zkXoOX4*6u&6Zgs;llG3>`N;U&xbJi(U zsMhe%@8&rcPI)ZF0T>f}u^9yZ?v~KGQEGH({2HAoMYf-B|FC#Jb%Bv~lb$H`7AM@* zp%jwHkPNIq*_`U*t6ex9+DCLUp291cgTr?C`iW+Hj9 zd?>1uf_MB1nG9YI z(~G?M+a$>;nOmKTbh@e;#p0hHF$IC?x&sy!0C#}#WP|v>7Xe}nK>7623}Co#Kfeqj z1n2PjWnMr@`_)T(poI16e|W|4OoNJar+wdWC`En$>CdXbo$ST5Erw9UQ1d{UXh1r7X5FBZ0aC6acI1#vfC;`X! z3DkIIZ*(dR^^O}qvr2w+dzcK&(|J<d>1r1wBSlxEZ2*fs8p*X7Lho+Ylu ze;k%|(I;ssPVBT6S&ps=Ys$b_vM_4g-+kWY)i)#0CHY{j^0>hHN96l*#6{!HuApXu z;l531=+@!Q(CcYELZ)~a9W1+R>+rLva#C)0V>s2@u^(@VbvI@&=oXtpeVRpiw`3hg zfBB)oV~XKWU;%sj4{9{B@k;+P%vrz$eqe(DPDaeIkATm0TzpG8UB+&pTVPh99aqph zahXlO%H{_9eZL>+#weWa_1H?}4yNKsL_bXcX*Y@qaJhHTVW8+LB;l-D)o64Sa71t( z>Eq*nTbsm<9yJd2nx*OjB<`{e#p%}>oiY6WjBS|}_5;UJY)_A{n9!)(Cb^G$$+Dk> z4)M*OR@ygM*VlhYALdCP2NV1=Vj)ZpY4x`;8XB^eAc~Vg*wZpIL;{Ih-z=DtjScX-DAt=7Q+~4_y9;7-&wbckpiY6z zgFo)K_TMYg10UNZ;lg*}AW-t4_Y*xrx9R#rw0-R@OK^wQVOKEqWUFJjXpD85|=lRtPQ*XnZj78XVw z)0#5tBK;G#XVgbH?f$kd~ks(tjKXR!ESu^X;Z>y}GXj zB784&3x>qI;6`q4xLfPRzcJ^+C24)D70#k@PMr3ER8f0jhr3LZx9-^Yml?owo-GCwt9O_=5w2hudJ!L=1t4Vu(%_wq8|RWX3> z^$PD-+*N_0h-2dcR^N#*B{whPXgoC*VXp7=M9fX)H)jG7rX8dZUX?5;379%*CoN3XquoYWAV8;AmJ_rrQ9hKhCTlX|GDJR`; z1@1R1BG!!#trS(xTm~hPfeT12LD>ka-Mzv(UL`COMj&=QwOJA+Bu6pO0D0j&AWs4_ z75m_wu4&Hz-7|PDg}-L{5%Ek*@7$XkYRB#uL$)9*B3pxPWb1qCwZ_TDxA32CI()zS zhd8~)pTw2bxKC*Mb*10aiL`;5yNFDv(>3kBO0;x#!#U{7uin~h8i(_?b81b5*>orP zgE(Nz?J$&k1EGf1&xPM0=~Nff=_19#a%R3F@)#$0%f##P<&0uvrWO% zTWh@0MeoEEUN{M!^hSs>g0>!V7TW~($73$Jx2We35HO9rKQC2VvTH8#mm|(msgV$O1Z8!dvMVmJL1;a`AP5P6RKfoRP zgVzCnlUN1~5SEFxZ-cP~oEiNNksZqo_+!>@dZIk{s=ZD5kMYE6JC3Q?CK?Pht(gmH z6v?DWUAh1c3V$$ituIupO~pcUU4X`@fk0i}Bn?4FMK-1)Y3bGh7x69Ymba+9ktySl zrD$V_tE*?W=d)R7l*2;M#w#SVksmR+!IT^&@h$qZlnZXJdm^*QPv%e-+d#{!~RsoqTl+sv$k&WOtzC3 zE3Ts+X`cznPAD_+?&7sx&~;pw{1)D_?1KiUb~Wt%yj*&x-sd6UHc#*{gXDv`VoMSq z#odAeW_;JV@9BIY|J!`jtWy>j-1;cRu80+oXY~nQPdG7b{4CT&g{d3qTnQtp3qC>i$=ag^j-B@DV!9M*v@Iqq* zT?Bt(+3Q+M5i7Js0wTJcPDHBhw!Oo!XezS&>VJI3mj|>Ao#xx`ZcSMs+5Zw{TEF@! zy5+ElV~hJ^=s*A8YJb!Vp7Ri2_6rAVn0;CDoSC2%>H*O%oFq1nTbb)zhpi~WzVaXP z79S{GLwc3->i_%?(fVxmY(KV91e59hh4`1(uRJ$ORo=aSXJTvYY*Fen%} zn%FuMGBb0rzEm&>X)&{~zO(?I3ESB^0}q`DnYg(AJ_Ol08#tQ~GBU`T7+VMGU_n=9r-V?|8?k>S^bL~J8L^fC3^!SlNU@m0pqi9F#nyiuoLIY50-y_aJ~Ha ziyL4JF#sdL`(jKlm4C6sAjbSsX8QZK7zsGy}t)l3(L zB2CLuI^9VRl(o7lYxgCLUUAQXkLm91H|>vTYhIqylzKX5I0yt!_7AuA;8AI=hu?Az zzbhAz6MT$(Rex_MoN9cW-VVTG5IFUESd+4NviIUJ(fyu~cX`xk+i@qB*un30=WOzZ zUk5{}iSz70>zQkPXSr(lY~6>AJGxHUh39_6@R`OxXyFp0Y+&jq_V_$K%%;Nb{&h0T z)4^;~?*$tj7oL7V5EHsYH4~mk0A@cj4Rqv2l*(MfJZxl3M1&?C+Y?Q}_ zZJBmXly~kU%*mzE+U-c*5trww*Gimw2}tUOS;l?a(9D*PH~%!9g;_7$fLByREcfp7 zPmo{!_v1zqGom*89ftbe$Z0fqdMDaKn3xp^K|4rgGkFZU)>H4hW^-0kHmeR`D5Zy^GQ!;}2b4W-H`37$F0iB_5)~c-VW93zi$Ci%~ zHf!Xw1+L1)Z$Bp5uLW0yR5_6=)=Ye?w_Ib}HCck$y^0GITeOIOUWbg=Ps zY0B0gVap~>y{c8a2ztkoFKdp`AIh6k?+{{crd?F@d9+%yPv5}j$2gI{SGZ0YP^okIWy0UU=v0Jq}vhY0*r6hS%UZOk@0Mbg;oe(>;1n; zr@58mW+-{j>P);HD-5uYs9RCz(n(7%q->KtFiqNw=1U(+sVHS!_TG+<_!>R$&Eg00k)>j=ZaB$?)#0m|rxH42oU zb%;JaLTf$lhUWg+<8X9oDQys!xFRcM(824R?4y?1D=!O}UO@xLPh`1W;wAo&OmcI{ zL$ZsT{gk!At4Z^nr^e;{G>i$UB}2K@c%Lw!TrP2YDx-2Hvluyq_my&MTXwN3@;BAi z=^Y@~2~qystEtaeABykc#R?&WLR5VbIkc7#u0I}v74-##GMc+ z4=Z^X;pI@wr_Zo8zqWZw6bKnkmqee8g1Xpo%aD-`5Bc90Q+ma#zrna4Bj44K8vYVs zDi7BaBgoQ)bY+Jy_Ju=QeT93Mwfnq9bERiBa8R)?tm zuVnmA#JU@@arTauhZ>(}&^}`Id*pnnl*r4P-{YS>B^<5eCj)is5lOX5u~~WZSEP`7 zDUnR~C^4q3-%?xAdgfBnqg9vs<5MVI&pG9~ zIAp3LM<8D((z_LezY=k2T%vof*~LEz@x|w?ttvLJQ$6dU`52SR;q z$5L^{%y0SkWeOR)%{)5T@YOewjf16CBwBR!?oKy0vRvKsTq|Km0pl!-)ot<0TES^f zrG;WMLwgBZ$4^IW9b)g1jXNDf3_=U5C;f?uEpGF}`aSaRX5~95aQQe_9A}GENd6E` zpA40$bD6A(Resj-sxHyl4ySPW)cMhQ=5!-yhL)KEV{K~?>bSc6hVq`=U~pgmb;#Dy z2=rQg%DX@VDs8566Mn_J`JyD41|mTklE8{)o_xuXaMW}ed?o=-$Fd4p#{L#M;kW*M z$k1dCZM|ox(wRKt+@i*_UzR;T515sXT^igvexBv0OJV3SOEYCcqBNAji+1nvsweZK z6rW9zy@MeZS)yf%>03Yc$whY`(#v0Z1)DG`*6%j#uoAg4tlwq_lG5L%aD2c}Y9;&f6Mjh1%T1>OM^RB?c2tIFfunmRv`xR z)##RJ@1@ftqqV@MV{=PWvT4LqvM?=+ zC&71#h~rV%lzcx`9u=uHp}*Jt!L6#XpsXO>l`ttIGwpRHByz#I;L_!bJL9aD3Bl6x zPf&~rVGApZbc@0uFrFWXpN97@A8^Gjj*K(hfV-QxX8oN0^Wfnu^5@7c*0M?r?HoMR z4S!$fXKli-UyO_sp$g(u+9WV5&yVs|IamOVl%>=N6e$t7kzLWOymDYY$f9zfm1i5$ zHg)@?LRcNBRVCQInPY9QFVn&7FBf7@e=0{wvtYaFsIabTOXVC1;w?m z3-SC2CV}6E8&Hs{-kgL{4wOTIa#OsRJl-f`(q3&Gh06Fch0BL6RE&oH%_-gE4|d-X zwb&3Tx|>n4ff;|iP#5g)TxEZ`ceE`% z`Z4{;J8V>bRJA^zSl)9t0F1G!{m}i6F;2)|k2e0zM=XUT^wnKdwpSg3as@DY1ldp( zYu@Onb5L)~pi4LQk5%-|r}zWLmC@vmR>{_<{6*{Q7oKuP#dS#ET0P)>J&hEr@$oT7 zr?w{l$anCCPi~%}I0wsI4bdhNtslS2pzT8~XFSI?*XqxP%4*Ea<9B#l*O?_${e7J4 zy`|mGb<{P7A7`IZgmoKcW(eBHv~ev|W7HayRQg?xex(af@J1X^j;WWge3SaPo?I5t zG_8lt!GZKc2Y(X2Te{h+_wn()1Zn)+GmNI6Z(gxub;FpLuo#*+_uCi_^XX8^>kqx* z)pa$@pnHOBO1#y^*eRSw_>Mr%%Ym{;_`Ls|!?)NJa6oj~gVmqb#EoY)9KBXBBrYOp_2RzZ}wno_+Vy+Gm;zecB$2p z<_F9KR^jVudnH9#7f_aet@(W@J(4T#`qOW8@J!RwpRmRimu)58jMieL;O*voq^@Iz zYB3v^S08@GOSrIH&5`*o*)pjhvUCZ$z12u(NYhTUbY4U;&LA?woM@DGL+-J;Wi03E z(jab{!eLPZ@WeA-^~=Tr%2g5+nO)D>d$*pP`s}wc9O2P zD*bQ7y<@W|P`9PGZQHhO+qP}nwr$(Cakg#Sw$4^g?wd+=Qn#x6Ls#+(7Uq|^<{Hl! zRAf?3y`tR|RddE;HaZ*ORUel=S2Uk%az$c*;EBTGk02~kRCSIdxkvy@YQaV324RUB zMXHIhiw<7|zvV+mf!#J+LAXjw>sVf9l3hJCd#Q9P&af0{3p}CkGL2hz=$Shk5exT# zro7&${0Xo>j+@*9UO(nyIeoL@$b`s{6|S2occ}?bd*QJZR9VFjgm}4W@5a34BUcHb# zKy164NKM!|4_{3@3QKI^u8i?{tAOyeXGIhoAO6yEvIMn~Ol?CF6RBw$?E7yTnKzFr ziUg*I66K`z20WFMro}D z8D<#NpEPah-3|2awtxYI%(jq~CBYb8+8h0bJiOLxw8v<@bmM9P{OP}pUUN>EAZD=X zc>cP7+_ok=owM!mtRsyhdpJQ|UAf4&7EZvtG53r8JU_M9cV-OSY0shT&-KwB7CQu& zUXk$ZFhts8(wwGh`?z!!Oh$`* zaRVR66P?&8?kkv4JHUwyJGyeRSUq!qc5nbOTlsEWEtb`6)6$~jG-xszDn>0M|T zLU~8ZQqhQc?dHlzWgl1P!0|@)RU->HqABAG&9v9`c6}DN&!V5?@r^y$u*|ku3&}g< zLYXhd%E51-=`K2x=dw3Dqb6e?aW*Jw6nOL?tWa;Iz(C0BoZ8QT(7O@n^8oNF@ozD% zHPcF8iK_mSCjDcU&fY!Q3r6YA@>)40E=7dMyFB-CUvuNcx1uS9RN*BZ~@yg z9TQbzCrF>0zV+fmIqb*~+{q;;yn`1H&E>-H8g>*KI**<|E`APR{ThPkTPd+* z88BhoYOAnnJ*ISwM8ybXZDrkliEM%0Yq2$1E#I_im3c9kEw%Z5u ztqrVhSxHGy9|%}ET!47F`%sD%}a29BvuRWRDQcK=ZLN7v8?qcp?gQuM|6irE9$or#%X;Vt^9r92yM+ zD~kq6K7}$$uC~$3mqXv){)0D(Y1jt9PA5R5!St@yODotwp$&Xd3|?SpC3-#nfmqPT z&lkv}v42O8j4bzhyEbiP?GdW)6*c_*Fo&=2_bFjE0G`dm&zxi5s+3!q+V7_&xz@>G zo#fGczkT%ZkAPnY`e-c7U4ps@moROK2kGx1nWibPP;XO~KCfY!ov7knymRL;s5UrxI2rah z9BTDjz!{=otafo01s%9~j7}${_1LK5g}AvG*Vm!MthwnCi7EPST9j@!tH*sCW|X6o z`88DdyvP@<4pU*bZd0#A=>ZSrn<0vkQ7aa@WAQr5Ln>rUGlgp4-VPEa*S)z1&|H4) z2_XbI@~PicO|a3196sZX>JQ3~femw{CsigX@26VT^r?~7ap(G`fWr}xXqrj9#Q}_| zH?Q4#`E*jMaMeQaAswtsJ58m5VNS*K1>6XLX8AB*p$S2v+zR9v2L6UI!5>np z7Bi9vx(3pN`K>AP@pt$(s|Ixf)B5pLn2d|fbJm7Vtvk;<;v_tziC}j4>^DzrCv;|V zgt~cMN(H!;FdKOI6J=Kh#2yNudPiZW3b7S^rs{|E3IWIONbb{$2*WN0gci8R&KNVZ z`y<@0S>Z2D3sGB?@x4k_t^Kg z>=xj%l%cg$N-{j!`=va*xY(WIV;JrCnJQ6%(~9~!5tD!H5^=SBY#dz&Fpi~hS$!3; zn2)a_u11O0=(gg|9jCq%CHm~m9ee!#HFB2HS(9xwqP{$n(#5|52^y0Ibz4Rk%MxlK zuLcUPpVK0S?6)pRGs*-zhL`}@nWMZTq1Lq%=b{naEXJG<6jKA*{D^kj_t0da&+0p= zt=>qcm@F;BEp2f>l&Q_F&{&l(Ut^|!^%(Kg3P$GM>JaZ#3th8qfS-)Uc*OjYEKE_e z|CHFx^2ja1ilz$!)e`8oAxG#Tay8I~35#O1$hTo&c@?<8x_81Cz}3;pStAJfA^#7Fx` zmg?@Di1%$ZICl-UbOY-bSE^f;eK@I!4T>}0`# z=}aO4EsM4#c@#pRmkiSREsU3?&2tdi!hW%>vMO3Btc8sWFIFO9e2Gw035)g@P4LT* z&)C4kE1T+GM_ULNn|$s$WgDFM>eG)CeA|g3xo?6kb=%LbZhfH_{IY{G&AC-l?ycTR zfeg#Fgt&6IK#rVJ43jM1`4srwVU(bRWOog=)&Q;bq2>wZWZEiYGJx}r`?qYu{>h|*Kyv#H zH$4d!ib>#_P*0#;?W}3k{OOaI5}8S-cBzo@&{3ytyli^UPt1yMCf|nXx~Hb>CbQCP zCjUuF@15?Iu?}FH@Qn3@^K{Dyvy?K3dDRD(sCQk8Z=!FmrnZ}3XyJ6G@=)6@J}Uaz z)BBj<`{_}It!yz+teBOPa5xh7cV#trP$qm0$~4tN6P7$!bVh33{kAQK zkZJ>Np%j*)U{K0Ga>5rog=eLH$7-IFm%sbB5VT#FItKevyh^_h|N6LFoPp}Pn2tC1 z)baaBvaWD{u&q1^LH}GQiYP5hxa)pA$RQMu64vn5S2UNlk$ZUf=y1kKr4|xE7zT|IXFF?J;=y z{FrGDiVkHY%U86L$e=^V&>(@0px-}&V}A=AFyjrg1?dvE)0!dzx0bZ;2aO<$cA(K5 zjobNB_C&@@T-$QYtLoLBLxm$Ap*1gDuCL)He6Jt$aBDb}Og!ofepDYmZ}t15D*Aml z;P?Ce&h<}Ai!NZThLp$-LF}RvE41VlG84uI;LYpD6ux(hVHIcYzKchwYouot`ZvDeTMg#Ncz2#Z-HQ`*`jROgsgXcX3>jjjj!{l-M!(e4?l;-rzA;cqKEMv8OJzh4f`rTjeg_=PBlNEyN*n<>{0x zv>mljC<+~kR4coB31W7eOq(+6A|09+d?9sCGAbFDyG|%n(3~(RtN=A`5b8CE)a?QdyzMA2(ql|H}WYIlWXgUfz4ctJH^&w?Gk!#Fbrlgy7jDNHEPrj-|G#$t37dTPAk%zR?fm}?>!b5h!uGQzh0k2O(kI1 zt^a|8;qUYsj9o$@q%6RFY9(WwB_Jw(;t+;yI+{z;r+L@0BH$*CslvElnes? zgPyq7as<{vDYWwt$KOE$Zo59vn_9+0u0>S+81csuDNiTs5ri|hGiMj##gTO^JI$nt z!{|goct-Git1=mwO?93W#;03zQFjOiJ`0b*j(r#lihC9^r3PxDOPaX%QmR@Nw)VP} zY2^HCGIB`@3cS#%Iw(!bzk9sKc4%cGYhZJnhLM4`(u!*qIfIHTeG>yPE0xy24tliR zw#1q)cl8yfjs}#6+A{OdTaw_IE#$ExnR+C_0Yy@1+$fY0DY$QTKRt84D5O)EGIIj~ zBVK!Foo8rFXn9<7z9C{#CQll~EQ%d+tOY2u#FmnlW%HRDJ;-L2XiY*rs8)grr}1V? zlro&@T`8#tMMLBQ?asDRATo@|XNxH%op}gtc~j9g8dy+~CmB>OV%t-sAyD~5a)}W! ziibPg@uE_dYaq{|Xlo+K;o+J&@4#Bp%|y%7DRF94q=)=y!-&Z#$VQhODc^)ohnUVP zZXqawb2|t-oA{@Rcn~K;85td8B87BL1T(~rW+a0HGXx)5T~tx2Ef}0Jf3|V}ql1Xo z9tn(tI4JQOW1_d!cS+O_N!&**eUJhYk>rd3e_#ps3K`6+484eswqZJ-jvs7jX31R} z5c~2F^~38FBN%cH;xj&@+wp~+*YryyFp`y3NY;UA54a`?o838b#cU`w!B*$z98uAA zNStMireZePg-bJUB_9txK!NSkhTD#$K#Wo+xO5rne)qpR;2x)>pD_L8={odudfqX~ z*rQ)W1)tMZj^h(L6eTQe(6*v6OL8+sT@8P6Y5c}AMP>(t5vQX{*U%M=z>=+%%c(2p#=+RxRmRWUOW>?}>kG^;?x0&tS$XdP% zM)=Kl0kosE>15iSy`Ctz)5e|Z{p&%mUV}UHz=}JfWp}ban>aaw;nQ3WNkb+XSIAS5 zBSHWDbRu(B*Wuo~g^6=}VT)OAPl9CXf+eF2j{$s`%mAn|Y~0+Jkv2{m2&Gw@baKOV z1DhG>f%LI@l^Qqiu6*ymQfYCV^_^LC%}28+hr4oj^_QPX=zm2_e)&x$U9an4-hu}= zypdR%T;0wS^L`~+mm5V#6o98%2&Lc%NWwp5KX3a-k|$WdTvP0>SfQHCL){Jd*4+hj zT7GFGkhg{LWa`3xHG5*QU@A6Ti#*u$aH*R297hmBr>{6rU_U9;YYY=+03=_B5kdTo zCkzzO6of+x7_MyS)f|EnHrLU#^?=5-uPPF$GX3KzRsy7%hM4A*_D$Y{_Zp*uj@V;t`h`?zCc@K-MNH7{fh?R-`C(Angr&2es+;?;zg626=e)X}Q zJaNuC<1)+Dj-nZ{U!q2PEVHe2IzlsQ{&qtrzG7|OJ}3^PZljI^!FP_Z-2<-^GIA*?0#`jZ`nBlH`|f~sQv ztbyUwpkh>aEtZ)9o^)%nR(jHwX9vV(%Rud9;6q;n5HyXzC=qIMq3b2UQMfI8>y1R* zg1_ehBLUr#q%9jKb}YYwkS55JTJTPyc)6`8GVb*m8E|yNSXeyTnSaW#zMLP_q{%(q zN>qz;OXty~v{~D6IO&=_Txj0cHS1v~mk*i%*K($!USlI}FM}k0M1(u|2oB*qESgZQP5*uFDpDYiUJ#vjfV3~#RO3> zHY65mZe6qTPixN#b6aAn*&Gqe%Y&fql}0CoEC1FV_mpuHJ*Aqmn*X|~#22X~|6s<`OIaMZ>?ADZ;kQqYdqbvHN zFpP6eK(rMi&mfU4aK&lVG}u-6u|;G}<}`i1?KA-{6hK!0{^W3kFG~-hq{D|ok1}|{ zXv%Q(Y4Gr#L=Nzxf74}%h$dY@O1uQKo(e}2?$QhyBa{pV>by*P>_FLOs2C&Z4ru~T zhy~Az@Yo9MBr%lCtI6G62G$vB@jBtQKtHHOe#A`pt~zwl&>`fn#yKR=H#lVeB>V@!Pbv=xGrB{up{6FQMl zCP~wNZ=NvPJ6B<+1b^0FcF$|&Uks9*ANJ#due^-N`C{0LjOC9VlclkQbV z-y&oSoa9JctbQ<2MC87%ydtA6tmCLYgcV-&V=A-j>Tnk0gch6h7DzcZNF4(ikYQdB zDeT_;HD2^zGb;XWn?7k`$l#+~;#P6{T5kqgCtB?9P9A zikH^sZ7|?H=}s-u#2<}`iKF1*$%rla|B~=9vaS6oND-6ue zEq@ChDZG9gLbCuH(Ut~QY?RP8`NU}lcFGJf2xfZ!L-I6NJJmFi_Ef536 z#_(=q=SLFdYqOa#DMc*EzY;7;ZWog1>B!24IxyQ~!0`V1t z2yZN@Tt4u31=8cOoecPhXim1}-MbYk>n=GKliy)@22XoT=Bot&@D)#2pkZpG7H$qK zq%zSR(*Rc5k1E~xmwYf9W@MAdm0oaay{3LZP&!R{0m^tdv^bT012@BAVNju;B#MgW zWVy&sUoDtu!dFQ0XmA3v7fW4^-%N*BZ!HaL@;SNuRndQPyK_h!B&Ur>v7V7fk;Dk! zsCJGt`yDoo@~GLBA4QLtL!+&c5hG)Zlr&Aq{OSbDzu87<@-wZQiS?unWwCZ56VnK!~VKskI(p`WvMQTS5D7 z=cQCF(Pn>&#z}30j%tjDc9xQWzbI2uht8X7jq3mn`1-okO+Sb!P9jDefhw0wF*o;+ zcc(mX*-J60nCR%nab5t~p>7SoRl23@2RQ<~lRe0G2EfBq$DwSP4$G9vLel*S*pxnw zttIN=E7=KTz%yQ>EvtBJZF3ze{n>8KtI7tyiCz#5>Xw_ZdHmjkwlJora9Y>r4n;ti zSf_LrDQZq0FCb`#KJ>vZxJTM4&d0CA$hViZ(|4L#>*5vMul-Sg&=jTx-I(+mMcrMG-42tij=FvTh~E%>E1szr#;7EvcG4?ECs@b494q3bU$ z8nmr_GMT`bHCCQ0?wH5Is_%oZz~~g_y}G^Ycxjy&1-QBCh&wapi@rv~dEom?exf?J zLxBYH^obgB&bu`Sj3jmd=ACV`KQK!-2(i_JoFo@u-`oFFRppJadO3TCq&?vC{OqEt z&S>d#E3%T;qB)5&t2tS=sb9-OK{g8~!vt-?Ci%3cVf(E}C-}Adj=kBmcnq`2Jp)<@ zDbQuR8Q(oKMmh#OzdYDEB9iJXs|BK7bYr+R8o6&9jPPaw_YC!AseN%a^4+nNx{@9G zVO3innKpacBxam83BAY|?URnK$K;=VIPVC%wp=)RaGm#e^9kyN-v=Jhh|SgB>)0ls z656^MA7wgwE+ugo#wyKUS1XVw+mI7`bxJyF?9s)VexX$tRuhv}RV>i7q`duHkK;W` zIlZsuf?Ykg96BpzW@IrQotze#++L&Jt({I&6t6jk;61juY=>e$)#`|4If}T51WPMi z#oQTu_3{zM4^14{tgOX=@7{el7_H9G&Ogxs89S_d<+l(ico0-5(DATcrqQ%P@`r=& zv4uImDEp|B`YHPub`YXHEV!h-6m$S0%p2@P@87xwzW0P zpW0>bkT2qU!$k2*Bm5|8FFT&1r%(Odx`E{2Ywn%n66`BYf12q(M0ceDDdoi!WEiFk zp)uju!+h<(X3#x=;%46l&PCuVq6nXUJF0)A`li#Fb(vSp{H|IlWrK#2OSn)kg4CCp zq3vN!h;T?>NH+VyR-AfESrCwnnD@{L9ad3ii3ClITOlxj0ym)(LLMRDiZY{$iONtO zJms1%>Ugieo3GXLZfORp>c)umJmRUA24znZf4hA7F}mAi{h#z_32Nq5(C)5GJ%4nB$FebV_z zioy3Go*33KH7H`&g+=xugmEI>39=upP}T#;71Bdn=L(=)RP_O{Il#w6bkH;%7iT^1 zu{T?^3R_UuE!*xUo})kkUI7V8Tjc5j*O&DDH+xxho3Sy{czxp1n65-x|eg%$t_#J>s*W{i8|}!`lM)a`LSfx#VbQ- z9S1`c_rypwYNnjTOgAWv=*b}r|Ae=C&=*K9{dq#EKwehWS0_tZbQ3oflu^JUsHLs^ z@lx{HeBx18zH=EY(rMP9QYS9Aqi{DTN(TP>TAuv*BqE&GY8O-5*agg2=DZu$fjjx< zHJGC>?U~!gbMKHZV(A%tR(gcA_X2d%vHmMZa!dlP#h}3Tud?a2>GGx!H+H0ljhPV_ zIr@`DyjOpq0Nv`lCum=?$LJf6-QsG!a^VF*dJ?&bS88QwolLgJ{VxOq0P8xp%zwu*Ffsg}>iYjV$H2t!U+Q=QCWikK@BjBa z1M`2;3;zSpz{Kz$*x`SfXJBIZkEs8@;Tit3ga2Q6hW{M;e_Wc0iS8f8z{1Q%$H+p! z#L7&^#zDZs!cND|@&AG;{-3afh^ey+g*YPv8wWcpJLP}NPW;wxF^Z%Tkh{qX&?VJ0@PQWDCsy2>$>Cln`!EnG|193v| zaTre|HdB)(5qbXlO8C|6;@xmDA`BoDXf{>9pNg+Gqpx{>JPaRHXAd{?&nKm4uZ1^~ ze6yn+lul^a-NIRZJS429NK`%@O#axoc7FJHcz#{}x#HN(OZxe}T~_1DlP9s}{W1P{ zU77gl0RH^GsH*?)xa&D_QC)NMDb&AwSh=9ON^kdrao_d7s4gOTh{;rSVDRY<4oMYYS9HTo1 zB<|}=^XXc2z`bx0wY8x7aVcuWpTpn#zCrElX{S%it|6U|huq%7TvHMsl$fF25h^rC zpW#|?;emAd`S^~M8R^DvY54oFcNVX(6TeospFgX1Vw!sN5t{~ztKZM*|En0h_|HT< z<@o*froI}Pu3v-foupDrgT6YX6mv&J^`gDxZKb-)Y%~)*x040wGt}-I6|YXep3XiE z*j=x5e6i~Mplchq!!o_4W>K?Pc&gTExmoWuM{l)hr_-0@L@m}JRujvyDoHZ0+|9|t z^g{k3O1nM&xZ}Fc-+Yv}ZNOEH$=c6K494R=Ytn6~xN+l(d5G(k*)VqUr2pU2BGK99gQd#*p! zdYGE92RA;c9Q)SQ|9tGUWJ7UTwA6LTvD?y$oaXF=@%9r^a~43j)_Qy_k2cWHiUy)` zOMydUgxBZ8ZUu(0Ccwtu(5dc@jli;@YUP@NBEP9P>;{BffE$#dc#^%+iWpb~3bc4!HcCEz^NW2d(| zi~wPIUM;EzJNLjmsVtZ^5pNt{%@9k6>9RdZJrt3Z*zHTb15`4GSMp*v^h6L%<3584 zm!{@OE*#0ZXP|{z&Y#}~DY(V+NlnboF97Qr#VSa^uc&P4)XQkub$7^>e5+vrkNu$5%;#YJbL;P8BVc2TK0uM8t zVK&k@nkMl_UY`cH8`wLLT$D6&h*Hk{X{spHwHYQqMi2cme+dk%3oCgJZ-R97hA?G?;Urj*#MiK>UhOO4lY{?3Y2(uttF%qFm?tS;Kjzkx{;tlp7v7qbF7M2f_1^0Af4Ieox=cvBB zla00L!wWk#nIp^xOjip<0}c=clnhSob787+EC446swUDo9&{ z`fm+j`_rYSa6~t_;sw#d)iIt&xW%IQPFptxG!{qWUBV|hLxj;GFzUQ;sj+)iBcYO* z{6<`~FYQ_s9dZekc>0S@F|n0~Vi`H;i6uP?sjstAx2@$$+#$tkpbGjxm$(>tW_|@l z-076kqkA2{yy>YH)Gi|D7})wkYAw)DZKNuI=%Y&zDnR)ko1CY@QlwDCsN053^ zqi!RbE>WYvYgbL95lYoVGg@h%V>I7*5ps5y4EQF#C(ZHo~w-Rqm~#P*o6_#rM) zR)I$eVgTzHlT_QNCU+%uet-mwIVDh*R{YnOsNpUVaHypc8vL8Y0)<`A&4hy6?n3qJ?z4!WOB^Bfutpq z88cNa#95>aJ+G&LU8m#5I00}ZzMh*@h&4mw8b`Z5pa~2IX9(e7gwET$zZU^qhKN!s zSj=fBC04Cqno4va9bajwZv%N@`Mc^`M#XU0txA>i|9!a`$k!+FgXD>ZK~OXse%>AT z@r#PrM)?bj{c1~^Nb%wm6tyhDLo*sudGz8Q!zDV&@DD3>RGh#re%;~b+ZFnfDpdu{ z3Y()%vqXw^MlQ@AUBW1NxJ3a{#-ceGs31FoLF_LHLTv0+?w5}x#VrQx+mL{AW{O&G z8Ga8PO~sm5I_3YZ(9tL7Tsk)jws^|^a}lztmGIE zZ7Z4lObVyH;Yg__cxf8RV-+48rrQfs%+SW(9HfVdO_fd_Lf~4vAG7apUgd}`4u`#r zs#E-fH*}=%wM;!=C=J6ZmA;BHwg02`v&FXfNCKhIWH?097gL$pRT$O2iYNq=YCp zQJFAw({7`ha&us)O???NNIVu5|E32dD36?zE`YV7-{~Y0r`W>x1SssH&BF$smzNX7 zRGsPz>1i-#S!bUn9?3cg=Siu~@U|><7Uzp1Y8jt15GGWBG#BbTT=RuS=gzcOH7Tcn zBTHzLqFE~uo034ah3XcCmXQnxsqEz7=o9G3IA##hET7|1!ey4tUPcLf2Gh0S5fyfU z)E;R|?Q+vSoU&P~{h|b5`gA6TH5IC$rxhr)AvBjfYoVz{;TrfZeeO&koTjr9H?Ol5V!yPne}dlj90`0Eq&YeX8V2S+YxS2h&uO>2P=tr`AN9t&)#Dn?4mP9Im*m|9AEl zw?iLcYq}0NqT6T`P@F@bHBu?7$JsqqDc#NDs)vLW)C5s@6YiixI)F*CnVAw+vj!_T zi5IY%0Hwc*KN_?pXmc9M(aJFU8G}%JC6_u^yvVI7gp8Pp#Q;{EY^z@Qzlcoltw z@E-^y{Izr1;mbeHb@X12yE{8}b+1#SqgFFIe0qWNe~yqBuNw%3}C|3MWIc zty5X|2adc>z8_gxzocDtT+cX5+46Zm#$z<;y3T4e0|g6=>y9&qLfLtO zJ+HC!hQX89mL4$M&E;DzRc$!WIrL3Ju(=E5JkS=49_`9t`fJZK>Tr{hg^pkgwC-B) z>N$eONAh7mU_+NZ9&RfoQ?RENP~m9=4=Nk3`Om2~RsS~^f!bx+@j%~%-lBjiU(1GY zu>{zJ8T{@ti43ft@#?bj#b~lQro7j)tYBkAW9wqN3!1wEEtU#zl+^?DXW|cOe6pxs zku{(rN-TXUehEwqgy5{icHbOVNzQTP2p}V+A0j zs*(#kSP(GsHLZ7_)5z36Wq=$uh}K{3bA<|Ab*mL1v7~^MdSvPR6kC?+w?!**l!cl^ z)S$ftwBdPXMv1|&QHrh9vI$tf40Slpk?k4^6kFT}k4Qk(MEd!4E>T99i_K?@)f2{C zJiUa-s**7lwkwpZ5+WP=# z_1yaLWcO+s0ow4a5l=5??wz%RIyJtIad1U3sXpuU@`BqcgPOR&;av?DRqBAz>AJ6? zMWvrDL<>`+hMBXTc&oV#r8%;AaXK12h3r#&nb$c`sv`Z&rwXrp8L5fHBx{Pb5$tvB z6@$}JR`;zl*D;nT^^yzB-3*#cKrw-Hh7AKi%?;93XC>(k(J#zSZ|9TaUtC82X zQ;T?OJ6H~t$4ei5cRe~_-9>uzx|$x&5xr9c%`xFnTLVP#L-zcn?SkBBS8a&Fx;{et z8;_(t`$;OU5jqu$2g^+fD&fuF?K6v|0u6QsdD7m1hCF>O2K82#FWM=?VKZCNx#$&J`=3E( zKuns&pG@{}qv4Vj3=P(r-VN^A0%}kH4&0vtJpLx@vPx!@vi<8vpCOT8*uq@nl5R+{ z>n^K0eQBh9zE`G|cwl&b$DL##_zz>{m;@(TDu!%=jVfzV8H^5iBY>4k`k%-NSWFOk zoCR%WYyHl7Q2_82LK$cZGUD=VT}Vs(mhV7tS9UB|ljjxfw&#VKh1)pD`&QD3{JIh)%0jY zE9wSpAWN9=Gvvj8{bSe?KzEL|OoZvdwwu`Ga0CaPcVT73<|#C%r-0&VBIv2!TTzZt zougMJL2R}ME4k|gR86fFkhTW5RI^_8zys4+PYt{UV+pM=kI-CFU7b;&48&(DU|$Ze zMmD5~vIbP<1nvZq8UUt-F>7!-XWYAp)Op`Aow-^bT5E0ITUe?7NWG*iR6{{$f3)GQ zCYMVKeFF2B(IDDJKhXw$Bn<`b16;Eog5ZG}~ST7BEkc{8-Yf(3G33 z!X22RS)D;9ZA*t8W3kfVa;WiSjT-2_ujtq-pgq&SEUBTW%O9 zWGruxk;=$kP`ShNCQYCOG~c27X7R8JA7m-{Jm%|R4a>`w6^@)vT3t6bufnd}!rY)i zi!pY9o~rr#i^0e55+;sksF}H`%2H`{=S8QNk*~>t5l zrXrr>*kZn2+8)%bDdLmr`(Yv@20@qG%{!Ds=Vrd~DVNp;ku%xCO7i|8oJSAIB%A~3 z4B=IXk_oq^G#ElUOTz??B@)1#E}xOiA+pcVOZrBB>O7ohn$$U!*M@V&EySqvg``a} zdk23y#pqf%SFQS=;G@B8eLpI(hkx;or%{70j9t*J`Ge3Kc0{!yK z)jWp4!m(}?_#8@Too#wp3=Zeyr?aAR&zLt~Gq2)%%f2Er^?^)wnD}Q`Aw2=L-+~f(`%wHXy}RV;diBG9ZS#q zSQcti2l1SiR?OXOl6Y>)pK!Ap9C~T-gm)jtvr!`Fy8YBQn%)oHz~(FmjGk_Y_95RK zu%$2MEFDa3O>v=MYy<=pyP z`}tjh(BD_6XKb{DanP~PCxV=+zPR5iMg?06AY03*ZpHJEzp@ZUSPjf->q*?NgmZO* zIrI-ln%9JQPHvUmlG?mhsA9#IG|<(|9Zp{NfN7JOL(1;QK4?`Q5?`ZI*3G2QL*H8F zb$cprpHVv@s~Tuo01ZS=+qI*zJt`)^Kmey_)Q)=4S-WP|t(rSrsC!{-%gAr3#nUB8 z0}!F=3M~Y#0lMEfPk^)oi4m^}PsY&d4nJSoL0=1!%8cPwJFK_5aW0cVT8(+wW^>R3 zOYca!#T#vLH?x7MZeqH?-@q|Nbl2II9!n+%4jgp?ya4&`pvWtO9sk-*&FNwDJhbcT zR@K|bqp6cAWO!^MwH&m}@HsIA_Ta4z9sX^13HHOW4x~E#U#FRRr?vi{@8-#;Gx*Pk zC;s10@tZ2C{mgWrD)skA;2>Z=FLbHN=#cQfIY0B-mgCWGg!7@L2;gCp15j3IpE{at zSCJor6zT!>P;V>ho^@4R-BNU%;j-qWfMHK!B?yf?2Nk(zsiJ1|yjZxhe*tX}%F-QN zr*=V*;FR=2Bq72O(9Vy4CKCa%OpauAmR9R`4=Aj89!2z_f&`Fi-AJ%_9!xfjO&Pmk z0qJpOMOw2cu&8NcB}4HcQ6*l%j@BJc-nlot=C{wqACE$xt)FiHf+qWI)E3yy!Oqe1 zIN9zhEGFE{MCxYoo;BZ(+@c2e_rgUQ!hY!O*#7g~ms#leF@l}AkY%TFWoNXiZ}`v| zW#eqP8Tr<=v;Y#{GJgb!ci+#xe-~NfU~%u+W2>Vv{^Be$wMHIQ6EZrR3LgRUsADpV z`Ywn!C~TvTyz{^_Hk|C_Q2!c7c4GFjplGOQ=9-Dtsj~PzM@_?mfC8i~?_$8x%Uz&s z_lnvt_3KWKa z>kt;!=^oXD*ww|aGr*k|w7=u{Bc^|v0Jst;8*r(o&Z#R5DK6Cp3*GnU)W9M|2R=!X|KK=@k_p%9EK< z2X!YpdBs`efR4q`ZCb0*j^nU+%um)l4EO63_?Je1RZ^pAXZIkIN`&#HeHk zNvhK)%?@9R&eL~e&)>)RN#f^1Wm@Prg{a(eah@Hz#xXhO2I8iZ*O^oIi9Ji3gAcvi zD3BaF+yXopFE|oL@|G}S>@D0F);e3{%>Ll}U38>R&73yG>^&CL1u7;5baw})0zHFZ zp~dMt6L3 zXgCsfm_|tfP1v_ft%STlqzg(z6mlk*MGcaIlYdNF^8aA( z9)l!%+jYU0ZM&+=wq0GeZQHi1x@_CFZQHhObaDFqzcX{@Ozac0PwW#XVj}umM&^oq za^-qHWURdI-=&DmOs2mj4b8mH=Q*NwDUR-e9ei;qHaDhLKZ;^Akkmu4-Ze>wgaXnXa+;NrLQtAYJt6(v~J-|nY?>`6h)7b$aN86q;`xFeRkY@ zU>IuPd_MN@Ivr}Iy_>8ejecAeITG%Q3@a!MQqqUJ6?Ag>3^XGSUy7K+3#%2hD6lhqp0iHl!fp`DD${;sEW(Hqvj?6dxj}p zjX9zLDr}T;SR`+{M&V2&?0z$81NlNQaaiH>VssTFvtm%{JtQvUsiI-t$fS`6_VlHQ zeHuyS9^yJeMw+Xx$tpXe@Dl1q)G(X4wPgK7?LJu}(w%&9@Lz`}OUpnR!kqqT#5bHg z85eiTSB8QQ3mdX|8?bCODr|h8@%*JV&1|=t$H}h=;4V1sVqcCG2UGJ`Hmme~c@RnD1D~z}z2JIl^&K2O)A8TFQk?+@?8y)t#;Ip6G zpb?WfZ^vp+JDb%NaQAr|yjgZu>&I@qRfQ*g(FFR5jd`{ zr^~v5!VcITFL%bZVxRA4E^kj5)V)jHm<(XwEH6*|8;svSk68*fRB);+(+YYIEczH5TQfr~R67VBGT`vU zcA-{W3M|*1@q_#-YAW)&S?q+NX#Egj1pLmC5!379jfKcPrXzXC+!K>R9#*|2azUCR z&f>tlDnqX~!4XG?4(4-4LQ=-PA58y1qZj2a2fQGNNU#|F&I&uW9N0c=d|mF9(Ivo@ zzVU!NWb(LPY?4K!9(kXk~2Djf%9 zdg;50@HMKbKTL)byoX6Or3E%RTx)Kxtp$P3cuIyJSso5r<+!C?y+X)F#Uo5pGr&8( zGzrXan{Cn_!)_|-F3uW4@D9|xD>o4Ll2WR1ZGv$&Gc3AJxDV5U@M1i(8aD8YL*@4c z>SwoFoTgdD%orq6bq#-xxT=onlz3E0wxk!o)x!bE-c&CuAE+bfx`ok2=ja?^0G4&u z7=LiHo~JMEFz4iRG*Gv|3)L$02N?pH!$A;*`I*_!oUO%6FCMOODDK*B-J;UyBfJop zaie`*?BZE4R4iKVN|b1fG{e}95tp$6)K9I8hp!OLNq_gY?gTQEq@pl>s`1focP-?> zHPYsO#nA8@_}nDR2wa zXOy)T(nxWa2Uk2t_=(h+3w^>!5W+2bpae$lKbSuLSZ_Uxr!NlZiu3hYVMRn?}vUPwai}{#-guKi?m1ZuD-_8e%y-_ZS< z$d!!e8j`Rr^PrezDXY_W-WlhnO9P{kBp&y-CzU+9$&nSX;4@7iQC zuZjbiRtG3r0&-am%Bh(6c{afbV273FJc2^qSK<03!0QCqcJPBd_w@t1SnrU`r+=nh z5DZnKgj)R?uu1d9EZBcJDO>*lyX54QK<;i?ded}R{;hNdQJAqwsHS~ILk&H(KGx`jNEz7ox!+2hC>VPh z>slH^AZ}DDR17R-hk)raqTd^aamKdn0={x0osxeEB>;R=|$&rzh|zLoB&}4_zZi^rr{50SN(uj)YmcPF8dS~ zU$NU`lr#sVoAr55(rKL95)a~>r(Zv^)1kxUqvC%8S#1Zm;!cO&wGGE8?TQj+C2#}v ze<^Iu^y@@FxnxDyxbSa!O|AmqR7<1rM8xSO`N!I?~$erVl2u+ z&@RDQ9GzHa(Ol~1R(acaD}7s)Qdgy!-$CLE<0s^>uv_(66Vb`#3M}WCsb;=-OQi; zn(qf?M+f0cUy5IXB~7eD>tLrPzpT`{vbl9v*E$Qlbx0{Q@~>)i)?xgnzrUT%P?O;v9o1C?OZg$+^)z4=|LzVXY6&?Kz~sje7Jow3xiSZWMrGTbPb zA>3O6MK`WtaDe!O5~4s18dShl6ce+LuJXCb@oYwE82S8IOUSQO&nS z(S&1Bf>??VH?CBqJMB&QN9wUoiTKt)oh{xIZdTP5QTZk-_F$=L8Fs#!C@n3Z?3504 zs()_}dM}p=A5tSZQ2^^t3E35KrZ7mYz{aVc_r~Q&fhGQ#`6wwpQ^ZF)J6brmq2E`; z)*y;1))To+dF?sV-M0`yt?d`OQzj@JO|CKL7D~}T*2_uOnK>e=WUz+8wRYzeTBjTM-bQ@mRjNTdm@ayXIvIqn&vDMi45Cb=dce)2hqWqiC-Hjt$aavX?|=8V4|uV!!snR#et3{5&LyJ; z0l7ygk%N65n}7siobkEDYU!V@yY*Dwrr1g80sb7OI0O%&4S=@UHiU^gwkc);u;=0|NV z2cpf08nu8ToY5cU|Ni^)HI|x71}%qLo_J_PRN<{p-NUcH;m`vsJ631j*88NL+N(w6 zQS|Fdz^MJ$Lz@|{d|jela=S^8`+dXWrC!y4L%wH5ufbD3nlD@S{KK9X`P@rSmDKTQ z71r`C^THAg`p<2ikXjmzVa^5n5TDPm=n+Xaw8J^S2zqLM)N2)^o8w{9LD+&daXky< z2l`F*mV1NTTULHWRPx`DWirD>O^S8gSu_`sJ8_=9shD;<2q)!+MA0VHu0T(vy^zcI zb$L95>xo^aA%n6)tsEm~+?+R9l?PGNCDgW0Bdvgmh+6AJ?&6vVkJYXhsUYBf>(PKU z1}YRn<6xKNSK1RM(|n2i9Krczac++AMI@ZhCK%jqC6o3cQGQgb8MUg6=vI~eLY;*B zO$plb6*6x&YtfUv%_U=vkqrc>7wael%DL3pVdk$xKamTxQDv3APwl@&%?Vc{LscHPms#QcY_HmC-Zgg`t5;mTwWWv zPI^9(Ow}bg@^<6gv5+}kI^K$qzBL>^E-HYmIXgLJSqBGrm25-n$!t*zE~L;5AP0lOZIx{ZS12AVt>REOM?NcIe{7X=R}c4F8XP zOn3r5w*GAdB_PGuwAX9IAy43vyJF%Q`fV|ut|2DS4!@rv&q5l<9^J;Jpl{J%l_|B2lE zKQ#mYPL%)mJphdK|E;Oue{&B2BmIA?AQ1eoWZ9LJ{-o3YFYo_9(+TjuJk0*D>+$~z zv$Ha={(pzr{}uuK7mEPKm#*kcKUS(}!cHtT99VlHw1M~ex?Aj?1_ormxqX;rmq_q! zsvo6zKR&NtpQknsV(@o(J2eq)r`0ryNu$TK=Evj9kHZ8cCd>o@=j+$!mSt(`FulI^ z^=BlPHz_5R2gPkK;4|nx2GxmB$EJMp)P0xGtFxHZx2F>xh1G=XOyUlI`^0ymED=i& zHSy+<&T$v>&{%|8vB}5^XQKEe7J-NwZ`q1Y|8(1%*0l)3E+IqGI#!c_CFm;S^C&7G zrptmfdFeK4a^SJ&GjEsRWRk%)3@lgQEaVw58>S!P%G0GMP(qCf*4P#_q(z_oLPRu_ z9%Rv5(H#8Z?#T#w)4ni#8vPumH^cf~U@kwN05wzt62FjCGw?9GbP z$(Bzx@s5bd$LAXUJxHS9$gh!_p54qyA!sSG1feRBZK*3!+XbUAF^LLNqWA+fH=a{n zZB#b<62v+8Tp?;MS);<#%hDyFDcxd&A)UGi(4^)V7S%)~W0o=!*`43=0AX&rRYul7 zUElYu-JCMtBQnI9jb$p4lt~)x0cNGhLoB^arQK3xQ(5(dxO>9=wajyXdOR;pGeMLAAa7Fn;J1*WHTulju3ndGV>&@FAN^qS053FqiDF!~-VqAqu zm7MY`u!^#rcE2YX+R^_Q{_ArZWgZXgSsd-U^fLSbf3o(+(x75pu_56WC%_UXI@3U` z9*TALK!c?EpziuZ_HVl(BmwUf)C(wyOecqj{dT2PeX=P$m zQdc~I&ktB+miZDZXh4?W(kaY$YAoy}ZAD&o+t z;F8Bja0e)Lap#k-!jr0UDVa&ICl?f{0W9>VC6w`GeW%@HF};SU8lvQvbsNU8PBU}5 z;h*!s$iZZ6rMFwLi#f)STh(^eL&_wWEk0mq1ag0g6$>6LR>a@QC8*`T=mA1 zlYb?x1EGA*lAdiyOa_FU%0>wJ5oi*Cd%lB~322*K+vz-O9(3f~)EU|X9aj*JstXO1 zri?JFz)nltPu%FgUmdZgD8OhqH(g4#fXR_3OCi)MUmAOBja(Q9F%mXPI29)9#@DP$ zTdSoCRAMQRT~$Hm&NUJRmVkZ$fR zQ5e+oGtni)0+rZ~$Dl!Hw^-t+SMfyHXcng4ZStBG6FFEadF*qJuI=*)+4838Ja0MJq^bk9(>k%7)Enh_$+@Ym(WM6~gg%;PkWw0frEOwCuNJ5S; zc)c!nI&KvJrFYyE13_Jr?A>O3-Zc+n94sRHuN2a^U57S%`&WIalIP=zQ+D$}UfXj|^wtk>B zfwqZ3mAnlw3(}G5#&^blZ{6D%>4mo6np>PC&7D}UZ1FC7A0*iU@BCJEQr`LHw91rS z7S8*jbP1cUg4#0w5r{&GnT^XJ=aE?PR-n)bVPyWmqGPxqry)KYH?AT%`Rj)N=OyE@ zW2Xo4fxTWD0$np0MF9b#C5kHc9S#-mXnhP>2{M>^%nh>~Hp$Q+;$z;GxgTrN%I^d0 zq_jJ__6h>^YGkl$?{HuLR(>GBkx@!;F_H?mIBKn<4beXn48wd0ONpuAVn5Gqtn;VU zv||Qf7qntrT_?-ji|#%v`1PP$bOJp_1lD$YQ@xDAvJm#PcC+ME8n=dza{H?`6;82= z+$1Qq@e7yxzrThb0ii3 zUV)inu-&}8;2M4JO3EXz*6Y|pbKNmk%1Oh*Ze3OiGymToR%y>H$sfflVP5$bvs-6RFosQmstj&EBp z%UIJsjrOKO#d;lakgQ}GfeHu~tXX!eM3f>(aV{UTNVu^)U~=DV^mP!iXK+OB2~(cJ zL1)W0t6WXp5HsV`jclR*DqvWi({`g$Ls&lX02asG&vf;{ ztT#vJEP>Cn0pbF2hlAT_Cb}^J+m-NzhXTO>7wmCU^I?dWw>tv-097a@`GIlwz&H9&rbR9r01iTpK!nfx`C^r zP?n=A0#`oB9gRp(OiBWtVpgZ$EC+FFDvK6g+{~!ZL1E9R<>J=#NxP?;vyFg}!k>Bh zf9eHKlJ!=Ul%*1lJR@A>m2yU+*IMi0l^>RVFRMS-WC=w9!4ZZ=A3~ZXDrp^vagqQO zSAhx7^uiF;2~`kc7wx?Ye8~on0K2X=gK`xX*RecK#yh#IcT;JX9%IQ-=etAQr5UvB z&@py2AQtQak9$00t&JB`4v!=KAapmUTgdWj4of+P({&a7by^WiR_o8jZK}Mg9X>Cb zqUtRh#zEC%{aoV2e;BIsViUeeT#&9%REy=*+p8xKz*E>Dbrtu))f?34D$SzzBA5|0 zNhz7}E6c-ldB^d=k-WTqVj$?kc%7cEFh$awYtQ{8u66`b>t@8zmF6}O^#}I}s?u1h zRcJtQ>?mrZArBu1AR*E-WP5D^Jw#Y%xJw=0;edXd+*l!|BK+KDlYufG4GM5o+u_{e z?~V{ey4Xl{MDri*K5@7($b%@}3bfU`2Sr^6*teBe6whuZ!uQxAF*HdzOU2@Zm4aCrVJu$y8UxFpwL@ z!QKh&5xMiI!ijM@Z78<1b(tx8uU7rf5{lnYjO3t8V&3p6@yG(a^=AY-Dux}6Pp3V& zd+EBaqsT^&eE4>xylNV!ElgLBD=w#gU1na$t!*Fq8p6r17`vXiI0|oax=NYKr0=*c zMCT&eQ$kltbr;fY*;lLR_dUy$&ChfNE*e5=a4(eCTvfo;jul(Ii+Bh00#?aC7e>WJ zsn%LgRVywxBEbxR_>!b8JiCD2Uga}@kXq%lu*4a_NqVB+kcHHE4s{zY7jIn5f<1qi z(y7e}5=8Yj9?V|#jak=Zq;jvUT!eR&G(#roh+Y6F(Te?S?mZsK#5z53v1HP+j8-qWyhKsg%`}V_}*Ady9r7)qX zSU)UT0hiVwo!`L6aYrL^jCl*7*YtNJ#fq$$C{oSYf!8rbEX`U7Pdd!ay>b?|`r%mp z5dA=Xh!v-F8VF2*Pxs!7qKr)YG5}m-U8KWRBE70)#&T{hTBdlp7^I|Xlu^xPp>#~` zZ{jHGcYGHdf>_+1G@n0cQoXS1>Mexbw*V`=xp&v*x&$$in2jX|BEk0=uqH3~d(09LT8T%a%LaaQ%C_vf<# z=<@*ZGSQPL*RoN$w|GS&DfsSubeHXU5eVgzdCM?2v9gO~8#9Q*Ff4^Goc^=`oVjAd z6VeZ3ODSebyUJFT-U)NpIAMyF`l~TGx^F`>|EN7Ur=Xj2Ux3+7Rf8jmJ)`PL8GOu(OX{ z7@xWT+GYxDX?jc;*UC!lN;lIDoXFtXz?z-cm(>=gyoS1;)MeED@#azrlhCqYIHK$H z4!P~=^Xshxd6xQ?m&_z2sP{jZ*`0y7bG^s2jo2&2%mxi4r4X1Bhjx>ek`d6pTJt-H zp%@%j;~pXUlIVQuup+ua2LoPH(Lw{K=;U5Gt{MQA0VZIcH{+ zXy}x*px_Tyz^FtF4b)yh9jICv{2Cq2` zuB?v|$kcBIXrtvPRBLow<)Im%C*KSwEzf62*ve!0p@Ic`TI{#IZbNzJ#<@!0+(1fN4ry|_5{gtTKrJ{hI+T|0^ z=-kh)a;Rz>V~^8&BPkovX7ZMVD7M&q`GGEYh*F z7f=DV(DZ;GH=;j;64ScLnBqWmVR2v?G@Yrka~VUPrd;`to5zE=tZ+! zpArVLkLH}Z4|7WkP3N=^3La&>e=qVJ24vI--D2)Y{5jp?o7*ZouI7K=JnJk#>wRH&4b)qUogR;3Hi3&I3Eq_IF| z=*%}yR6BG=QiQ5WT~aBSg&-?<$O}bh8pJjVfJ#SRyE2glUApq8X>O z;u9J?y+?uj>R2tnrAhtEiR5JX)VE7Hc+rvD1&6SjZ!;A_{72spZ)Ll)c*p4_P0R&2UDp8#TIC>^GktF+L@B zTzsFB04Txk4x$z}U)x2Lp_K~5ub!5loX9b) z4R0NyP1Dk5(ciP`P{KP$kZSSRo~I{x?=8|?>!}-?gZu8vMc|1(%H_NwHT7U3JIO(H5@oDOq2sVu>n-z?w2gzArT zI1dXE{Sw11x1nY9DB;{h?(aPL`f#j(*Hooy9AUJ$;}(Szv-k3L1mgl?lm`7QhZA1E4AN z3r!iFz+}br(#Rcu6z-BdW6LLsz~l@l*gEN*!5 zhmayR^&?Hd>wwpA@8~0|@@`vm5GSil_8CPRyvXv)rvqH;kshgcf;DB^=cZO&fhYW; zy(0CAMMCDa?ozH4^SPL)LYF|6j6x)%6+6#_ES!O&QQqn$FP!WWF{=p*)v7hj=N0{B zi{Ey>&yop}HzX$dhd@x!y9~`Q0y45m@Y}se0WtB;8f=X|YKsH)6U_0nCHf>l$8Fay z>4cr*F*$+w)*CKb5^NMBzg59bKbxv)No_Sa8244HnOXSHUvT0JKW&H zEOC9A27h z>|o9TxoP{`x(rgX8K{}!rz8cvLiV8}p2!g#3)MSz!>p{#-M5*5)tcl1*t^_i%Ad@_ z@9WuV==Rg`SW|ax-`9AHa@Tw7@{?e+k2RvO!qT|w_WOe@0+A>|HE$hxQ%P&tXBb7a z{7B#!nug&BYn7i`T~DRAddq4M6A8tSm6H_-O&!`dv^g}JV0J6PMYyGQV>Z~pzJ+#%K=9U?ZGnfa74p2CIw4%HCzPmbp!6MwYy>oM?C@e zszYZjzTcGjA8-16KA&GXzG*4p`7D)?;u%4Ropd69dS?Yqe_{c0^$aY2Y*VePA1Jx$ zi8}tovHK2A+11jhbv;ktMsB8EQ(phk!|v>qGl&Ylrb86%!WeGVhZ(E8yB72d{TG&f zp>$N`5bE4C*4C7Hr=ASd0%wqg5iE|GH-8wUKuCb4W9YzFy~y({5I2}z1(piIDo;H- zlH+sa+zemYmOm1|h(MYf#HlDOOs7j^bRyRz z`cFnVyxY+2`SmatjDWS)W6iwFj6luaE$3ja{`zCfF3@<##GR0u9=Y8FMz50-q`tTU zNorG2$yq=(RB6g}D)MK`b0iv#q0U99Cw%?)DvXbj&OBe*CkM_#=orylBmxEY z->IHsJTT}#mR0sL@+aeOugo$XkR2Xa92Nz%dUy_2RXRraw0PJxP%2YVr9KRsoIeUg zQ>|IzHe8VOx3#m`ce&+f)gHqRD!KMkVER-3o;Yk`oGr z<)^|4LbVFnIB#s|SU$;VEBpcmL6%$+$7hRmE ztHhmkNhuKi#>UY&2FdmX1hw267&9tm;A(xYN`=zleYt^uzAd7`VM%h`#9naaxyRxJ zu_&YG+vydjrU(qP_1(YM|CLsQxk(_1oDQ%{rD%w=07S`47>qhf0qG%X^9j>6xc9!| zCn2WmpzMR6luE$6-xb|hipbJ0iGCbp{}?FXvgrlAu4y>vTu9Z85px)kbbq)U{$u)T z`s7rkFrtoSvz|C%2%RVp&j5~hNh%GavBr(u@OWz`{2D>eYxXw4z7KO&Zr5C@P){{z zQ61+_Qdy(S%0{~)jf{6qS|(mjjvFdP8?|2HvBz_GlUfS01~%KV9|?FPIlp?2J+PqM zJHa2bTyf<~zsn*WrzPst+ZQxOZ^=Q*kAVO9c*+B(-IV&(LP(<*GJb5sT*TwYy z;z5UvU%ufYTrnxV91#-ev}R?i#?xChdwnQUF6Eb%71B>{$`xxMCCU?-KRr8-=0$U- ztFwQKG%OpfEFd^P>`BZF!{^U67(~*;GXb`u&B^Bs!jnkP<>rT-ey({ah3%_#Q-+~R zJ(&v+P|*ZM(J5zcS42;r+`2?rlzpZLcgs#Kl?=wM%)WXNJk8JknUm(6vnT=i!gj_< zE6kQtWm9?4+#71LQ-Ta)?VH^4yJp50Nr6-As{MgH!y;c6foE2*pvMUs2oo5|QbK7^ zbVUYN;C4}{hls<_1nzAbw(vZ6>WS}o^2C5h*41F1feD~Zm}vy49ujX`F`33godpq} zp+YL_UQ=?+eG#a6bm8xX+sp}#vHVKp_`QFDZ+-XmS}xp=5?6Y=3QUE_c`Rp-Vb|`w zPw^S4F_1H%s&zZ=sy3LMexTX6Jn89mNvA5d2aDL9)y+BKNE6& zdD}%l!!RobVRg`kwVM0rBYSe0MevE3e1Eo!dZ5!uw^f>#iad;!p=b%45JUTyN_3nN z3Fh*63Dnz3lOz;D0i`L6AZg}}e(G)S^~;?U)bw!qy1q^8r>yDMJxQ#c^qbZ-OdlU^ ztH<~1pxA9InX^yes0fj3HSO!tSCqZ(NBVm;db@F+sq-@wjTUX*i!>tN%0D^S>mfz+ zj#BrWCf)ggMTT_I@f)|h>&c{Ps|JfRMFk7;vXKQl^6SvXM(RGFDMuu4qUy@C!E8g- z=;{(=(1fa*|N=@4GE}u5}7O9aNx;cXYYzzhlUphzygE;AVrW(5uDM9kUoU3N_Qho+;Lv$ zbJ=PlV&Dh9#<^Fto{is=7wUIw1ddn4TG0U})8+<=AH8s{5tjiNBuD*LV)*xyyBmu0 zg#mV4DIFy;eY4m&WTQRIs=#^Sz`c898V}rLpeue9ZJg=1p-bbIB)c4^d6Yw&1*UgR z><2y6j%KpJ|57f9zn%#YfL6*2Egj!HTyT}f9a$8h0xSOIw{L5>&YY-|*v2Vn27fV+ z7LBH%jtdqMaV<2zURBkmi(c8*vH8ZlHFaEKeBflcj7Sqmvm9-}4!#oPUMk60c zm#dwkyKQ@jj7rUm2a-A=iZc8YKudDfuaE*!?PL|gatEaJ!An$T0d$N`0Fx3)v zl>W&5)By>f1fvcYGxTNkv6085>u`}_OtK`1^4<^9ch~&lRhUm-95jpchk>E{6(uB| z*5|==qd(|x!qJ?X6g|WiJ`(wQFpvc9w2jSI9m`2sBEn7mS|%mdYcXZ*$* zY1BnaO9Ft2K_ykPV;0w(NFt7JGTs*i?}*dJ07paG0Kx=13tu+&?jC!qdewp9;Fv6) zRkqGFmk!?@$1aKVV|VJat^9^zQ9bm9pdb|>&3;7aJNXX^(W^l%0p}>wi+dB_5q64P zHKC0yxMGmm5XL3B>Jp$H_uU9Dgv?{SgVm)tP4s~yt3Y!);YrmmL2taPBkWiel}1;@ zlT3fGuIYrXcPZ(L{rM{+E;@J(*90_COy8%yNSt(gJDzGe(|xjqkH!fmEVC7Y-u>2k zuyC>28!UCxriof}DkSy?1w0zyFbXGdVa_}eNmds{MYka_c@sHT{K|mePKbAdDF-qs zQe*Ve&&;C>Mr&{@&MW$R&t>V-E{{wjB8cx}6J2X3YzL_nikBu{+NXssn=X9CEU+{q zHPY z#tMoL+n5uA`dBxu|6~jywAeQB6xdV&#f+ zmB`|EDq<^XM{{{rj@DF?OC9yF)Me~*LS@S9U*^lPb1CF6iJv1 zEZwzfb`>zCvSr|sF2ffkSg15QaPiEgJVFV*cH6r_{pK-C?x>bYs&PDzt{%84Y-I;w zGN0_cn>qNgBP%j5X83sag(vGZLn@$9iLBh}Kck~#TOu+0N)>oHNzjZ%#e@n8gA_oy zTL(KEL5n(v#!|ZfQ_wK*q+rIy<1|hSI?)-)4dq^zC_VR^D3moHjVFiPAOTM@0PftK zED5LGiPZGxf<-HD-+T#u`N{M5x8C9^bmV3UefzyfJL&IrU9YM2bI*+om|Qb2F}P=S z5LI7%)^G%zm~3o1^tJhP_N^lcNVRTSQPZDesF!51ll1-L4t-*Y#gq_f2SgF*t3*pe z+&P(@WT2#$r2XU^pu+sC>$3MZzIqXNF}1>;=EQ$~<{QdQqoaJwkLI(PbGOL}!Q}*c zNg&fH3FN$c=bgdfVb7(*0js@^VG`X4$_PU(B}#JCW*Q+yCeoMOjULi>qy853T%+cI z1r~VimW?x15Jpq~<^^W6xdqak0Mev}9J1NYxyBreMH7_G5o2?Rj&_-mM`24DA3)31n=$oqWx zL})TE3aPtF&5Zop;D>lhLhNpGb;_X&yC8VeSqHkzYOD$>S}vNsWh03?nBgxqbKn^X zx|O&3%I{bH#EmHW1)!@&nSK~UV2BG!BL~j`EQ~z@K%81Q!S4J)M)?4RQAJHZ2x%p2z~Qi5`K$;U`)| zbC2?m;Z|y1MbEScC>-KMQc#UHxSopMY~Al1pem?oX((#8{yLzB>d}rEwm^UAfk@bC zPqRLc`=?l3GdLTk2Ta;P3{=;&5*dm^QuZtF!|NbS9W)+x0w{ zz?EuDoh(*hw8q4~*fLaCi~t!eb1h@ZKRd?GEkH^~AoHZu7r1*1PmM8}@%oz|B3Ep) z56-_Z*#dF(`Wv%P-nW?P@x)cYhQm*ZOrDBpf5PMyUuJg%N@o?Ckw7ib%Il`Y z-N=|06jhkdF-JcHovL5`2|+3%aq|tVz1|l&LCO!>^8$7mQs|PYN}L{-EV!D9)2Nc2 zzMb)ZGUHf=A>UhJOwe(YH3Yawq?1b%H<36a2G21)ZS2o!Gw@Vr5~aQ~N(3)37kJ z{yWD1uSNc~s)MxuJlKsg*GSJufe;v5nE66NP1D_)h}<4F3TB|APU9 zf2Ifip92UC|91HQ^8*MB|MUX@RaGCu4_y?NTRWA!9>ZBV%4($3Gid-x?N5EUZ&i z@-L?U52i2s7t{ZX>Ho#_|6=-oG5x=o{$EW0FQ)$&)BlU<|HbtGV)}nE{lA$0Urhfm zrvDey|BLDW#q|GT`hPL~znK1CO#d&Y{} zs=Mr^jK-5NCiHeP4mh@~P1~iN>r?t{;J*Thhf&6E2a+HDj3m4OfSegkjOkY>B<=fS z=<#~A>-P9uJtZg2pjr)@6?`gdQiya-N#`usk1FX{8jiCuBzRUp7_JbZMw)_n)J_lO zPR%vxycZ2(h1x@C^lRzFS$tM!SpmRUBz;89KvOQAgu#gRnuo@+P~Rme?JJJWk<#Fk z3q@@Qh$Y1r9SPn4luTB#h{m=~Hjv=3bqX!URSD7(Q=CO@tKZ1nXal`9Pt`rj7Y$Km z6)T6ez$LRr1YZlGKPF`;iv3ZR2k`?(JuVLutu{#VGLeNUs_SX|Aj-ACL%wq;_pRtT ze028Me&eto5mk2X7`4d;@gaG62=YV7#NiMOmmoevSrgjI>0g+>VHVzq2X~pbm6?vu zLm&;F3wn($txoq7!a3Uu`mH84<;S5vuBhRd@6gnOurjw+t$u)^?XlOs2wM)V59>~K zc#Z^Xn2G#y%AD29=L0p0G4ob)yNHOR}MViy*D>C+o(snwqH(rV#U8al{Y&P19x}&2%1jH-X~F8yzN(?Hp>`> ze6&aN5JUUHMRf7U(+b?XG~|FDi|Mv?4zQwrAD6d|2r_Di5l#K+!8mD5uy(PmG@ts> zllg+NUxmSmO`XLM>K9@~*I)juqRgtMqG>N`_~oN!C8_G@CE9Q@P`Y8t^uBM@H(&tH zq}g!O(jm$5`$)6oPAGse{ne1#@;=y*dM-=Y#ncGLfsw3E7P(+k5APQAVRFePFctNt z9ciLCl=y2UlWg1D9^=fY4GBU~y zCGqqLr#}qYd7*Nxfgl!v3Zw^$u~j|e=H;tA&8^dg4Lnsab3o0OQ}?!pb2?D%8A}kY zdU`D>xw`YPD&5niYn#)aWE9|0;w!)T`~WAG>A+a>RQ>b}4(Qff_Rjf-Xj4Vo-AQ1h^Yp+1~Lvfuh z%rGu*C}A;$1pXiFy=8D5TYIQ0X34UcnHfe57PDkA%VK6`Se2nJQZIC%20Tb}262!Hi3Tq4&zTWjm64?X47Eva`$_PgwwVL?>(`1yhb zJ>{{(jcHA{BzVebk=OdmuxKrgI#ynSyV8vs4?@3tA+~#kndZ5fR=KWBK0HLLAw~-7 z6lsY8+Pva%9~3YzS4URjTNeE2W;!OC>fOykDaLzCkBqg`z04^sB2tdBFxe6@(u~Rcln=)82(7&3>*W)%VxEQpOp`Q-=( z7P`rRw?&!EUWw=tNx9?&Gxdml*uo(}pC>=;k6@i`_AIBS%t+-kZs#z00kuYsqj(D@!so~6@f{d_E6z!6nhqkJo$nwq3`nz|H-;jVs;jxR|b zf#~$Gd4QZAQriW3swQs60YZ$=v_hWrXkFAaYV_gNG2k^5nE1Lt$-X+`&bnUe^c=uq z#wA)g@dmL}NKcDTZ3%&D&Bupx$w%oU+2PFq2vFZ%3zW`Y)w#bSsI+%Y*6xLHg;{~H zfIpUNO9!Ks(RuIljlMRzuRd?+pNy}sl3b6(3K8O8zBb)?E_< zcj_d(Oxew|th+q!!@FBzlZsDR5ms-ODCDbTCLs!x`Q)ccb(7gw@sWJ4B~4zfQPOq?mP{6t%&m)qZxQ9biIW)` zssrM}dN?M8-YKhOXfh4wK(YG69T|b#JxJ-KsoAVe4x86=7J+qYJ%SbOgCh9 zpWW1u7~_l2!GCJy29CpBR!PWsHDS92g55^SDGPU+9 zr1n=W6;<}U{T`e9P3nq87t^@8gdECIvbW?-q%$EeW*fR%zI*pgq40z{-c(0)pPDV9 z&?&xf@>MRiRvN_=t5`tz2LXb0wy0|$3-b)d52ccKYeiH0mFOG+Ze%t^^ireDK1lBV z)PoV2(jPTg*O_%c#Dy5Tn{YRI&q#^&kpf_g!B0l8PKS^IgX=SOea_H~N4Go2C~ z=2|l9D$ViB`t0#qX{sAR<&LE(onnhnsrIf!af9%_-G(Y$6DsyfVh|RK~x%FTx zGT55$686ZUQ*|E;3zrTT=G%z&tT)OxYpJ$bT0dY%9{7DYw|$hRgj*CdA}dDgUmA7~ z_*)tx2T;G)L@rRQMb?65jdb!eGVIo4(r@5s;M2E|;V#gIvM^;fU;Z9(Yd9aY1?_3; z)QeOnIJ=ULtde;HuC?Z+rCIr^GZu&!eEV2(N@hHqu|Nf4x4LqISF2r4B@@SDjou^o z1tpLRVYa)n_cWLc#=+D` zjC67u7SmTZq(MOEtaGk%k_hSQGD)cA3k*0S4dv?G>2^5-1GaSCdIDa3BnQr!4+P`o zRv1RN+->gwc>>TARD^+8^id#S5ECEVua2!-6Wrveb~FvR zM;Gc9L8p=8ly(f4`;X!oVr4fiCSazd8GRqh8(zF8sS+PAF0ykCtv{Ch4u?U@H!*c> zAN8k!*Hf0r?4J*?v~`q~lO-E}>;u76X3&Ebfn<_J4CH^{xMcoUFx0vKMyP z&Gc9*m-!>#y=X!TRIxqriZSOm=nmJ8O4wRZNzJ*+`isN!&cnr76Sl0Y7zu(rN7?go z?o|o-jZ$_MN@nb~sOT_x{ZO(4d}B8>0j( zS}k`cDn#PQDurE_*7ye{(iF$)Bb+hi1ETN(?=mI$^7F08Vt$W{~GYeq*I zx{NX6y{UM16VWF$c;!f~ieK4HOZBi}Q_w?%Y=trrxGcYCil>ABp6;m-nq|_@*HkgC z`gN@P{mg0sy zz_>hfDRVOU$hCgY*CeRQb{`D1RInQ8q7DJNCaXsD4s>aQr3p(QDbpy6sT%R_^Krnp zXR%_8-51k^yCScbmw2;x&%pF@s_O^K`9V|8W4+N)EwmOInno|HW=Y$S>(|+K_VteU z!F9TBN8C)&L=JcXpsPpd`nz;RNEYT#&jniP*v>0%$?S(j@5g(^73zD-F6`CvPMnh9 z;O4ITEoxr{UlD(=l89XKTVGgM=u%GoJd@*Hhfr=KNlfH`7vr{gxHwPVYghZ(Fa%Y8 zjudzBx(=|9qR$u2W^Te2Fxd8U_)4@taaK6J$LZa1Hrt2M*gLuE^)@&0ofYDwV#kuZ zd&+amF|CQ_t-)gLmp~AeDpItN#?MU>N&XD7}86bTA9-eSlbyG+Wp;M2Ccua69)=WMg}$(c2*Y3f0X!lxBo2x z@=wdc-!(F_u+cHI6R4F6TAe|ix94#f;$ zqhtKnNbJmX41oVhC^i;0=KnI(KT)3li|EGxCSK$3CHxhB~2PAOV;WI7Tn6nL-n6aiIf=@is-R^P!iI3gxJ0mv%Vzvt${bl zpRt5=eji{d{4_?8^|uo&%oaLRGB@NcNI<_qI^rbcSIVkD7TsnX?|#Y&zy!i{7OnW4 zPrqnnp|Ni;$c7lpD4yiPo-Ud*bolb8@(-qJq1MPh<^{^NI|`_RiKdPEb;j-1dw_Xf zu4%gJr}@WSV4m85@MVFKEAjCP4m2qojAJD#17g!;I6sXMgS#H`km#jL*r$%`3tZjR zz4!^Q-+#Jaj=w*Yiu5KY76&K$X%IP#6V_o?w?6Dr;zBH49kGYPSLy{tCCk@%GSf_~ zlQ+KG!V+#423QY${i3^Y%+7`})K%++6ZDjjQ`vht0#RjE>E#BRl!mP;wB{o0YBMi_ z5McsYv`$k+wXBhnwvxayFws>rTBP)2$wiHyHe_VWPBSEI7R2h-&bDiF-1&>-1|8;% zf}edW_i&<*x)T5x+Z(gS$0DGps4VK?P?w?Uwyl>1+4>SDIs}(B;Ox9Q6&^fz%WC3C z_c4D;5SS}hD`msai$Qp0`M`rk`y%Y;#@k-#+Lg)rV?*ZgO~|yY-R`WEE#KHiVWG)6 zU~5oHrRmMPV`AftVR&p$S^CxS>Lm_QJ9uh3*c!(Jz-l0}N}@-{>Lid1#i>wjAs5 z6zAEsUap~{@W0k=Ex7 zmXC*sauU^dXw{)66N4Sgf(IQ{4t$E?B7*|q{7baRw7p;1Gz%3>YY z9*xj(<%_MbP@p&vND;b>7yyNhRxsU{6CT?Ozs~EPe5n%`c^+e1Ax#Vg4im5;(;4tM z8lMT{-|h@iM8!A<;6DUY@Dxji*?=zWWn(;G+G_a#kq-hR$qx1qBrh59#gkUkp@0g! zb5vm%_eZV@yOY6~;ZrU@*a4d)#D~wI7KKe5ha&WLh7em~voQpvHOuzo-!j zTGD$S1R{*MvaIkf3z!K|fTjMSr0=L5UY0P@PW-&==`lT9+W%nyVXJ=9A}YJ`Kv#6QH=L^O-tpJ>N}87jl8 zuBdBXk!1H)yk#H1Bb?|<(CuwAePP!om*J%ziYJaR>I(k3 zvNOMRpY{Wyw$?9_rUW73brk0sQV@uAL?mQ-=$oSnk@-No(gX zhXm!{YhaGzk0dqS%Mq%AhBiQph$cW)Up*A-*#AIvu>2P;IDm1(zb4_HBUp$oW} zh^3ITE6bY$;MyvQ*T-&k$f$eaIdCk?qn+)mPCBmc{dfd$KZ<&$pH5fEbZC{?%l*{A z|1KoTtyd#1Z`QC0y@654r|-&C(o*XzW)q7N+#j4n!DKosvH6f3Z((_BSHoUvYSe{m zWoU%e-%w;!w|V9xSkm=n*{E(2_Y$A%NXRa8@%Rl8Jt3}6ZZ@;lUVtDc-Tbu!(E<&T z%3_7AK1n=s50qEr z7X>j-%NQ$|w97-hacrWzQiA=gq=*xb*xRf(dPeH8g0Jgd4i7MS^;|wrVUo(E zTcXl5VmUBY`*fstxx&T+WtG{hRq`fQJ|fWJcKb8y&M<0qGY6pNB}_Kd)%PQ@2yR+* zT$MQu>y#oT57b_7wXRW~7yG(UfKHTEs3@t#M1LYOp9fe~OE*Y0hp1M!zUpc3+`P3B zwL|+g^uFW>NH@9pt3yaS^1kDS_^^Y$e>nQ=DHd#m(wYLEql+MW!b0Zjx)1huc3Kk) znU(M9n-6hD4y_`!+?FRG=?E`D=9^!i2%h#|m}&=*kHYG_{OjaE@-NrmrwVn@xg~Ax zYF=8Mcd$@#wk~z>Pqw4q)eXNdO?fDQ&Q)H#8gGVdc^hbC2-NZlFqwW@wN`pdV;wJZ zvNdslDVZY8z_fhBE%H$_F7Aj8&XAigy;OZ@O0wsG)L}{eAyO6rDx;SwjgWz-x$q^<@E)1p5 z^;o-Qguk*R=?A#9G;0%w;U^wewW!E$37J&hX1s@xT{l2&s!Pz2;vDE(Dv%#i`sO1u ztM%NK>X;>@X=UPfkrB-xZ&yPNJ6)$&M-s&z(WiiIC*URRyk?yY4Q8DVDc|WcpNc-U zit=!v%l`OuY>C8}As^{^Ql{i4A|@nY*fa9ZC8*JM;wjRC$hy(g%e2cTnwg}~PrNdT ztMj^#oktxOf8^Q zFSgIno5YN6b1ADRzRC1bNvXwfL&s?bpCxGyCUT8y2v*gM2Qo;D=YoilJ{X51@HJhMWO>!*Om)i_U# zqx+i>a%FR8yvxeo@Wg^tyTJgGBlcbV(mZJBSzBWxj4`A$wb_7sDU8vR{_IHTwXKY z8vd?Krq)1@oxU#mVDj9Bo546q=UX3OIGr9~|Hwr&^F!?}6!vM6M3LHKhyE#^9v-b4 z4Mi!`2RlT?YQJ72_&mP2>^(9=F=nGp0q2qbv&e?K+$J4WMrl-TM={_hO299?083L? zsMI)TElteCB-{P6CpcG@I9+mj3Hx|wt(_`p&A$Hpj>ev{1Sc`~T~^@&5P`5SwjS9} zZe%wQndbSaU#Ap!ojhLGak+l^=@=hKAXNf-6GYg*(oW(Y3i(A3kxRWHO9?Spbi14H z_qVi5j=80sZR1RgGNE0kBO3vv!j(lh>3uMo(%HR~f@h!^)xAo6Fb;(BOJ{WXfAr~q z%HF7fM52!uINjrdxw<<2C`uAtPV!B-cmn2oCL_Nr(_Z-n6Yp{`lHwk6lgW@`^Ws8U zMlHo8+B)3h;^|Egk-?Wr>Ut>@b$mR--Lv--EQ*&w<|O_QZ=U@AmDWjW_Pv?cN_NQu z-3u(c0sKwKd{28$jzH+!N;CKuUKE&8D?1#Ko<)&zYhUF%S3iL6x2B?VV%eTBDRhV{p(lB)h3;hxIy zQ90EV7tX1V$jdj}JwA-1lOfE4UdKBUWaG-9JcHzlNX@ z9RRhvKn~16zU^Pb+KXN5(+|K;@1DNx?+OKh+4NC$B9EEvX4RTeTnjd62tT=jhP~wG zK>#0g=_5Kacf;!Ix7|lGRK7T7u`c4QbaUKMpI#2-oK_`+BF69G2J6+t#RY2gccVd2 z9_*T2pFcNbO;%p#Ft382Brh2!(KaIlDQsY`WOfx4PSN@w)A*2unIA8=EzSlL-X1ZY7|n5y3_;3Vy9ja zN8so>?|QaLRg>GdbZjLX5k>5ZHKIm(C6Y(TMhubB)l{pZ437`Et(B{$&%$GUjL|x1 zQfBH}{m>g$5&SFH4?wuL8St*X={vUkIKHj~V6aiqX&+oJe!BUk55a=YVG3!tpG6(RK|Po5#So{ntXreai9n*T{+72N`(q&ts--`7}eP?rA@?0tM(~~v}aF{(SuX5R4r6P5vpbDeAYKczL8{xUuMi}c|Ci`HQJTiNi*Tl{S*_#FR+GCs$D zp*WcSh0OR*sg1wS`M1UhroS1F{{gkZ^fw&xpHdrtXZDW@{y}Z9vC)0f6U?j}e-RCT zfeoM32s1ka9UJR^KpObDx#^YU#VJf29Ber0>7AXO>0C@LOs!n#^sO!FO>O>iczg;I z^eoJ^Ee$QTjSa2-?Q3mIQ)4?_2UBY+ZF5rxIui#=N_vIA=KI6~{ymqW_!qD7A3==2 z8~Jb3=3t-$uo5t_(y@Og|4C)A06x7CbS(c>(*Hi&|5@mN5<352K$sZmm)J zPBAdj0RRN7tgLj*|Dj+1zN-J1HUY2#IGFzn1my44@o$P`{6{1v7P?Or3L^*OUwjMe zXO+zVJ=z4o!p_e4UxoUQ1kFD}F*1CX#>Dy=iGcO<6Sn^zK?7iAW@P%W0{xSq`9IH^ ze69hOf9w?zmd_>q_on;bg`NBp&-qtP2nRd+{~9~_x0;XzKLaH#T5=FL_Alok98kRM z`Xe!Q)TFUQuD?CSyvjH6esMA)b|Yr1)s{USiZ0fnFS$Nn_w9V5iFM!NN$J_DzUYg; zSkp*J?$xZX!HJ?%SVrw>?l zaeIAu#5Ul4%Q|J~%6NK?_`SY+^lR$ud1E776w{Q{*6)>Q;zLCQZ?3IqoLt=Lq6XHr zJGiQfBdZdiIb_#LWobh7;Xq~nE%RIF>l(F(tF<-_o0?=cK5}yxb7es#7%@we18hL1 z7T~NvY6w~IC~GS#w8Z7n4^8>o$LrhI(%0)%)VH~n()LOZ?yi|yilHUz$*rIB*>`)` z)TF$f?yqO3{-^Yb(7d)tuxEw|h-`V-WmNBpcb#se=49!v(<$cE7G2X7T~dAbXA1`t zcG)u2DnC-I@e&U%_@vwN4HIOrGHTbUM0w5}r%oIz)-u*a<*gQ=W|IrP7Ud`JyPA;~ zmG{3>YT2GW#NZ@t++RvHi=s8lNEl5-8T5NYRFqheOSx;8Sck7gTyF;?+IXh+jS@B) zg?jcU>*ct`!=4G91~~p+wJWY5s+c7CVTv|)1^94uEsF)9i#I;4^Vp0J?pwiCOtc@_ z%)(3vTT}KYv>#byVz$F!ez$GZv?(>mM^DIZm1xos&Zu;sycjQ-K#QrDFiNoWR1RWl zKuVLnl^~g6wK&hwF|wc4*YA=0iUp5a3bRsAfe~Un7%6rwl)w5O99qL}qEdfuETl{I z2rILIhS8i*XP=tOz|S-gL$ctw#8jZl+Sk4&3XvA{^I4mhYSL|WRiYs!D$@mJ&HK=Z zE!D1+6`WmN12pt5*0k{l-@?3%?(c$RZ7t16q^YVTZNS^hGd^l;mt6PD$hIWp#Zf?_ zbyCt+!9h^p=QbIDc(guVZ3uL&Vzn2sYpA%;5u={OED2gA5_=RwGV5Tz7gL;IkOd2R zDGxTl8DJL?`aLE49@zK;{{#EjelfSwFz6w@JnBVwDJ<|E1hTfVKbl9`gK$W%zl7TE z5qHzN$SHPIJvno>G3PbO&Kv*x>%OjbnXrbTdDi0TvJlvNYv6p1ZTRA`#@E7ecX?)8 zUJF2l;uU5S>V&8U-o9TWY^zgzCs$5!Z*3gIiF6+*D4G=TT(>0pWY8C{qI(GL+*7L) z3BE7s8b|eVY>_h=x~iv^qGvKWTu0BuA)g!dW|SMY7nxHLI@nPlC&seE{liJ_!GXC?9pHkoj|!yz5C+L7d}tUD4t4#0hLU0oa5Ke zxWD$a)Nj>q^48KYRIbeiI|Il4Dmv#9()FV$%vG4@D_@~1v;Lw*sPH&alJc|KPmhhVN=w&eVBfK2Ty+iK|mJ)9=PIU}|N^wuxjl5m8$K3i(KI=;BEU@gn)ZZ@*8 zgXA7%r~&R71lCktpJGz>?C;F-Q8-3|mf~5D;GTivZ2w=l4pfPcXk0Y@I z{ikOzbEI~hi4E$TuDHH;;krnP3g(j-4O3K?l8#en#{68Gt?>58?kZeoVs5R?Hw687}rZR`B9J`i^l6uhLhFF+j6Z>@Q6~xl5OZ z9!o(44BydkTn5bfL&TLTI^~=KZ4+zmIF-MtqFp{c+JFC-#xouP%L74yGjbxNvO$ae zq)l_ZFEgh4IP{mfQoeWS2`!-c4ZkWTyIbS}pF~yA`J0Zt2dt8>5}1t7(U;v0p|Crp z@PwhM=Zumu+*;Fw-%raWdUvNmm)&TPDBP$CTw+$C(#$}M`k}*8M01HaUWb9O9P1Fg zFW^iRO&WKKa74EYtvPICVfp%Ls1SY`l zU{@;uTRfcEeHW&y-Qie-8Scqeo9@|-+hqT7G|>dfHUesUvi}@1Vl?Nk<3J?`;30&g zmGEXKOI!8NtO8@Zfur9MBHYFzIeugeg9zrWe)Gt=-$c$erClzwgl~sct(r7N&E7zk z5N*0j#wJcIuaQnXO^=ne;6Q65;+ND+hDz3tN@I`7mdj!tOJdE)GU1#P<27?rt-z25 z1!XQ&b1bhRMY^6tmmIp_`>`!97m{aFI=AvxYofbR-bbFd6!3CX@8eTBIA9!Qg%8p? z)-QF46NVQW=W#s-b6m_5DL`E(JuqRRKEX!<>cC8e>R*ZOVeLsrvJq7L0KW(Js!$?) zZ5E&BBcH)}!{;gwtSajOci+6j6$9bBD*(<-ugaH6ByWOU}YE zsO@ZLVJ>`s&qhrq2louu+DK8210sQf!KHp8NIirFY{x)VOE%48AkI|DeOAwqlC;#NE^zZk(DRbq(r+fBgIWH3AfB;+BO#Sm;fthQ9y= ziJ!0XLk-mGXrV3;(fLd6Y(m~rnEN(vt~h?JrL!EG;dXzg;6+weZWVRfJItxwK06RGtB6oMO)WA^0aA)YCc8@S(A9A9}i3lP^z<`2C0Vk|2mX1$N# z82h%E<9X-rMF*2oh$>Crsdhez?%uJef-_IZt{hLG``aJ_B?A!E?b3fLtcpaiz@6^`s z1{u3uxDwb73jDhq)qTZXnaeuz7PA7ZEIbAZ?b>_P!fQ9PaHWP!E;DtGjO2W{N5e6X z?>Xx@(kQUlyVZBQ)4H^aUU#(PYm>8MNBqgms%@=C4#FprOE4S6zio$6YSL%AnL|D8BVDaq#6%q5r<7mL)03;GeDIPAJJi%vhMqb6AWY-0Q%mV75)Y zgr*^v9yCzQ!=0fBIH{q8U#926H~_LC`ZY5m8)1abIf(Xam)1KFk}-gt0VZeb;z}5J z9y&}udpfg;gjlJJc{JLFba<(uvKjmi@NwEYi-KvpUY;QH^X_cT=UbQ98-m}lk=*Gs7-~t3i)uKu!qC|zhGS^FF4jx=UT!R#$gehMkM@A4 z1c@>b0DP7P%^V5Z5t#s6L=mId-UcODAwad)M^1VYlgLXPoLJAj$SVs=l2ZiKqb>&J z&;X^zH0T|h*h-PLyJ92PsT5&p1QfP}2Ikbq|+dbee zPTn>e)>1s-k@Snksx5^I|EXaZk9nYPkX9#r9zzpry`MG)7IhL?0HH(WPSlpoQMoOe z=ojpHRIR*V9{-`7rxF$KzC;YOc)AKo|7&)i$6DOj2WOldDRO+kx^ka4lYT@?_%`1h z7Bf0kY2AaM(AMJ)&&B~kiY@MWj2cxVZg>d83k!PIHSU3d!6T_eQ=_=b|KG68DuNbQX`p2R?w0G!ynHQ0sc?UL_X%WAFR};GIE(#S%yFF6T1o+H64RmX z8U=oi)Cze``FPbih_XSW>9hc7^l&NzkO-U8UJnvbo8~`ZP$=Gs0eNf#?CxxZajfl^ z>RZ848r2*H*+!W=!~TOc70v%_@8hIGQjFQ#*8iw}@9Jn`vQ*F8w^&z)nA(eddNEo3 zA@8>FTLe){V$IzW3rkd&tujG zU;0Bo{N8iE0*rnp-J~QLSvYrJot4~t#^V|+LUWs3AXb|Kd@Dq~ghpRaDEf)|pMrn_ zRjPaMWKGs@He`gAn*mJ>gh&){tP=&N@?ss@>lg-V%sc%%xa9^KtR=i`>GVmkK?r%p zU3VE9TsB<~4lFvCQF^|-yZNkE3NM#l13 zwW=%-r0yWf{1jeFKha=Kz#CFfcjtRqPZ$LnOSx1yqlA7LKue1lm~>-BN;PT+^&W+O zRhuBbvqC@_MocGk3CE7F-A_wW4Z|Zv*RfXaU6;f=y9%Ece)MGLv?#$PPtpz0JP6kv zidT&3Xj1Iw@hR_1fqW>WVV``l^5Ze}ica@1?hqcQ2j)blW zzO|Up_1G}sPcleQc!iFvx)QeRbhoMe<{x0X%Ex^6MD8Ujj1GP**7mxx@NQC%D~XRo z7F0nWDk#QD8u-*UVf*S8?KpV-ovS?~YH6z|D=29AYrPJJF20|LW5D2W^Jre6--K!J z4K+@(Y;%jeRtT<)W|kLuVW*gNS#-xBplJ5EhjC&cNxu~W0ZC_I)JNZ0q_J-Cr`{pQ zF}_BQ(9@u6fFCrAJ;~2qwKf<$8AVkhw0OT?40-UiD{ie@9orG(I1H4 zCGp6^+T|B`^4m{24i(6y+fI_mC`?(>K?Mr+q>CyDjqNB#5}NZ=#W(A7Hcy4qW-b(1 zYWL+pkxKKAt>C~Q$(A)9JPtyWaFsJMSfT3goTqbSxhm&N!NW;_KjqAcyp(Gu%9jNT zG8DOL#MEG2gtUP<#(L4d@DXy2)Y5U-Zwys9cA+h5aulnayVppdr9|4i~I)!Wa#cv3sn^nopS7Kz3e(sqs-!R%|EMmRV_nG_$iI=CS%6~GN05%5mC^Gh@# z=`@{|(8CgsXF>(2QG<*DNAAjwy@@secXk`yTmMapSEFiM3Pt4i$wa}WS3PB+@K{yR zCW6i8&0Gk2%JQBirW(dVg?2LjsqCIl4FhC@PvgZ!! zMHu48^Mvh*re<_ON5Otw)Rucr=+C#yZ(Z;AF;a4l*d#YX$t0mAWCy*E-(k794!Atv z=1x_p?MCS^KJEq3h918|{;mZ|`mJYbQiAY;P2KtH*KrYDn`i~|)fE)+)gdjoY*S-|>?MUmXv20Gr6yZfB$YS;ALxU|ELj%8NiKKapJCX*-}-n-uFJ zuuk{UKL$f-Pu^pZOx=q-hK>`R)?Ib-C@Y3fbqteEsb2l(C8ih0XO@{7b`7TMTKeW2 zC5=;y^GcKPcm(zZq98I7{qni9w|b1jsla{h!Ee=9VWEzm3&7n~z87p1;;3rN9Nlsp0#5MvG?LFXwK5M88fn+y!Gasph=Fl9=3-- z6hRFCMmP(+%HjJw4hOkSneg-xY6@qw0}}dQa?tF}#4izriK?pNCKFGp;uI9yjt41K zpzX#CnCxs800{rCBlPMU6eGj^`y_&}l%MICjuEa=BS%#Y{U_xjs~6)exSBG?i+YgM zGpDszdbC2$pgPh7aqqouyyqc(Ccs+Lw8g?qR~GHWhC73}XxwuP{T4U=nH|{_H^aU+ zm2Pt18I?IY6k|ljJF#Qi55SaF>Vasha0}IHr1xAg?6g%N8Zl?lva<<|#8p%n`HR3j zM!h#>@XMwA^C&98r4A7Gp{Ri2%Nf#p#JbIU6!YFYM_l`-syLvt$3GDQcEx**dgsujNMq13G1XH*B#Ooh{Sofhl84_kyC$qA30i6tfvdG*(vd_S20MD(ffQ8qQ|&=dU|qjE_wom6 zh-c&t_?+qVD1XKU)PU<0h_bcE?g={>?R5noxusktQ4{Z%jg@z&--L1bGGDNC&S^1M zeH;JA(=}C}$Gsa_@NI*p3pIU==&*Y}9DpGt6Ux&q`#+#wE2pgQe6MFVuFfvmnzCpgBBQgM%s`@z zGjb1{^47ItJTDn1?+sqKI@gnps{Eesi+))Vo`Q?sg<%{iKz@cC-PY_SYsys z79ovkMD}rXs zVr&JTV>X~ZGi|nu#9qI*^% zb%9aK?9Dc|sq*M{B)h3Ob32J=B+KZnte9#wjrg&Q8npB`bo!3Zkj5#jE);+NwXwmQ?fG$3d$0LFLc) zSL1}EDg1}Mqn{s-QL9P`-OTjhid9z!kYJD=cXaXb=+Iw0v)(7wO-CY}38w>!zCs3$ z^un5b`X!930@yVR6%wo1^m2TB{00telS4nr11PIw#ld_-qKZC;AFSCKy>_m>&u*EC+8=^FSvuUfhb4Qj z)!^UA#Lm=q*4eBbD9T2@ES*fABoMRDe1hMI;25d}nD z#!(m0&Y7oRb&t{``EFMrspQ$Ueg1p(m!6Ks6!HZCV_k=)-d(Mv!b(o85DfN>HI_HU zcduGrjxQoZ(M+ru2RPD->>*9lX*hGshKrBYXBOsY4mgXDyrf@H&sipVg z+#L!&tSb|v7V2hL{F1%U4jn+pX-vJwieo!}Wx$QZ$ z9@)}0*uSB*>-&(w2AM+o;`@cdi(e3i4LtDI3uQ7(YaUJHm#? zgKciX7o(*TPS?8Ra{V&C8ayoTZM@mYCbl@1ewG)pmN#_V*(RT4Wik4=Cl$h~H!cuE zYok++RcniG2na&P4phs}rU`s;s1W)H9fML$Q5lIzrP%|fwBTg z*3K(3DYlTzM5ebj4b7zf=R;)8ava?qE6mbLOngq#p2|KoiNc&95GQ$DJ?G?a@v6p+ z#u$>9i>l)xG6s1Ua+at_a(<^JF@{AD*zt2vS~;dA6w2s$T%O6S?-`|LvGUv_dg#D< zEU(I1N%k_rHxl3EA+YTMaUW&}l93`lte!dH$N@L1SPCBEsOu`Ry2ozGJvO2sUj`*0 zs3WWp#k=DD_Ds@A1axyQhV@gDWLR?m!NNDjF;-W?Sx0>ABesGEr8h$fI%a>nsYEX`pN53D+>@*(}v z0mH)B{Y;Yu!Va|&y~WacndGUlNTDR+WQsy2>kzW+Lo%{+Nz0Hi+b(DUd2TTtlE^dk zwj`J-HL7j*9iC-^d!4z5H-+%9;t4Gje^QGPcOYjE7iaO1*MQ8c~?tmaMn}5A-%}`UbB$1?d&94!%CVShHR73eDq`JF@|@ZgSp0Rf@`h zTEi|LCcHlH@~peciB++ic_{&KS@XYn&p|&}Xz63DJt$d~rFM8Q@bH#fgnaYa=!SSV zh}`rAB>!rUj`y?Frdp{Ta4?b-oNJtp`6%HQ(B^Up!$`WywuI?eKL&=kkT|a#NPF%f z%!jGTwqA68D<*J=f+;@P7LCy@bg}sE;i40fr0yj(Y+b*>j9Yn`N(LIn_3O$2;s)BY zV_<1`5s$~DPh;HE)ugTNS(VjLW&3KKZn-po+1y8&aC`t#5$| z!Bf}T0y3y3Qe>{W3+ZhiwOYzjYlptWfM;Z?*Ht*Nv($5OCYf?!j`QyhWEyw9T712vNTtQ5lT&3ss{}bN)72Bxo3NA~@isqf;Zta#jjp8( zO8xxbRcbWAY?IDZn)?SCzmOFtCLL+%GO<31jD60M3)QjsG@x+akO_pQFT$@^$hUN=sHW=&c^v6Jaow!9jpuP zN=0PRbyo3GY_<({i9r_kOc`4JW@xHkOYxf#1=bW~<=(>asyAfosdVItaK2s(7f=U2 zO^kvHmMrO!Qo|dLJ2Rb-z=;Tj7*MzE$s&?b)ONli!mxD;kLQOMUlZLw5L-oxGQVMV z#%kl0rFze|D+^&}LECfYKw9(L^<)M#dj}f+CN7jAK%V1JfGi1wIu#0OJ(bpGIz!5i zVJJ?`Y?t`FN_OE!BF#+;GunpRd2-eC&3EPpr!|)7tK-T>dFQ#0RJsjEIaRc!HukQf z;D;8gA%+&e_Oxs}3LUH3NnHy=hp`8nCgP)KiZC0sfnMUI^T;6)w}l!XjVZxH^gmj~ z{ms9_G#)uW+NgB^Y3hlNVWzy?59@|^7a*3(faK5@F;=z?Man1;0(L@UmeHAnOpH{r z{AB!HqL)3bgQ0qg?yI~6s3-%!_YZSl-JkyT(Q~6R%3CV0GN%`p3*Csf8S~dC(&$9i z^RYeoSJ_6%P4j|)%t{4F(p>^Q8#*T7g>JkvJ?0}lx2a0Q&a$(IgshL%)Yf(Mv|Bi< zp}Ci=-|~kvqWB;DYEqd|=eTz4)#Qp!QY5=;s~%qmO@u=nKo5nQHbQMG{vY=4Dax{S zT@!WKwvl1mW(G2BXV|uF+qP|G*tTuk5tTDn?b>U#+PiS-oVqw|-i(%EZW{*+u7=k`yHMwg+=%>)DmYw;qyRZXDN(5^qyGYCF-Oj zgfJa|4*GE=BN#3q!GiG?Ki=W(WEk|Pk$1h2O4i>rnE}w;IaPOpO zP^_3>%vXCX9)#RA6(d`zk)k+P;iEcy%=L=7w1?vl+v?mDRCwC`bIBFCn&*J&5*CiH zWIv?hGR1OZ43OD)AS05fDMHPkQD4WH$&_@?=0 zj1#5j{~VlKN}RhGhBUfUWOgqDxSgR-j02~6HR5r_B#-Vr>(6R8M%xbD%mZ9}s_x{D zr-h$ns%T2l32m_OWHxq9p3KfE77N5JfS`mYTpo*)E+8)qvS8IHUUOa6PtdY5-EY!n zP`ETvZ4hZHp+y@J#Xa`&1K`jc0ws6LCr$Sr{%||jj&}c2qkLG>Fv3BIfFfqF4PK6&$VM)QB^XDzel76mx_3SCvHWwPt|MPv+XQZp!aR6sFUuAdV{S z>g80IereSbE09!tR_WwRffFu)s@px)^NBQCh{BWwI76ud zSmDZ>`f!2$xfG9uu_<^d$cC5~>c4=$@#z2l7YC3_OVRT9c9az;?v7L~yz4VV73J^0 z45=HGiCO~ejFzXGj?4%*v}pWdK3yrCVa5$MKb^C3cHau>FUNZ=wv;4B%qa16o?fVV zr|ZGZ87s|ung@ojqMXpO3^uUuhU_)zm_~wZOH5Rg1(xWJ$_4@J%t5Wee#q@gXU}wV zgG@2G0yM~{EF+C0z#%>rPphI)e&>j=y7i$3jHXL{SHuRm>5qIgxd(9{;2KXqG zggfKwM-b3s3ggqNWZ7N_Y|MNCOhr@H#bZM*e8S}9_KjC#O25oIa6Gb^c1dDJD$D>| zs~d&+1OLK#E) z`h7s9Di0@aIA%g>R#swy&UO2USvo7)b50~`t%)OS==z_bNGPtW@^(SY1lUZd_nP;a*2^5z`+-~7=tq=*2R;4ShNVYd|b*MmB z+zYk2Pqa3OZ{wMPjJ194rqemJC~!u}dQ48`k!QUj`M9;r#ErOt%(&Fw$d1P>Akzyz z1;oXRo0F7|uIC8LDnggXrw zRS+LI!#2+wx}w_If*fL`p5IT}8Rc-Qiy;#2$>G+dIlP;HTr<)WeB0|8iKl)tIF}uu zGGetZiqLsP^@EH zV~1hIg@gbM8iwzH+U?-R0W{Si`eT*PNkC!WF_Ca79jw^+W=9&;S~%i@N}fmo)3w5} zW=~KPKciX->_kzyO;I*qmiTu$b|@SK+VNVz^<;h z-F`C>QoD(LQ}((-HvrL|vs{s;9uifKAu6uZ`GSlzYSli112>s`N_us%`DX3P)2|z; zu7}bUWv`}NXiYJH?}~%d@$G5szSUXVdBRCf){bU$W=8SJsg}&+&)rdPpEKzcaFyR&NWn%7{7;~A_MW6 zxaF~S6ApM_4JNduezFuA=? zcV&FO8d&S>^>S(Ud==pnnsL5#7LqySlh2B-cJA@#-!;jJKn9D^f zZa6cN^0}WsNiVs&kzHhzFS?F~z2GaPM=O})lSvj*wFgpTyv*|CAICZuBhd_%BL(1yMv*5#Wfrrh?r(hJ0F#Ir=PunrEZbT93RMFujV%B@+3zwM(-Fo zuf8|i?(8*?yo-f^uTi4f* zR!YNm2Wc>e(}lU@DGBZ4S6i9Sre5c;e2i$}7x43txPhd=lVu{jP9}@Z(Ccg?x_kvZ z1H}xe53uV`gEh`PGaS-qn&`kP&faAkIfrWYEazltpXn~_5t*Ch%WXte)*pW^B%qas zHKj~2B(at>iG_^ILEC?HjI_|wAb8TBTbO6EFHz)CTOg{>cTp}=mH=)yL(ems1`)P6 znMk5f17#zxu{nTdxh)x9+b){jcuMawcRk~i;~A|KSp*5IoaDCZrzzT%-Xf4`g$SAz zr-Ig{TsYfkPp(Z(H3dLYyYYX?l;EFh?C4;5`Y=6E-#^Z)_dQ<}6~}?%;Z3DtGK%z{ ztT;s0%8a9DoF=?-M-nrhD*y1m2QqRmkX1>2ZEeDDa6(;n2+T>~t=vHI++{38)HdhC zH#XSQ!h~;sNKLCNB|u}FqfDKXgfK4;Z+3fW-xr?0&_V(>^uDo- zU3rsAmi?w6Ws#BYeWA2=YcKAwXQbpgl?MzJ?HYPPHvoY-Nj}}nZ-V1M-^g<6+d-G- zg^}(?8hF3jKf4o$16-i6U`hZji(k^e$r7W=2iMBhz0kn%}cbnEte6 zwCMiB7R;aU{y(>1nErh8ztMtW`cuC74_h#Qj^v-37L5P*>LhRY=1TlohZ}q&+PxWv;SW5pcDOF z#bNz3tLFcuzQ>>4_K$P=x4s8GGdsioyzlY%n34a(F(dn@kI77*XNyVwcPum<*gpfR z0B`WOG+JJFc8b06_|l3`2Rx=*2(q|>Oa zkHlZURphDGi`{zgiED?M#TD(UXUoK!;LT;BH3~Ih7Z-n%hT3P*Qrx)&1%VJ)*{KoERL)dSfI9=&C+GoPub0! zro%ue3mX!oxyofohBo;M38^LB#i}`@(euvQk`nr;dZ=~V_tM8;j{GvkQo1|vW1#je zcqpXEiQKec;9-8|m^^%%S-8@lBA2Z18W5R@#@+MSMJnYWp!p#sqmi1B%aU&vP);z_ zNJp})4OVJ+2<10d8UrOOo=Hu;PbTB!$0_SrI%pzUxxm!L!quZL)p&s+l{5=buW}az z#gs2)f;t$=ozrL=Vq&aWT+BaF!{@odj4H`rDbT!n2V zX!&bB3H#wtS}iu}8kWJZ%oD~~tTNY1H8qrx@m^^V^(KSf6IM&s4c2p!nK_Di-Sw-` z{F9E{x~RJ8#9)EP2{tVbZOEkk^{zt9qi4o!IJt9MKFT#%erDIYowqY{Rebhj?u5fq z!`h1y9CybxeSJrgflsCYcUD3qu>iH`F-zdhH8P$>uFxFXx6!{yGR>s|D?`C^QEM7>vM|6V zqG3~&Ln|%4ki0|coMV=wAY>247gt>7(v*@XraD8=)_=w=Y(nM9WyNHDIFohKbZBLd zU$$$%Lg0l8D3KskA$(e#278Ex_&HUhGp8__zuvBGk(b+Nm=$9C2ev2V7JD&t6a|kb zAoZ$7GY>pf6IzDR&&NOlM{^a$27+)$qD&L@07VqNT3K>_Eke8x5RH%H(A<|3Han-b zvZn814hU?mcWN_11Pq4WS1zz7b&;T9gVOj5aurd%<`in7W1Tg zCSRv9IR`B2q2`cr>qj*GU~Y5HG2w}rg2B|pXfbd3ZVy9#Ud2TZDUDz*hEh9nFP}tr*hrR0`6;x@fcMVpQN)CDcjUx zmsxwF%Vu|Ciw*tEb!B(QPCv!;AC!^K5w4?HSf|~f8|%B)ODY!*WMIo>Gmcxiv@jrR zo+6uv%c_YqXc}GZpDd*~dRI6$!!81*nAU1K;uST5)9lL%MHfa+680}YFIc)nVc`wB z?Lu@z3u|WlzkM@(&JP=O%YR*z=_148Vc)b{ELI|VA(*=wDOcq%+!n3k*Yc<-)jA9( zbHeM!aag$C4O*aPBtzZa9|pdxsdyrPBh~#KlmZOdzZeJEZcKp+)TPj5s4(P}dtE6` z0&5}^pdt#aY~{)q9}h=L|AEWE$8J|%DMdfnMk563KL8IxV%yPwgCv>BHN`1nu;{bl z&Oc;aHhHi6Y{$RIOOry^XPjol07tI(13TKa&!dsVkNo>$iqtFEH{o?^hM0l1lq3E+ zWC{55*pcFBONkuU3S0#}5z2sZ0|vg6F}<9=5ug6D?L0$0839W3kyN6DOB>1CksLd_ zH8T`t6p*~Pc=0*(j|hsYG0JXHQ<3;1a@1@r2_tHGr6$O;bepi&Z(T*MU`VgJcU}5i z4`sQI%LyEA6?1B}{qOep1{bsC$!S+TYGeqreNUK$?EnT6JjD0QIt6=RN)}MN*64{G z85W?~fyA`WDQt+S3hg95qmUzV9?nXf_Uk!7DI8YBeqrY%Kd&(1AeP}BFHwK}06{_N zc>w#l1)lcf>k~_WA#i?X+rFxBoC?o>WIDu$V9ejCN zCDL1m3wvWtiE!KlInTG}D)?LE^N+me^gi79y_?mgkTX;6DfJ3J$bl8Tl+a}7nf}VN zya};`l>4qqb(_%;Q}C(bwkB8fdeG*fFcKF5W<~Tkh+E! ztm;}*@=D@kF~f2aqkd-sLMO~S4sD*e8}^zXA?O3!2XYV(WqvOx$8%i1#wCpVrW&j z7x~I;OnccQW)kDT#DtE`ta8Q`RYN;Lrd31jT!-@=v(KMO1T}#g)dHP+ITkiQe{?bW zOaIPXUQ3fxty=Bb$?hmyQ8+}(a~Gm4m@DNQd_VLG3vtJBB!WDI7?PE!*_#1V43tIy zc9FZAx!f&g(A;XC0M784#p1ybEI~#ovQKw=!5Fxp6dfT(anUc)HRg>M>;XT>l}s%G zL?lV;-xJzoX@d|mkA1`1t|{CnGcWj3SCJKx`_&mWhC+- zZnbU)Vm*62+abr+Xj4@UTIMAb_WomLDaGIb`%ZsZuR{Z6?K#fkXNr(^)4~FN=cFc< zsd9`;lY-Kq)5UVS&@^|%8Tq7Y#b%KN&Q5Z9K+BvC1{)jPFD={|$X>}-kN%GjSTW*w z&>Pg2Q6Kv&DFxx7xZ4r6%v^G@B2ch{$nS)E=+C zdTVcPpnO?&yk{ro&fK;XL3Rtta=rJW4Fs@E;?tPGPY`Y3`6snb&ck^M;7Mt`lJ9EQ zHb>E$x^vEeBRd*AdIkILR12IF1+o~F=QwqTYNze{6!L3lWJDZ4AoSamtyecf`&!05} zJ$SQfMUP^ixIYC~+l#Pj-g2b`jS>r``lUOW&aPTtI8c;U4s?4T7%fxKXU7T~GOs)C z#FqCUs-E_0+tHqT!Vt3Vpvnw>GzkePi0wnI)@EgA03brXfoLtuVFU&&* z_spV)(1=i+0x%&{b&y7bHyMsC&=lhWVUI^|qMSS*boDp!w}Tb*rFC4LbfeY4ZZ4lm|3zfE8B0ajIt^b=Gzd#%;;ex2b}5VS zzGe2!KRWoK;b0DGA)d;DI3iTd(BCt*1tM!2RRjr6yE);qiY^Uh+v)t*y|{uW6k|!? z-1iT7)M(_Mu9~CV4W+$SMh9aayzOM|r$OX>yY6gj(k>Ns<3=WnJEcb>VW&yQ5{s+b zZie8Jv$}SN_SSD!nOsFoWD{5Irr%{l*%LvPNp)tEFWFVfYks;HE1n!}3Y^x0&}5k| zEIlfLFB>Q{dFJy9Z2K&dyUz{|4^k~R87)zmt3w9q`gO;Ro4U6HJv+@{03ox^q@{^5 z1Q&LOKOy%mb?R*~n9p4}ngG9f&!bly6UK=CT(v!Z+}>|mk{Zugx4GAp#F5$^p(-z( zsY zP&QyaG~~}yIGG8SQPoK;;j&QLC-K*}67kSuo3Qy%e?MzRpNZCf5 z^a~pV9^47a)n3T?Dd=`g<@J-$y%y+g2kAUOGpq2bq{ z4LE17^8+7%$t6{-U7-!5icv8cb)=yRMz6Cm$itfSEHl7F5?D?0`LkYI_4hDf0g@eQ&Lg~eqTWDuXqpz>`806xhF&(Sp#IUjyO%<1Ce_2pLGzM?}$mVUfk8rL&-3Dj{5 z>-)Hw!q@S76Epe+p3cq3lwsW{pIMsN?WHch)Jkt2=Td*YzIXGI&<pi7Ko#9M1 zrFF-b>Jo|-`ixqDNAM6-%QOOh2X&3!&1BwC(p61aEPt!!xn&l3t1rY=fI15oGj56p z>1`vPq$VR zTdU}XxH=ov)gsTNw(1g!De`QXmuxht&2<^1m!X;Z-kWTKQ)0DjQLRbg0uSYx zB7%`pDH^z8@;Jy%BB)P2hWgXF86-@)eRT_iqDWlEOR22xci*Gs%JE4ShutUEFqLSH9T-!4TBGsw?x0ZitbyP~(qD^aoKh9T zn3DS)xE27-^k%w54T4zHb7S_6W`Q0xC}11SF=;!(iWZvg{%0UKl;w_%s8fw&1)@#_ z^^5FKpu=Ot+A=qm3@1*6!}&aNBam$n_!GtmUqGR9#6T?Q5=aN;hnn!qYu{yB1?mW< z`R$<)DJQGzqy?>VdzO31L2y7F!DQdjSC;5T;Kb+vRsFJrB5)&NI&j|`%H|IcYbb#7 z4cUz{#76XqvQLsT1RSqD={K`)7*-J=G{7BJ`k1NhFTqyzvai$)-GEAh5(1#YM#*8t}wbj>9a;^EPrPi5f0i(bjy z2GM*RDSgYaTTog4#^@b6M^r8o8AaOyjAN=_R9!|S>ftGet6HEwxGwj3#i3(Ifj)V0 z#TLDNj+`ccRA*U^s3XHDfAaeV3FwpfwVQ_LOA)FgFZv2BAJZTPY&XtGGW_tj4KM(( zGDdkuLak~h%0&BiF&lCGE1wF`;!~vEx`R3meNx9xdGSI#!C-D4Zf=e1rbu~ef!eHi z{v0#;y+e?X$pYsw1tlnB}`|**2Z^*Q^qs zZcWoJ>s`DtuBavBYP*uH#=Tvt+vvuFw?jMtPW+=a4DB@qu&Dx-(X?(8Bp6Q>kaHD1 za5&j6l!AtH%YY*Ed>*)k!@`|?Nvg%3l^wJ(da^WzD>hwXaGMBnRTi7$#7LLTDVlRl zMO}kGpG}EKG?810j7O-$YaOoqNR zy4><;t`X;`%|w#gJ^4f>-@cp8$;7-DLv@7FW3n@0$FwIFgO);D6Wg%>Rl(?3PXD}_aSMH6_}<<1sZ!Ont#uVBW5CQ#3AlU0!j3yitk9-LHY%@qu zK)ksGTlo{M@uuPq=3v|`Wz>h`itDRr#QM&lgg|`#2{$VvvMw%Vb@l(X}D;7%S+UZcOu(@@w}s==pyxZ(~Z_gm+(1n)@KR7GVwpWw{lMCq=ERdiVRy{r2^!N=XLB5TpCuj;|`rQ(%C z;qagn96r`ke>ZziX^Sn!X}J(`$1N>KRkB!J{{uZ0@lg8mb91b_{>% zbz$q4AI*0kKadW2yK!8ihxzPBn^F3RKF}%r?GRBylMDsTHTh@PdSmYJSxtVYAieEU z)a=;hjNDha`t=h_nWf!}T%Zgs?%@8$sBTPhE>fDzwjg|o*V;b!=$Lm#c@9JQk49vn zSw|7Dm7a-5{kF6k7mAqoo*#9U(1ZmyCat0BS6@x@0VEoLYbd#eC>Rtnw;b^KcEM?h zACYRuWW~?k4FpZ+g|_~lmzC%fBdjqUgzx&m0iZ1l#)By+L3U11W3nQx= zRnEy%XjZ^2XT7s@mHb3wv7O6)zkc4q_exe7WHk4Z=uXNzCx)|C*`zn{F4?lB|S4^xMB68GnxG*q2g z1{mA@>4O}#oAsSX1@ZjqOMeJoFTyeZaQSPh`e}>a&EwNZtw*FcC0?eak@yEXbObdL z*Z}(VEjacE{|*ygAFH1hQ8SGpB5-3t^S0jrvPcUW_1>_RCq+kSwAi^N`?QjFP6wB&O~J^mj=)R#?)_S~H>#Z1M-6_r*Vk0{xTMGo)?z?`R4>FP zI+1KcRxT4^q)+CSu9?>r>P3YuC3j6e2O(U`SFfZEEzL5Qqtq3oM)Eo3MT|}cXPeA! zn7>6e;&(1gk)~~!VY+Jz!G37rNcPzx(Z$^`6XVFsLuPGyvOrT@L6$l&xCY*w{s01@ zp2pTbU2ioacN0K7;1(rVDui=9HJnfm_rc?%?DT`<8Ubuf;LD?(9Rihi6EV>itNL0V={sQ7=$Y-dG$%(JJ+{%>rMeYd(}= zKJ_=J`I6l@aAyODh^8S=Ge98`C^9_8JNNN|!V%2NuchRS#Gjp*rCT9eU$8h%397cT zY%R(+_VBClaA=^E$Dv3+YgaixXNbm|uwDS?BNZNGYIH$|c=~l$8QVik!Omk2FWAb2 zBuQtbibkZ|t5fqH3@beC2Wt;mSYA7#-Ko&7xyP z9PxVgIfF}?(DYrks7xeJ%bH3zdEX8($15RrnMx1Gq@b;+1OriMi6t7@R0)p95qWj5!z0|y{WDSaRxLNPiv z4*ay3YH%iBo2{Hf@AQYkj7R@IH%&*GCGC_*Ao7upv$`LE?F|TOzTWfQfV8%q^`RU! zY=igl0^ZS@s4}Aw=}A3f=CSh{ixb3*tcFj!ORS<4FzC{I$42*SdK-Wsy9WC(#0slt(yZU?x)=nX`eJ}fK4*{1| z7wAPL!!GA6s!qiB+W`qzJM#gABc~&K2cp@5Wh^VTxRKrPSOItj@NBc9A26#b+zAYK zm&PK_5cIqzEJ%%t))RD!3}QO|{xm5Qv*RSVMFC4-QyjXXzLt`5OC}jTatmD}zhD+h&A)1NSUN3<#P2V4W`+)X?UwN^;_T~b z$kI1($!wS*z_M@*Qk@!-#D(&3K~nI$tIN_*NXG1pYWzE?VTRvLl$BJJx}VAt+-FKf zHXTbM1zDYIg**e!s96;Q?5T(-cqsOe$rcz0!-bV48h;RjV16EpBj}B$o-%I2#6x)l zwq_3f9t?mYqe&|yo-{-!TzRw`i!9mvO|P|h^jUax3`Ma2_xq%Kr2GdhS7`YK z zccvP&dy-Uk3s1eCoz#!`?B0yf1rWEdH4pa#?3w#g`|x{ zO^r1|f^EhSHGg13>!R-SU>J$HG--;7mhD%sA>}8J3}bEVs+4D!zZtz~d1fq~dbsIS#?i%l<8-)&-a3ipBi3dQZLR(flcumNe15EaPYo;?A5$2DwA-MHyH ze%4Y_88cm@Mz+{I6QZ6tph}U2pQuw}85oG}lFp`tn+7^#+NNOTI3$>!VSt#A^Osdo zQYv3rGWFEZD#wP?O-q`8t*7H*UTa()!G z5zYBU)WCbAjxGZ8O^AUbq-Dg^vvM$c4zxAUo0THj;JJ#b7eB5-g4pe%d;=#OOnO<-rH< zUYnEI(=dt=M5dw3Mn%>&a_9pDkn`4{If{OSa63Oq=L{54LcS<56Z!d1LGNR8Eps1c zNJ(k0&m+{KH8cIbUTNgfhk_p0r_<)retdQkvFZ(eJ2b|aJKJFgSD(>hUkTp<=xDd` z5uLRakAZ`x2hm(&^8op|9&@1cYN6R^=(Fv`4w?w*Db&Nd&_Q4bT}uCfL~ zrIC8jG=#;~{2;|j&!2=sm!d3rMgYjUR<<-T!mCA{CA_S1e$8x^mlhGO?hOZ+no`Hb z_Lt5YFFNg^-}S!!F3@Xn?#JG<403g{{i;N1H`Aks%Qi^kUD0L$ZV=jOxgS_n)sR#~ zQ(rw~8!IN>H{z+&5K0f4X}>Xfx&=pIl+twNN<4fF6}&^oIPstZ#o89kj553x7_m$( z+M?wi=LK%raSxy4!wYcaz9M{C}EVzd`uA#rg?>k`0a;MDE z=?dm*N_`HNir-BvcFs3E7zq0;lpTtm3c6qs%aZGNI+|%4 zNmodl0vu1iwsjKaeBe56e^lD|@Z1628dInV7v7$NyR8bBG<4DT72!49x8*txC1Bpn z);odCX%S^`g#lJ}zKRb3)IlIogklXscHN>m%7NMSuUryiGx?0%G1w0u++30Az&)J+ zWut#*&aRS*MWtDO&wKL=?5F)oe%-xDS+Dz|d(#wB#!Iy%YLYP{7Sap*7$Hz)5)-TC zLPg)xS!!LTiWQ4~^DULz;(sM4-A{bP-Z4o;Kv5*PMc$&s2Xm7IHv?vY-TSHNYXuy zY~-p>pUgE!LATtK7g4~R_lJEa?mCPghWE2! z-6qJ!16^q9O4EukZMa&QB5y?~8OxN|UPrKL0?7c}+9``wLYYehYQu-I5@FLOdi2rM zS6mE)uQLl2@?%y^PWl{Qdf_x`1NW%VaA@&e-uDMS36vFhgusi?-bZ0MsBbMHE1>$U zLeH#n%TeSQhnNm^VkmnqFXK;7+`hFS?u4FbOI(~rtvce)jI)ikd#|g8d*3NeT z(t@(TA5!4apCR@0IMrhIkc=UNk?`a%LpT$&3hnjc?nxIE;z)MQArUMn9LMt6m)w2D zetCz#o42fc=4H|ak-7Q2!?X^~8xJaw^Domx@NY0Fh<5gcy}2Ogecy-!1bec|3yz0{ zCrhVoayj&L`TZ__g))-9+*SwiCI_S31Mt zPq?qypAY$nhgOntU%n7iq#-nXz1+t$!0gb>xN)G+Ccqdp<^Jiw8vGLa0y&O#zw`iY zCdPnt033r!HPw=^N%P|QIlF#_jCpj&sB|ztIO-Jnt`E@N5b4aUqp28(e8yc^iTFIx ztL{J^u_`~uwb?~OCR=g3S^xynrSD#@nL+3TZQ^M_&|)vxoqF(xKB4;9{uT4a22tR?2=8Psa{6dI zgZ8;VZA6!N$_E4kU}TZ%{2zvanf~JI|7#fZe;5Y-L*V}v?EEVX{GWt?|KvRXB?SB@ zvCS1GfRfpoaa;j<#A!;vjzC=9`{#{}(8GGrAQ_iLShr}LJ_gi8&{7YiT{BII_oo76;`Q9Iiz3Qxl;>}pC zq&oCpB=(@R0dh5msKV@jm)L0+64farwmdEnCl~%9v6q>1c=0CcdU4&{VSlv_Os!=v z-LjKa<#_ z{9@BI+5!cqXww|?PF#?V-|ya#Q^TD3OmrXix~K4S+wiNkdiXLcN5?3K?yzZ~IlH{< zKR@%q3h}p8DMoHK)^t?JG`(tnT#L&$)@Un3N;0*Dmd#p=UzMvkPDC)lbK08{KSFQ3 zQgLf`Yin&%gI{(?#1<;e^f|V2+047P~&&d;zwn_ za;qze-2$t{ZY~0%{Xa?U1HUD9rKt$q(*01e!Z$=zecS0Ola(pOz|wU(b`g!A+sc!Z zreVD>BRJpXX{y?%6ZBSK?yT@JE$}`MykAP#&rHp!l8t!XcI7O9)6zFgMk!WNwoNQy z$!8^tR@?xU6gY!FlJ&eCZ8;>kyS2S$uFsCV6~#V90nf<%>2O=J(Y3p@w7rs(@ZqMk zHRE9~x27A-VehmplOp!bG&Xu-ME{c5)ysZM>@4$c#;36*X}UQOa8GK8BkW`A-J{V=~J_Jn_v*s1@k#9sa5IbtpBFrNngR$vWOi>u^Hn!HSGLp0I8SkB90Yb@Vkwj3!ACAXR)rJ*;?Sw1Vk_KUxQKl{=W=JfB&xF zQX6xTNf!Psv9rUW{E^rVe@pC;*8iQvUP&ZR)@p@QOmxok1np*%e#rG*p_B~~pn&tJ z#`{|I>H_>|)@#n~ZMg1NiC!!G9pJFaJYgufytHd!e-bwWRF1 zYtono#bwe^)gsMmK_haIwGqVqi;#*VAJU=9^<{Rjo^Dda7nMsA911h|kHj9p@|VP3 z`&(j1cIrsu_Iu@@!}Ccy1Q*Ns7l|F{UnTaYf0o!odY#?BQ|9;W+pLF;QBUtf>8W5* zUq_DUaTwL03Npwv_(!6t$}S+`Oc&$-27B)#{CR$HYX~Te=pw%v6>HNYk)w(>1;9ih zIt;-I2_7H&$rfF=|F)eqo->QL;JAzO3FTRgh6z*`kPZ{ahn+eokU(A^jex;)btAAv zxfrk}*c*vnP|9Cr$q-k*k>~W-=6vU2iN>~mv&6o}T5AgX5kRgu9Y~QEvnPBSqG73v zCg7(Zhe^W(g=1x4Y74|^k2DR;#JP})t(ZdiU9{liK>nTQz$@YcGG)*+IGpJt28syY@aw}OZi{}wl8 z(w0!Ok+5Gbp2vtHt{9qge}S<)u9p2Cpb0M=By1B3Lc4&qw`lfPF1s$nofrzSF+L`L zzSSb&v=%@l)CB8$!XCu>J&j5x3Q)*0-25*F8Ww{5x4vmT({`VkdQXn9?zht;Cl_f) zF@RxFGBU}+U#r~e9o@?!tlUFbzBoYYl$j($4c0!@@YQkY@9= zc`8nKN{^$A)E?)uub_8V_(ZM7lQXATs&9-JEx-sf^0Vb55jtdEcRsYnIN;~4viTwJ z$`qmvl*>hdNf@3E10Rfl)NCJPT-x?Ie}e@X3IuY4OP=MAhBF{X)y-vQc{Z4KN+DA7 zls2=A=)T-vUJTnkvsV7Gi*bwTU@G^M14I@jh5g?ob_mKk;@=WGukIi=I64Gr%FgG) zlTxAFFLkUaMaYK~o@Hq?+cr3lEDha3rU{9lcm8egSH3r|J%HXj4@j}n##hL?za(}c ze%gPL*hTV|MtH8_W(uO){*u_4ZS=MXpJaX_4D^Fj<^)R&T`KAe6+~p!;iA8(SD|W= z{*l;QMZeG2%Xwk86z{it6VQW)`>+BLXmwGEA_D ztap-E;RTGvn3Vq`u}}RivHvZx|1GiqEwTSCvHvZx|1GiqEwTSCvHvZx|1GiqEwTSC zvHvZx|1GiqEwTSCvHvZx|1GiqEwTUqNn)p{PCIOhC30J;PEjzEH5AL}X|_IV+_RH+ z(nM2m53%41j9tH_81_ zYMjN8(lmMPh^mhnRj~ZQ5g)v-Z5mK}77HbciAj?Zq$dk{)h7H_7{>y)M?Hsh*cZyU z;CNxc6d+KR&@I;Mn|ON{hZzM}j4QZZ6Ok=X!JCTXID6|WsCZ0;Q7T{8kO4v4U?5(2 z*fMQIK{w`u-I-f*h^$6lU|2*ZXy^>MmJ2F2>iR{A!eitz0aj?|uQ*iL6vAZbk1Z6h znxqCH?kJLE7_Amtl?q=I5PrpMUN!$jJHxF_?4?VGW$jw@P9nwpt)4QX{2NM?<{pLf zj&PaE{*J`aUHmn2+DL4+tBk_PRT!f>0*Zf}m>QK<(=|v+G+ulI=1L$~S zI_l>LJS$e9{wmWM7t%|Ha8{4_vmG{t=lCJyiB)IobMnW$FvD_dWwT1RFhhkdyd}z! z6V%J_c>A=sC`Q!~Ko>jUV4OPBz{ z^wpU=gyt42>F7ndRR}-qIT)MkTe<7jI&3g_Rfpy4p{z__k43&B@zDBggywnhNW{>G z7yFN*OW`gVlA9{DP$h(uZC1pUovhxp37e?hB9UPR1(C@;F6-D`J_kqC7 z!c#)~zs-9)o&kl^g%3a^7<}$D+=#qxFGg>MYT60Dp}~10&XA&^L5pZd^s5ExeU;CC zT9`0`Y4AQ64?$D1yOZK4#7>JMaKx^m#uPDKiUN{$7H3EA2>J4OTpHQkzDjsbdgOV> zrUf~FcilE}C$yx8hLOo@pw27yT@&5($_`Pus2xATWoi>|D`v+FGgNA2Yb%Ykv6X5Y z3afzlH!XL2?&;R+Gf%FKFlwPEjMnu+esxr8WWH8bXs1xUXPD95`0ZJDJwo04xtR-; z0nnoxUYo7@4G5rdHwc(DLJeE{v2DPgLqn_JCDo#jA7F5NEiNuDY?N$C1cTyrsL(Thae#)bzyvlPeMF$gxD1X1u?4xN2O>jcGesR(Y8su{D zVL6w+w@fa!P-6?ktyr>B6HSJlc9BLa$JK2_?@S{#mx7xI{ICq?3~+1iOJ|>s#X~$W zfxsGiQcnWb@ru}(QHqOy_=STAi2KR>MHbCUt62Wj*R;_Ha8Q2O6oqr~(TGdz79Mlf zO~Y0axlMw?#wVMd214F>az+=cujw55vixYwaEw-&r3iN_Qbdu=QHKKiJrCS*-79oA zOdKPo6vK%A$B3Dp=5kCphB9$MBO{&kdIl&eG(AG=Ld|BQR&YfEBlhe@;d9qF&Cm_V z1!KLP-pR`S^rR|s1f5|;JpA1$R%-mF6Sl}6No;Q1=uaT?*{ElR4K|4M&dc6)Tg5F# z-QAH=C0Ozxz$I%6l-*m`Q)X(x@sm60yMnH;>4bcF$$X&xS8kgwbOHyFSK=#Dt4H(e z{s$pCQ%9jK<;SGx81;z}F9ZeUMIWteF4lNn7{Kdpo1pDyR1F!#rz-TjE0Kt0X&W}N z|H9ro1&!{1`I@h7+qP}nwr$(CZQHiFU)#31_v_jJU-z8psp_8TshK%fCwJdUs*^s!t*JqKqm7Q`(%uy#k1=;P7u_x@h)ATIULU7nKp=8xYgb(v`1b}@-1{lR&NV94jt zBjf%H5w(WU+i-XpdYYIX%XNA%h*A`&^inbM-(* zwN8?f$$XTg*>DWouAZ%jI?UfBLmiXu0k*mJqz*q~OTtFy=IYJ7vMVJ*$sVx{$e~da zi|q5s!lXk*@CXV$=9%)jhM*)AUKMBt(I$quobnb_sJ}jfGQ`(83g^a7=wm&#yb&lh zlX%RTDA{@*@psZPr+fgM$#QOI=7tLy>$h+wMqNF?fBZO;*%`}Ax34}U|3PLRDdA@ix|NH7Cqyq3yy&4jU%!5tZU8|WzP)J68?bk&%!fNrCs(1uf!Xux zOcc+=;lvmg9ga(tLE}P>z`Yt1LJg)4?NTRvV`Uc+FBO4BF|l&-nMWESszA1|8(!RL zun}CCJS&a`LrM04s!ElR%-|jm-73ET0uhhc6>n+Bh4F#~r1wS{ay@^;_%`B7^oyDv z1X%>5s^Vnu?jnL;PB6|`K(x?r?P$~1wQHmIpP_N_mAHuu|SrG zr&DOn-|hK&n}LtANHX8Ud6BkGCoRN$H(r-7q0tLCGK{E8Cd9@MfrS)6U3D=m(MK|c zm1+vriv`owr*eZ`)>!2Gou=7F@>42TC)7ecE?3i(E!61|xSrl}J^EM!v^WoyC>SRg zCYPCqdB2H8z;Wfs6i6A13>9_>_;#%L=ag>_Nc5+_CZFHN|iZ2af5k#!bS0wZV#TB&%n- zcuVkI_`5m-e5SB-U6*8@DD|ZT<|^+>wDKx*j$VF_TvatU4<3j~MfW%i#Xh+4%(v;t zyLQzFDeB{`09fe+A-foPr*O+z{YO0j5a2{}eD*)h`?CDY(fZ%W`?CDI^q1xTO8Wcn z`-JW7Tukj;oC%m%{+~*JS^jJ3?>|@YA40eP6Q#fZ-1L7q^*_>I4t6>=wtuX@Y=6DH zoSbyby7bDfMlPNXrt~tFcGmPl_D&|IPTKz}FoQ0=h^ey+g*YPv3o8dF(|-~7{parg zy&3j4_D;$UhQ_8mJoLiOZ2wAH|C?G@*qP&Bi+?MWurues7XOs_uR2%kZ{`0U|KE!H z{(r69{ioOecJcpvu$PgOk@f#R*!#bfyZ=+%0}#5vhx~o* z4ll#Q@;^L&Eb=R)_;z*AGJKz3w|`z|wvOWQ_xO6W5$$I+w93fhCUq94lB!N41*N7f zgaH?uHWyaqX&W(pz7GxOq*u48q*X^G?XTdo=|4v_iBTtK{0cSwSJ3NnSu}TNlb=O3 zL>tW#kKg=~dQetKWJg>1^2ru>%6Mt5!fn{))4rcYOBC*TK zk#tTqq+khpEBU=jtH$VaAOPB4qJ>djB*$1GZ3ht z#)oR{3LDd*&wnE#8q1Eb8mwuLeDm~YhrR1w8NWA4%-j`M?~UgvrkVLhM;GC9kG+kMDme>iWn|^GF;NOzi>*MY3+7qtOEvVu zC{6!D1u0i1L@h|-($tuc&$|Y3Ex1&QT}acaHutf13u?`>+G5P2DFrmEKZQj#6U&~b zib3`ius%XqnC+0056m+3fA6xSD)Nd6b7g0pNg-pFMSFr-EA^7ds#NQ;RvUji#-N$O z#>fPEksI(&;?%pLw?Fldem*_9Yd!ESw>?9*7P^d)ch2q4*Z)a4%`Zc*w`+oJ{OP1+ zznsZ!BEmhuHkwlTz?eMD4~datpxz_ILj`j%e%)K}P* z(YIZitn$9bVj-oCTX1_h(@gvmRJ@Wv?>$(G^8{B~G`i>J>&4xgT(VTY6uQ zHT1;RIs7*@oF~Cul3K&1xCW~%&*kv;i?Iv+uPt|TL95d1i6fV@OP@hbAgB#+=2R9` zqBkKd%IXYQ>P&AIh|Np6(Gh5b%n;PmaMbaAKa4c!gOX+mC58FyctW`>_Q=J)&g0lg zu=>aFa>@V==RUJif?DQ=H~94li`=?MY7Gs@8eBG=z8u9?cvbWKx zi-lcU(Ib1^xx`rlahwu}J1K8_NyUpmpEL9jtoQ{byZ-i}X`v&L+csw@u6aDLz-Ll3 z_)#5%N)V|WtuR@OElykFp1IviP?|o_?8K$k*8?EY7GWTh?H#GlQ4SqP+3Ouhqov)> z8&A!KmSbk%8d~sjwXVWK5ba8gYoP_Al48WD@b6o=5YIbg+xr5t@Y9n0@wJPpZG6I| zw2FQgn_qFXYR00bI%Ih-`6XE)@54DeRbVpB!?g(RE=esf&!}gMnu)v7&G7-MF^&so zrQ77PAcW@M@nof(y4Bo@_z0c=6>gsVinVw$wQl8e$&M7lV)cL}fpkQ2-fSOq2dw6| z5VfOJ0`eYX7`B-fE_VV8UKsh9OdSjkYYy?J7z*pU?gmIX1oLG_j4eQ(zY`=vN6M7( z_p(caY+2Os@kZ8tu@n^lkTrr(z2?f!H>aclLeAtNgb@at1>s)qq2&PDr#1Ar&Rd3@ zxVH9$_d_QZN1^IN!(^%=%qwxwkqnWv1RmB!Zzu~g886IMP%mL}7RpnKbSPFN+}k3T zBtncwP7uvRihJ<4sna#+r~{Q-3+B~UlY4TH#{y=6?8S!I@-r`Pbtl{ZRFiYi#k>dr z>L!ZtHZS?s90+Dv`bw3A^b4i9rCP1N`)Dyr{wWhH3okcd8GcY*)p!+B)FIQ`qlSza zeGW0&0oQd=UA9;%tY)pyaVDEEa+Y-_OlOuZKEb<)Y1HsEm39|)I{0g9gl5T zIZdpwjI=aSJzKaLNEo}UC0Qrj$F;U8ctNu=_w7{FuAa`vQY++GaCYxvKr*<)wog>m z7jM(DdOE$`%5n;6cJ4vlg3LE>GxQ{{Y=dJxQ<09XN?xLz-1*wF_KC&9oA5h97)Fvd zECv@9S-clVjeQY&sm=G1XYq3AIjNDa7JC#d+e=n&bebB7)d}qSW1!sq3tj%2$B*;8 z>R=SMTGK?1YG5)EeA`g-PVrTCC}Cv~2w`$76LP?s5fy4!sl!NP+NbEbS)LZwMmR`K z3`*PCT`V~Ros=z;%gE2+SWP?IAjVZY3<@k7A42NTBl3tejmtgHHEN;;u={sF+?-18 z#qj+}K#i{!gMQc?%Zw3@!bk=i-c#6{l{AQATn%IPGptBNho%uhbUWi*pb2>j-a2uz zJpNXSz>@}d*+`TMMCPQC-(vcxKzK4>r0SsP^hjQi%4!m`IK|FE+Htbr$#L5XMGXan z$ZzuGGWvH+Wu0_Yzu3hnvN=U6KGu|98YNXW$gAuJD9)ljvQIE1&%>v_<8GI#!dDHH z&d(a<%|?-LXM7WzrRwyod%?|e1SR2j%u?=v6DeL2=Z*am3x4nQ;&r@GrNi12Q2fD1xqF2m0Vy zGFR8I*L`UVoANCPx_gyVXll7>gO7sfYsU(sa!x4h2jxy&jUM!V_e{V|`TA**=I3j+ zahCO&YNa45*QkJA$=Ak^*410KCp*#lZ_v>)+4ShdE~WffFegVk1`CA!)^(V0Qp#iL5!2U&#Z$@Jm7;(v4;Y>f{C8jytk zRSA-Ta?lmfQ1&g5S^@Y3=SaZz@$rFc4SrCN)PX~(L8^?d>{JiTm-s^DSCZvy_Dc_y zf=63fw|)s`$9G9Ut^Q#LI>3%K`ud!wP+6DZ@LC1*&S}zD5Tu{4!`Q?kkKxuE_8>NM zB(wkJ+?Q&rYe>trgUU8>!>z0w6YV$@sTz7=p=z|jS@Xph-eXf;&F_`l$+B2OP;XOS z6?3!M$R1wciLs`b)2Puao60dnuMX*0-p^oXhZE4vRfHUp?(`P%@qwKnK?Z$D?T`uz zU_%!IJ2hoCGIbuaHInAtrI0s}1nSXqJHny;Svj5v)j>5YU5;*oF5_WG&?fl3@+0Wo z<_Nhad>&38!;Cs_zf`%#u!|a?yd8jUL_JSLe0>4q0uLs~@~gq)c-eY$X8KV3<;JL} zat-7zHKbnc?QII*zDb^`zH1ikU5%RUHu@+{#X1@l5G+)?@?M2FU6}GxF@Bk7YjxP{ zvCZWBDEh$Ygu)x9DxH(wo_$`mj;1+&&aV&IN;4uk%A$p^t8oyNSY<0$A^0hFeDy~? zES7T6nS_5W&57J)yx{%*e6z7@mSnMpRMZ;%%2S}F+t8j1m2QPb5?i$s(#w6kE2(w2 zMWs2ih-4Uxb3rIeb0qiO*)>=2>tdLsSkmd}K8~4wQqX=aYAL$NZQW?0_2s9hrGQJYJS~7cUsCb8aQ>dk{}n+q2?GuaP~j;o_@H z5j@%D#Pt^(@UVXHx;T{egqq-uA98mK5)`wFptpq0`48(+qK4YCl@AXKDs)KXD{7Ub zEko-5`SyGZV2tQjVbQC$;#r!(nu@ASiivl$o1#koc-%%u6TIrv%G>JS51qLpu|RM{ z;c>^17AYz^M-p750A;mcqH_Z<#El}=MA)SVe+0kfL&t&LH`+kCOUfEqpMNE}cxv`h z>sFj%DbN&oLOo;}weQh0bvGjx9{^8zy<%-ll~IjNArTUJTGB7&2DC+{pTg<8i$|PS zCy+M;a`Tw0uIolEil?jl%13fi_uIafy9gYI>;1Ni+9oN^QYvl0av2yf5DeliX_mQ3 z{Nx@8X>pfjHTW%@9rBAxIy)lQ%Y1du`N^50s%d&S=@qi8p_l<8vM0)|5$|}|uv1~O1XCG) zVY}5x6^|ALxVH0nVfn2)43Rz|9QoM#E0Mm_1N@vxit+$f*!tP5dt>HGf;i@VZk-@TY%81PmYk z%5u6KwTfJQT>=BSc?#@<$PtmJkUEM4r^k+RM^~SPa^Pk?@FKbF9mPZex;*|Jp9+sW z$k%XAxVw7H+4OwYi)Vno_coS%;>3@CPsXRdW!B1k{j~aeCgM8hN?~L7#NQZBan02I z;xAsl&E+m*E|<0Ez7$u0I2tsC4#LAjz1Sjo{en%cw z?>*XQyjr$(H4pasQ$eq>AWRTD&~h|?GdO8mpPj+kd2rsD#*sCWtgfb9>RSgdXx5bf z!+u_v-tRj%4(7DyQ1$ z_5Krpxu_?0Fi&YlTfKQ)z6LI6(>>U3d#GoDMOokOxJzc7vzXz{pj#!bq z6qR~hP;lcaYD4H;_Z0U;bBvX!avls!iBJDAfTD^__j?$)-nLYayIgi%#e((HQoK_6 zdO1Wz-6Xq?+e+n>CeX}TJmB;pGz_tZM0&5yJP5Rv--M`1suVY@r`!M z>uS3(m)mE-PxAQA9&A`<%dC~;m0_ve2V-UbGr)8Yo!N8Qo1IaUagg}$znNI@m|}8G~YUUQc|67)+)2Ys8A~15w=EO+ovz{~&vm=yNd|Oxu{c(UXGq zeFB)p(J>xaKuL5#OQ@n`KpDYufD2W>2dRW-wM>pc$k|6bqOv(<`NIm_kI#hb6$bo zh75gS<02bz<*Qit-a$}pQ0izZ>`6HE%D131MB!M?;v6bEQ0pYUPDsnKapf~nODV3e zW2sqF%L5W~)cv#w{Y*};+cxYdM<>%;sPILpFIYW>!cN16UZ>JM9?CmIGy{WnEL8Wx zb*!gU$e2bN^}wwiBucJZYd@fw{KgYP2vXGVeiL=UCR=j2?B7)1P<{+-7-PLDGRb+L zRVt>x8)+Q(u5JrC8~})?nZ(;1!I*jq+O1a3rlkv4Ed?Lb!Me3FR2vv(RXqNH8v)WR z9u_J#BS>_-ca{Fut}>*8fgGg0q#4BA(LvKYAB2KO*&I5Exi+}cAnwD^d@PKEzPQG0 zZ}eozbK$_aSg$0v0zHI*zhzAFhmfwth$e=vgY;m2Z;p6;3EyGWq)uX9J)Q}daj|*K z+0dzRK93IZNrR z>6RLCU!FEX6%3m5#kQ z7p=%;wf$ga6Dft{% z%%(|;d-Y-4`3ciYO83>zIT;`a+07n?&b~6(Vwu{nf?*qE7%v^rTOC6%H2D$KvbI}? z=t{H-QTX-qs(R9HeT7q3RHfi(YI%|``_#glbwvX z|IRj9`l41CI4c$8W zeuae14262#7Ut`Q;krFwx5#hB49OP~6a7;#B;-SmHiCeh{1^EBL5!e;WKTV|)*y}5 zk>(lZROSjpDxmYO`?qZJ-sz-*U{c2&HytT9ib=q_a8H0;?W}3+-05#`B{Gu^t#V=G zk)sZqMA^*#ub5?@EdC9Xbx%#%EoSAJEdI09o_pPEV;#T_;aTe`=U?rkOj61q<~46z zB3_NDK1sg$n%eIEAw@IU%A@Vuc&O+XPp=b#?`J2KHZrBajZ+UhmAe;GiLp00d@Oa5 z{*FP)jzfvM_C%R5L^ob^sSB7d5aqNu`_QZQZ%eV{bfMe99$@IP&QqKLL+HnA& zkRGMS8Qfx*mHamcDTWx~(0Tj=h_OQR9OWHtrT0%}a~^1=odMS{qXWv+e8i2M!Y{aH zol9GJb)#Ftpd8&EaFN!y{>%z)GFn`&u>2{{#^Jy52;WRgoTf_Nt;xemuA*R?g0pYN zU04ktlnCB}GflM7g(Qv^oRC|0e{3rurQ3koD21dc8I|Yu$$-QGZx-+#9TFKujl>J=grIPHyeiy`#&g-3D`5 z3oX^x3KUBt_HG0X96ZGjN!OX=un(0U$|=32LbumBV7s$_{YL7j|H1c68%lTgQuCh% z7rak0Pusl!V~QTvdAez}3}@Df!N*-4s>vh^S`nB)ZAH6I0`tt7ZYXL5OdA~pAjcWy zjrXb}THpH_kK-S~xDuOb{K?n9>oE5s}k$lo0^r$|1(eD34RrL98$nW>{o$sHS9#zCz11Xsug4jbZ_IJXYu(=Qx zAb0=p^5-t~rsk1~hk>}W5RSu7X!^d6R)hOx)-G}z-G=HWVLykfOa2Hd_=X;FoEuY= z%^+ri{{BWt1bPIPV~K2R%_!=^EY{A9WsiXz)Dl;Sl?g14g|9#)q+nQ(wR8CJcazxb zJP;3aGu_fXTRbuVa=bIM*= zeZRtfGLz5Q8PZ^4u{4c2sPsIb2C6L8CN;&Y^(7Lm)@aW%)C<1hM=i$Zcu%1}-HQ`f z33R-80rD~@G!lUl$6JQ?Brgm);i}p}cG0h-`x}cKCuFB5R;Oh_oqpbaKVW>Foh~XAfH)Lhlb;6k~dzJ7snUZXoiv~9HzC`uiP6f65W31T+eOq+765?z{SJRx-s za%vfu+YTsHko<5ctN=AG5bAZvmPJ!z=c->^_M*SRAjq?--pPNVnp|2%53c7~-YK+| zYM0Y{fnzd}(Z`h)>Z|bNUQ-E1y|Z(+OhU4M13|5J1jkRv7`fYCs#BwM`(AJ1U+#)& zaaxn!wsI8TcptF3KrG7{`1klEYA6H4?EDNJ41H(TV{Q`&BWD5ZQ!5+eECEsR5rv{o zP(pf%+kL_GjvRcf1xQKgJE{8Nr)CiF9rnhxR3NerNu!^JI6empx^4SFZ)zKlxRy}& zVZF9MiI{5%$}W#l|(nPZa0x6kD?O?;~By6t;l3zwA6c07@zLUMcpD8_{`r2 zISyjZE9_g!lo+UoENkLCNULg9+Sut!LO(J@r4`jJa0C}u`6dTqRw=K28}?jg9je8hbN3rXl`BTIvc6+Y+UqpKbN$#%|;1ICqJEm^$dF6sDS$m6v}!UofZkRm;(S1)t@hqh1fEn!X$-2M_E~t9hBiEaj#V_aagbyaBsu=BB61xW++9%=Tt0; zq95}un2 z1|?G&tP78}E1&$rLsLUh%9gXsd&H~HdJ@CWsnVmbSaVz*0SsgOuGrS^?{_-&>r5{; zh#{w9`ZY+I$hDfFxvY^(jzozIwszl$7aG`GGa@b%>FLXCGt-j%W@RfB(S9FsV?`3! zK;@DHrgk(}qaQ2$Ii-Mk)M>b%&PW36d${nNUQFRM(T6#w7c&5XH-7Kw(>$(E(ekj? z>%Uo@%na$ip*nT?K7vJy4t}oI9CPyXG@ zm*#khxXCF<$7r?XbnR#f7&A|1#b{}_TC$FttKY|Zcg ztvE-@{mYO32VpyTz0f2|p-GEgi$(=3sYVOd_d(`oVA{k9KsHe6M9423(irmIs#wSG zSjIt*qA_MA6AF07i?GKC<$l%u7RW9UpkhTk;ZSFI*$FvAbkbHF6xRw{S9X2O_~ukc zFDMp>aFyUAnWFE@Vdp?_I%|$ej;S|~tRraSyfi$mQNM`!;6S~B@JQcf#d6V8U%*6z z_y=eMp~C2yY*At{ua`nWPIDOvvn>R&azm=gJf7T72Nz;yQ5jg0U!a6p)F>|+w-DFr zMf6+N-v*KW(K>_NfxO4)Y9pC&s;?pZ6XxXuh>PhP@d8QH)kw4YhV$wUh|WB5l6o&Z zs>Rh*f3Vs*(90M2m0p)MQqB|9L#Hmub3gCE#faNsg{9{SmYY7>kLB+vE)Gv9HyB76 zXcOYG>yxwI{7;|wTW5{*K1UR3bUnK+85H7y%LG9<3kQ4XZ(lTjx7-a9AMgq#OJAdx z;ddL&?=Ewha4cJT(DjLZ8mi}TW3GpMOiHg5^~&7hkK03{ z!Iz6Wexplr!Gns<%O%LxteK>N?1$<^X)sL^Gr`zp-(=pp*;cG4zu@w`pz?%a^dOuyt_?0^am(oZREo&f30r&x15$!bUfI?AN*>kmUo4$xA8El$}L_%t^iKdf>=L7G0-&O) zg|Ylq!FxPK7Du3dYQm-^C(4vOP5g=o>shi5F>P9S)zEB?&Ag@%WD%0&NeoeBml}01 z4x4wCtfRq*h0~}AYbcZ%N%76@;xmMJg{u#6=>mHP`r#f)w;y*)ib&zS4@vXyH(<2K zS01D9cDIS<7q~#NCiW!89BLycGUX7qxl+h(2LiCJHO;P)ZZH8D4)*-(ro1StI{OUWaug+n{Y2cMb>|t6(8m28pMYei2^ofM3KJ~T6#0cg zwxG8Ci~X4zOTaKQG0=>yaZQOC5y~hSxi%=4-;|qEYjO70jyJ0pRSnmY1Ptj=HKWo? zlhZp27J4Um(vNspJ|k$+$tbUeuQ2Mo+0{Pm>geg@`&5>o_^QLywy5(tlky8_c$stR z>EW%XvQ@5JJLk^CRmcdRo7<~|V%;twnUhb9Rq}b+mld$^Aj{e*t!B|YI%sLJ3GI(Ob=t+Akz1E<&FJL#dFW{lv zbI6$415m~G(Grs{6RX*Wyy113?o641)1y9MNq7P*+RikI4jR>mK;|v@2_hoZobN2MZTA& znpjnp=!w%wdvsR8vHT`Y0?jf>UMs2}CCDT*L11K+{(8gTZWn@Jz91QWUrv@CkbvNs zr5q}Tvnth!_3AAj86w4j9ymucl`WAs8H;FsE*l+y-m+?5!CHCQeLPQ!i*E zwzWGeFDF+gKkL`W|C*|XKKl$aznIuje=m)%*vG69AvvQ!Oln->7US)tUfaa2kK^ZWuyM4G`*@SC7KmQ6~zMmLdH>Gt|$C z56zQ`J$B zwkIn&LN|trxzpOrOcO-_;bEkeUftO>EM-tyeLJrge)$mA`4ggXdPAY-`ybzbz zdj_2ZFQ@)nfut45R|kYEV)(+dp}eds>PZ$yLEN6((+l#)Cq8TIEh$3A#9Qr)aE8~B zfh&{5hh_=>vMI0Q-L{$9QJ*D~d%|LtmysGDYgtZ&GYk&h(ltUY6$7Xb&Jw}Zb&+pr zqsC1@1@C9~gp@+7X1=dRV0X(1`1-HEo}usr+|*^C7w;!I3-+I`ZDnpJpDJh%Jh^I_9VT zqihbN;IYxB(KzJmZ8Z^y&BHSi_3uh4)2}HQ zX>(#;-;w0XUK;7{3$Y5rP6{?71tv$P(L_KfOnBn~Ni!n7ErAp){De54A3Pp_Lqso| z1;oXWOy7uQp=mPE!O|`OqTAp3fzZ~Fe`+07>(GarwST)ewb;}0hC7%popHKSw#$UbH*VtM2lp<8RU{yq6V}?NBSn^Jzqite?WoW{hJObCDQnnjYqWn? zCAL=OW?br{;n1tk2~<3*IU+q|a2G#A0` z$U}GhPA}M7yS)Xzr`o37Zj$iCNum=csXW+$gJ65w(g-(B{2oK<5nLkJ41i zHb7lX)gb?X+@JDVb4xH-)*Xiv;M5kfa*@lPl3C|V9|_#_E>`ww1*B5tq+}^48d7m1 zVJ(i3S7U+1q}&Z;O~$T0O_8zG7Oqqv=pg6knN9KXneZEMsY!RRud=;-$#%I565lQR zN1nS^?5X&!9b3yc7lRJx6t$hLyeoV8*E?9$)(I{ox(!b}xIcbM9~P=h(&ea{g@|95 zD-S#fufN!cCAFq26rZ<6I&^k2x*!Wmwh95DAz;B2zVLDa2|ncpDDd@!%-?eXuENsi zpV>KrrNoDsDL&+iP<+kUFa&wHa0n#2(C+gAfB`@%?-BoVIO4x4LudI%hW=kj(EkA^ z{-Xq)<=>p>E~W$w^s=TVmWD$19{-R6Y>Z3| z{+EROUlQ^hId*8peLQ}DzLi!S{`@J1{@g!ru|L~~ zXE$?KZ#z3N;IS=EuE8Hl*q*)^o|@sQhlm}^SngAy~eJ3@u#=rddkEHfy?{*Y8^Y0y`P zlw$6Ps9v;}{9dWh}Fb$tV)v1D|d6UFujn!h|+G4Kkm4$^EV&kZ5wb^ zW3u+M5`*!$&zf=@YWbb|G1jqz0k3BPnO@FWgyUviwk{q+iB<)!tD$b)*>J0`4cDq_ zMa>+ko;AwC6YHTBYrCi^pMR?8F|nYPRc4Vi{_-s14{-W^0&d zJ)5+cQ)}(5fduhc%(5`8YKeCVmQ^qFi-gJyg91qSM@@tY&M1eV$j_X@S6G`K{x|ly z^lA}Zi?Fxks`yvYRdv`G5O`DDNQHOJAECHW2_^O8Tkf7cxf_xNsUdmGt@$r$&Vl%H z-^Ygf(W2U>R(WYh8>28kUE!&94l(QJS_tJd-pWi4e6}ogGM_P9(Wiwp@VA1SpgP=T zcXAZfl3NlPuciBe08r%tmixufr-R@<%U;0vjt=cEq&d?s^mc1+L-c5Ny?v3$3$QvjFQH945$vWH-rd_(Eb1&YtX+*1jbM!qyuhhAD`C z5(DG$MnMB60Y9C=o4C!sEZ7LqZ7q>{D)W<#ILzfKsmWI=@Hp@7G{w%YMsVUlUCOo%T}1J0Qa? z`B8TWq+lAuMM^7zRh!h6_VBud%8%n^8J|aBn?2WWYCTL%*n=CNRE~Y?YCgvnE!j|9 z7A)SLwnuC*Q?%cBkSv!a2h+*07s7~%E#uv>v4tjVyMLXC8) z8AxtDSv*1C0!w%S*(YEUg=81Jo$iT5gNRy0JBdIE*y!$ELPf@TQmbnra_tLj+m%Cf zFglFa3|Nta?q1^51w$teyHQg#3n$Pyo_%2b`y!0`gm zju!ESHd<_w%k|9X~^&-fl6wQhbd5GzXCX?3lIx6!}gnU1hIQhtCoWT6tMxf z+RsSCN?7qFey$>E>$wH#iQNTzb3-YGmaI21EfX8 z)$v`#9>3~&d)+7VB%sUX;b+{i$zq6+LlIp<9-1!#(J*SNls$hNav+|&XOcqzULz3f zkJn@ocn&-{gRcFZhagLBSl`BaPiN4}QH~nmCQpI8Gh}QRqtP_RrWxf-QMm3zEc&(Aw&F8abehM!~zfVE5mHGaV$+@L|&f;w;R|ykX)2B za)?sS{As!<)U_EVKSmGzGJgpStP3l74sVB#>RgZ9Eb3U}Ss~{-T3<~-{6-Q5YliJ_ zY<jsxyQ zu%II$K;Cd^%K~w5rj)1#g)A%|CJXLgi8XxWtej)|?oKw=q7N_Z)MSn@Z!ldg6b(2) z6i_lawa+aa9DXi8sun2>Xko>xLcD(A*^ozb=z{aj z)iNAqnvn|o5!?fR<@@$K0vveufs`z3eSvHgC;>(i5}^Oo0Jc9}Y6?emgDYMTEnFSr zd4yXmitn^_Q$S;JG~OkAkTXOW9Rj1y3zr(ZS2YqUiOFxoMf=jOMbRObP>H9%=oAxM zX(*PFgPvH@vyl2aD|OpiuEZTutOlx}4|Iu(k!R*tP{f^1D?Pf`@ynZ@YC-KHa*l(o zFQnE2eb+{+0*F4k1fc?ykJ#iq6_z3;!v$UhpFM)qiyCzs(R8t58KL;xF|9=;F0_4< zY`-$wRs!Kn1g<jq|OhJ zfH9{8%F>E|Kl_>21)X%-;jqb9{)8qWRYW1hC9cL9U~P6n%M7jd`5*+W==T3GKX@KnNCB%;&Dzg+-hQ3GRb{5^M zcSQ|%iGV{bmC)edBo-*_dTu5Z+;&%L%}St1fU_qEmBU`$wm6nB?3yo^Uc9BZWLKEekjF{Vh9IAN3qwEe!aS~IuRoHk!~4|8%)6* znEP=jl0rQT3%6Z?nas^5Wl^+@5cop<(N+YKEl#PVSLNTMkAyx)N79ZOe{_g|N_aBE z$1C22ZHNN?5Gu<==Q-~=tM@9HZ7;=us3{=3L*69!um@KP+f_;MfU|D6tS@iFK*?rF zn4N{!M7dl4kXmHxX$iK%gxPbx(UpN*5a)b6@$s!-7e^Kq3hSuh<#^tJX3hVOc4}*W zaq>j)3$sR7m$|FxxzsxJHu0y!xLP~Inkx1htlM+lna}AQOjR;Vk;+ z4=P2{;w-_1X5Q4YLd5Llg(8==)X~E(Y)U4_%x{piWHMu>s)aaOkB@eeK zK+0G&e}|BgoxvdXmjod;_A2+w$CBa}1NLo5Kshr-t+x#S2~CnS0*z(6l6e3Fy(W>g z;j|gFUvo~gFjEje`uo0ZF-%%?mjOAdj5p9L^e$P+F%jBUGWC%ZPJ6?VQcdvEG@8dM zJUC3Z7p9n@jlDTY4-=azojioVwRS&l-{HK<5nUV(dl^-yID$8Hr0}^+Jzyve!zz`& ziZbMpGvK`eC;7o0r%;v*k7ZYFD3IAWrX%K1U>TbUjk==wL0EM6ai4eVl<=zq?sb9& zbqj7xB^VM~BskDsw(B?A4cY-zrK~3lWNaxBPkfRRqTFO}SqI@f zDb*Q%FH4=p`J#wg#^(%#2^ApCg*p${e4^30GwoGP$tmE-656C_)=I>tBv5Ukx<#R7 zB*Q@}J2^P|1UfQ~8$>kA=XjKG{cr5OQ;aA;*RI*NZQHhcw{6?TZriqP+qP}nw%xPm zJCn>z@?ZSPxi~q=xvOMd)JiI;R4UJUUeiqW5=z)pn2vR~h>&xn)^HnYr|Ztaq>XB= zXGH*$$5UCX$zXY1O@EXo{uP{Mv8~a-ZIpR)9C~aS z=z(X4-=`JQBFsLHfxjCLZ;z&CDvSbxOAK}KsQftQR?@Vd5-u%_Notla1FbWL5F<EfGDMPeS8UBlYjvS?%i1l59dLl{YShS#Q`ZgX)|*lHJO0P(oZi#@n1s{9i`W)) zD}}^d{0JVUQbAR(7v^PMMnh=#TyI1}=GuFox-MlTzdq zA-sZh)(Q(4PwLTetQ-me*li2&>=5;mTK#-Msiqsfgc*u7$sPjIbeZ@Zi3n=916pYa zkSJi8CyLILMLYDiFb!4d_6K)xstvT6%DLDxsguFNp$bcSA2T<&ZF&fsQ#HV0orWWT zVjOy`;ffhue_fLmQ(eq2yGTeujS+=6;P%_3{Fo#fnJHm4s;~kRcmXR3PF)(O=(j?@`RR*davQ61z6tn5z#dn%#f zn0dGM=dkpORS7m*1`1+NGp3b!uQeI%wsH)Bw$71!^0&GuAmPSl4K(Ze{G+%dGn8P z9ln*~?#zr{-Y(7#3LimeGDOwI3Kn(<85wIID-8CZuo%3f!bwwXX_waw!I9U<^&%_j z6}762=^AAySv>8fog7Ru>Hvd7))^l6)pHYXYFzR&KH{8Sll2G`9dG;YL3=L(KRnXj z)SlKHD>pSd+)d~bqhZh9QJXhfyNx7V)mVVk9Mg)^|k9Baj?P2LPxL(T5~0M`4mRuC2_y!x30q; z3%8k+F4$EKDE~N&2bBreICP>#)%(pwpn6eq)YmhvyC9&#*R(ENC=NDm3cs^NA`R1sPVR;Yz8UI5Xn<%1Neu1Z``;7Bgo>;Q| zB!!&Rgf#<5s8nCFxDvya?F^GryCbuQ8dcJw#lZ; z&2t3EG?82^s!tTiBaasc2`J~D)i*&oP#IA{$s)2AMM+ zc`LaLq&PBoaoXzKh3t~Nnb$Z_D#CrtCi5@78L5dxC8`Rw5bU(=6arIGR`#sY*Dw|- zbrbWcYi*_OUgQ6k=%)-k%pBM9rr&=gR`?AaQQ+k{-wEdnxMn{dJsk)ewGIM zYWPk1*0VGzgMUY(?!LG@DWz@~uB6*}%HsbvJaBk>{(9t(sghT>QvdPPvbPv0jg>n5 z?s%}rx(#>hb~ZVfC3>R>m}SDDwgQOYhwS=E*#WuMs#q6=b$)>MH5yKN@{tH{>Q?G8 za+2b{;i;QXQZ;t2YmjnI_7<`vHMcr3w@%k|U^-jhGO*k%W|mn}P?3(sBXrCc3zVG{ zRK%OR-D4I_2I_AQaHqWn4SM`q2|M#Ck` z8|be#x$WPz0o0oM?Ylbxc=%1!VUU|_9U@=DI`73BWQ|)ucivoZz zAIw0Lmll&_<3w8IvvdoByS#1AnmDImyEP|#nzg-$?I|2V2qUBg#s;r;^f8aqL19NO zI&*}Y#NF(ekor;vg0qGAEvh6%Q$^Bb>QzO8f^ygKFtrM}!<+__h0TTqJh1x+t?mxh z$n@|b6*oM^KNHn4(lvVYxT<;Jq)Ke#dXfQ0SITTvADC?Jtl?IVR?rDpM;15kW5A35 zGGx%?M|Xy{M1<+awiDlAe+UPib8czK<}Ng=E05xCEa-wnWbAHPHeghE3xAU zR7tJrm$C}CShZGi&jZt5OAWjUV*xEchtOD5S(%on1jJ_|U{?ySN;aT?vI<6ZbF{6JnYt+4f)PC1CmA+CMTy166onNl@K)t9WR7F8&cew7VDw|CUeGK!#s2^!9 zpn8g0i~-&rj%P{)+hc((bu2507O*=9nrZh37BEMU{7Awh--Mg2%oUiTQH?=5WmB6S zW1-ysVxazbl^W=-r{K=yojs9=K?Z!j5r(*xq+#VC#(XrTQ+5z1Xf&svk;>3cP^r!R zIz^xeG}pfKdf}h~A7nA{Eb8li70bh!6^@)vN=+v^r_8q0+)TetlQFuFo~rTti^0q1 z0w#uMppm(u!a{Ln`&ql2Cn~K0&wYGvx4Hnj zWK?EG%9wYGjqKU#HeydQ6*mVUKAtr(G!?KC0zU=!jZa?NL*6w*t`YQfOZqBaRh60|$=t8b-$}ZH5 z3F4#5+d(`d20@3*^&6CZ`$n$O376(N(OY-f7+U!+x&!IY?t#2@ zPL=pfXTv?_Nu<`f@8>Af1V4q?v+rpB^?XFY8EY$1n%G#*yl(VGvd&FONn^`<1wwuuFWOb;EUfeC|jI40H0&68_-#(*+)qWvcF0 zVzo18`Q8w+t<^5P&Qn^EDedvA`s>E!aEjdR_Wg2K8*8^nEAWw0tVrJ;%~5Jbzor&u>z|jN=w!^7WTa%v5?x+tv|Lmh z2rl2JH7zwG1FE5>;jAF#lPjVxXz20I!8gT5Z439iXclS{d$FvhX3U*T zl2~rapHR~(9C|6SxHm7x(-ES-HG9dgG~Mqy{*4*-7+swZtpna!V2fW$8QPdy$Wxkn zlwjdAAe^7a&r9inb_H!?vty5xATBV<6A4A_RLQYWDZ}W_O4+qHc5^!f!M`t1PuOU2 zW1yp-j|5p2Ju$x(jPf=VKsFW;oeF0`A2JYzSar;*YYE&hgtIk*S@idZ8drpPjxOb$ z5?Z{LsG@}yG|-jIZH^vyfGHCi14^z(UT76=;$I_@R*j_41K*lvHM`2MpAp+ZE9z(& z0Chx;Th${nUCPG5KmaGF)DF7P89Sy{%^KTWsJkI-OUSRug;PZdeGtKF@=XNJemdVc zkASp&@nJ7+WcFt;_L^bZAdlvzmC(jj;p;t-;EQGr|_TmkNm%%V%HUtd+Dh_ z6>4t}zyZK~9_W%2kwKw7vp#0kO-Cc02xkL}VZejN`=G4QUNtmZ&VPOglBoOCf;}y% zyVg{2b&An(21^hR zp#MG$O~eCY86V1MFRs+?>{D3rJp9pv3J^f5b|Jyyxi?-nGGXleXH3MI`O}<1fkjOl zEfI_li7NgAcDQDL{KmcRF}HOl_HY>dWc7IS0h;KuUY%z<3p-2C<7l%ZzYupl9j=qX zd)jz6e1jU;+YJ|P0Q;`HZId-CCq3WxV+cEbF2hda%+6?8TlcO#!p2#5J^Za>VGbm= zY4!jR>$;bD_x5L%gT=LLm#v1z=!>(!#0vRe$i3m|Wau!MTMd(G#CKk-etrvm_^lhB zk-}l}WzOB%)H&`B`T0D#ygA3y6z$PJ33(C-w|&7C!V&y+C5{U=whEtl)46 z$!pxOk*9EdNb^j=U-o=$M?qra*K zTJN@Vh-^$MlMIfg`nd0N1WX06ud7w|=4OfCUl2jonIQd7VB$SX@$PKn-pjkHfJxA5A zB+xyugDks&xcu1(%pFJ&>%{$wh&Wz(1Rwg!d-32X!vlFi>d{&NVM|LpIw~(JKPqUnG}I01 zzzY3OD=YM)G--u%_g%@0yN>!%)Vfe!BM?KB;2AM;_U8hN;{-|Pms3uQ!*IZFXBdMq z*Ab498TO_SdhbT)Nejoa=fURY9r~f!{<@Dfp z=l(o6IQ}>!q>>Y6>PGEEmvYK`xn#V`_;aRYAJb{s?#p@W)T-P=`IO^~5a*tM-6r-v zRSmjKQS@m+8sx%wdh_6%p}~#n>BDF91r7FBRn6>6uCv_+RzEb%e4c20lJt(!%5 z!86RJJXMFJS_k68;K0C2z7Ry%y6lQ~J- znt|-#5D~DM*Bj{Q(U0+G+Q@9)pWNx|VA~^adAdyRE?LXYy7Q(szI7gq%IF#swRM!9 zzR2e26J+&gs;YH`*KoWe>rJtvC(ha0IXaehRG*JtL)n3y81FuWiFr-W7dPVY)=zu8 zNX&E^G=HNE@iFE}!2tvvat7ehpHb!t$u$ed&VmKx_?`K46ZkMZ_z}zjR#S8lB<$TU z2(Y{X7KjmW#pEYc$_M!Z6%hzTK#Jr4MhF0*v|r(PE12Swhmj=ea4>1p!aRr8Xw^0VTmVYnKU++Im>poQvZvyljQ!^`f~|TP-J?cb5yWH@7nD^| zXVkeYJhnZeVfInQbh6!A$Y-OXU-0f(;&?8Aa4aLB0JluhtAhjhB!dA?Y*FrwE$fRV zI0hX|FwLAvTZ5>ZHD3h9JXnhQfG?P{JYQ1Z)Y6P#K1=Wgi^)NkHjEFs$$(osV6KKk%a0$*!=!l6=BKm=RwV}$SZM3bGTmNJ|0;$ZJ-h8 z1erb(Ee|)Ckh7 zQUKZsTz+bv>Mov+JSDPY)pv1}$BtcwoW-FE4v5#XJ2wtWlw31%*){{r<=dFlTWB zaQEdi0!b3O0gjy+i&oJg!U>4Se+sgoC`u*7J&J>(B;=BTR>TIc=*%GzFUwQptU3%q!%I07cW3)T!ECO0l>ky0Hq}_K&%%bdF-1j ziY=Fwzw^|J*lU724TGK$#o#_T3S$dQTX>*gVltj6pB-OF+0|cqk^byS>pO%@KJ?X| z486}8cd~N#mN=b;*CEyZyGgq-{f($81eKrbmUWoKY|o32x5sv#=@&aaqjJ176XbBS zi-s%v+QP1tE$)H3XpTDfWjDo3x8oWTdUhoAVqJN$vdQJ~;pO@9^a!pZsZ@tXKs@O* zd8GXLRAvf3GIQuqRv%~+6K~fdOyzKI`r`Uh@>4{<- zQMF=NRKO#+QM{nsN4z6w(;d!IoT5^!LJ+fYBG4POQ6msrYoB;K+`G=b$^D|Jw{P|#GPeW=8;(dqx z-I!n&D;WgKyJtL}8WeP#Cip063AxB0$X0EzRn`A^1A7LIj`tDGT zjPBTFFqsW5R2m%1J7{L17>a6*m?IQv9p$Rr{9ZmwI?YY^6psGRr;DN^!6Ai*F`-6a zdQY+-u@S|;B7mtCyoR1b$fU!A5oQnEu_paC%fq)X{QKoalHCej;r zdg-_qNWL$I1M3sxZD4hORpx%%DcKMlRkpgmFL$}qk!=nnFV)N}Nh4Oe-Yb@C4vZ;Z zDWN>mufXm4`d8;J)x3l++30^|TZZc>)x_rdw~j4;`Q=)M^SM>Fg8%%S5AC1KvIPJA zEPr{{sudVisSQw6qUf_jRGHgb)>2goD&a*fo*TfH+P1;R1plO$j}2XtJijw5{?lkM zy{BwOtHz|*E}V**eAxJUfx`gERllhoXqmdbq7??mx{0kR>j8WjT*S!N^n_NDyxMDG zr#eX+Byjj<51$_#l=+)-y9>Lv-MIfI>}lVl(DOjPa?7)q@o9J*_gQcckn%UnpzH`RyyXgMcJTT{fPnOR5A6E7M%LD)4 zAh9C7kQNg&^Z!%(eT)*dCdlVl8=$E>hVd^od(Y0Nf0_c|DD&@o}|T(y%)QQZbQ=lq`Lh4;w6>& z=W-=w=#iC{6I(y12Jjw#SF7z~f4|fhk3XaIA_1OV&4Ud8$H&#{^W^$|G}aD(r#g(? zjD}VTdDNKB!bC#lafqPUq$wZ3LgU)}vNUB4hR^rD?v&KZHo2JUps4jZbQ;~~pejD% z$h3E^s`mnVRW^gh?o{G~sH#A{QT)M+UqUy+GNI%^GgmIr9B(lPty#DQyR?)@7D`}3 zF`%&Frj^)?u*?3GzIiBm5gC&1FHJF6+|Ckyx1!2n>I_Jur(T0*drn&}iwU|c2H>{4l z$3NzUg(Ze%%l)_5>W7}fuB5i*+<2MS1vVoAZPdK;jYyvl4BeD+Pqr1 z+dXRrXPX{_oIr5X&-Aebs7O~_Sfu$8u=p>%86b9d`5FhHVPaiCSKR@JxBVbe|2ImC z1=K|PU&kZL#gTvhb2T2v_Ppg^24@onXt=j2mc|K`9FsSLL& ztSlw(6`eWInc^V(sHPotPMwU*Vu~)AE6xSZqOe00e_RQ<8w)DlxY{fshroG{h-tM~ zw{`Qav23;(i_!H%0r{SzD#3SZpk#t@rN}u6TI^9;lDBlN?!4kufkwxU6+RxnF*b-j zX>4!EU5--d7)oAmK$;D$R$h4O7PKr={g+?@XDc=3CW1(3;%sxxAY~N8dU*-~9YVZs z5UuaC@cj34c1M?viWV{PXVMCK9qiuuVJhkKs>-0n9c1Ukxg57A?BqU)6gQUwIJ<-u zyqp6bP3i{jdY6a02u8S$Eagt)3xc33+2oUjteWNQGWaka0OiiE+%i@864lPd({YX@ z!opR61p#!#Qr=AO)VmC3*Ps8iBcF;3^>{9AGTxTo;4;`92gS)|F3d0e#pdnLK5ayIP=tu@g8v+ii!&l`5>GkGj zOUdU^S#zZ*L|YWf;%_aG3*teCe~jZ#hKRfHH>uIpYpDGfn+axDmJ_?O4@Ur`18zkJ zT5>VZueZlrf0vPQ&__J-{A$Dta@NoJR_+L=EZ<9fW4&DpkL!YCS)ZUGvUG~RPaggLWQ`qssX$K!D_QjSKdh+-S zb)vmH6>)c>o{Y<=9heNIT~w=GG;Gg#InrK)~3RC>RkP^3Ffvh6na|)JvWmR zF>0!Q$QCHm_Glt*at=k;EFZ;Jnub^!Djv^V^u>*yRgta`?qXQk<~*RA8+*3Os+W)D zU@PUb&f2+kFd*w*qg%%+YKgUIo8AAu+Q@JYtaI)}TnEiEZ`O4sDr<#i{H-V!T^>JA zI=cC}X6+G$g*WbX3^NEXuA2`eBC>cZj2Q7K{8*9eA;;q7*l}DbRUv*SSiBvtROd9= z6Ri=@@v1A=If*29!Ry6wTE067S*BqkN8LLb1HP%NdZqXzGZ?$j2Mjy9o&woxPJ;?I zpwwopGT~GBST9WhYatY*CJwG{=Ps0(ibTqk#%1K^aICDBVHoM46$T9)h6f>a=o-35 zlFH_u{v&OJ9;B%>u3b2n;?r2J=tz-N38eO-L=tP zaNCW!r77~9iOtGp&w}?s!Y%O5FLg(S-5;(i%vog-d>^V8FontpEsGyPsAQPg7z_&D z36*aJN==Z4rgyB`dJBqbk~49WN>bAyR{}y8%tuaL-h_LOI_WTUt-vIOxG>g;%4oM3 zRD9$0(Zof_z^YMKtg`3?!vlzqxfd3J%!$jd`{;?OH}q|lIGR;R;8(ukUIA?ae?KGR zWZojnd-vSmdhEN$YO3B|$k9UmWYbw!k5)?P1G6OTd zM4^80<9w;~GC;(CM!iGf9o7!mh>|_4=#PAbl`5cJ8ZYc7?CTS$X`IY!?}%x+Y1QUJ zB00~qAZOHF?XLkSh6JHNJ(@%y9CY~(D| zJalt47@KJ15!_mXF2qKTMD`QT-HDc(I<)K?DD0z`>~hMHVUGQwiUFr4s`@LOm7g?W z-InEL{O%cTEDO~*wH76n5tr+=OyN16s7s0&^{Sl`Nu2%E%AmGIJq&hsxIP`+dB_2& zcCVrDZ&-1XM9_QGwh15rHuM276BA}b6Q>ay!%1Ep3OW68ARb*;!z|jLr9*L0ZPe4^ zMd$`-G9Gq>O@iNx-#p&UwxCPGr$O|Qbf~k|^Cc^cTc|!t+dk-eRP%Vm7bma|aA0yQ zQ*|E43)brsll$rq7smM|tAJO@0kz66uj5$ub@FsI9W!X}N>uFEVF#&-=HUo{z=3LI zw~F}5e3a)hG0S+HO9N(iEvDc5Vf%W=Bwn!P$*j~?>@zC0l=V?F{$0psnjr}hmJNjM zwY>nuifh@j{`V21%U|ljk)%D&gj_4BcH|Btd2ctTYjqtnB#V`Vg67C)9)gYSI`(X+ zRLhj2=!z|n?oK0}aZNkTiuFGV2?o(w=7iHU2eRMo9W!}9PX-C|B<&7vqv@$fd97B% z=OPN+*7au_AHKRf+bF*_U0=D$`STBLC6K+s^4wnoXv0CQGx)UT@YBTGc!4SHGfQyZ zf_O4o9~1|=^{p`s7M@)5;Kp_NK(}}{39p<7F7ca%=AOz)eBVOqZjPI2!2Zk4*ff= zHv@1L7uT>nOeH$HXm*q7l>fp~pw06Hze(3`-lC^(uZPXw0h;i7Mq8UGp&FTlBgA(# zrJl8?o6|^jMJIAzI9b_KI+T^X%l4_nMerb8>&Y%+o1h?5 zuBZ;nrLSK{(2uvEQSu`GgR3vJ(OH63_n9vvWSU$oGbG#1e0kgc&WWP3erz!C%w&^> zzA#zblzrFrD86L6sp2xG(_70i_{Fl5W`>)kt(6pGD3vrC5 z#x+hs0rSPxlXngSgjSUDEPy$=x|1v#y!lvsk+wKD2uC7%3)Rfkh5j?6ZV{fhDGV9xiUdGU}%Z5&;&UPZ(Z0-_f^6A@73o-d{j$}|(GQEY=8xFO~I(^Sl<@3`W z!Haqj+8m4J)fW};HDjd~??OJoJ--zS&xMh(5$d%T(^X0~fZl{D3(roVH@nW?NT`zLKF z9GQc0>dNv3zSU3y=JnZM?5DZO-JVk;;C4F>C10-h){y7{xYV+^C;I`?E=zZ)Q!+GK zFd|v_NdLE$t)tK@6`O~}%Rn+()*hziKm&wc;Z z7RB1^MKWuuCamYC!X-)EkxK>QdJw+;#iNN+2qdV-+ zia^O9Oo3Nk zslSX(eIxeZTm!Dp`~c=R)b)GZ-&O1=G<0rVLry;S{~|sF(KnN#$=hoE;QfHzQ1>z z4j_E=J*ps8(%32re(>r1gh}t8)liSB%~_Zx7&|5`$^`-yFsYD0yV`t}7mK$;CI*}O z)7irW)6l%E&4jlu)E|k0UCTueVdKEE6DzHCb7j%D zwubN~Fb!G&*y#j_)S2E?yJ-dMD71jj3&HaYEJd!y-VyV9`1$;K)c0=bk&$IzZdRuZ zt=xk3JR%0a?`QG#d_KiZ`@l1K_?ffpniaFllY4zMC05%RtPQP0u#I`KjeayH1;C5v_l_kQRJr zb!f6&sb_T_`P1FPvBKX_i|`1ZL+Y8w!5^XS(0iG!n#y`=sY(?eG`x2$gCC59xrkyGUJs!3%76W`&IiV4kkh#27@hs^EiX#ja1K1BcS~^ zj?n3ZG#~1fJrFk*VtU#XnKd@t!ZAhOObSv>XLPx5LJYIC)4vAtpBDH6)nLkO*Q{%` zDc#_qywgN5(yB#+x6NNhcu0keXeLqhU0XpSWIH!@0UArM+#!S@hd=ciDhbwGkVB_E zQGG%AF|c6{btOwA7Vq2!J%yS?L}Sc zoU0J^qG;abM}wVSqBhrfu;jRKqMWXlkeh)VLcm`!#`%MaRilREL03V#FuyhaynhVd zWK^M!V_H3&2$ONKxzAYBsdnagh8>3nH4w}Up8n>DZU;|K3{y9*Nh$+36J`PrexmG1 zgV;d}S0FZ{PgneqULxT59Lj!L5@FazfzSeX*&1P{cfN<(Hp+j{G!eB#7~LsX zWZR?sr9_lv_sFs%b8TZN^!Q_RH?IOfe;7DhWKxZ{_aJyr6TJzzBxztJnUn~R_I4o$ zFD82X4;i8TK2;&gb6i$iBVzK6UL>xRi;kgd1IDp1Dygj?7WML0z*R5O9NAL%x#iSz zq(q;&zGaWyyF$)TJZ-S4MAVaGQat~6K!Qf30i70+g))Si$SeMWYiG2GL3_>fQjF4p z4nf8Mwq_`ANT@Zf#Mx*>*9%c+eTCG3);}Vhc3m_X=rekbsw>wLNydvyaEqJV_a&;c z%QTjyOIMhwUtNYg)q>&KH`>J8l|ol+>)^*DQEpMcB=eKh>_0`evt1e8rL1BO6?^n{ zzY8k-26Zh5Y>$a%xS}>p8=Wc+T2GGY9uwQozD|h%IEgQIFm!j6z!pl>rgH`@kYKzu zK&~|mz>(w!P)b^^ZNtjYO9kN8PRoyf%hIh6Z5^S_&{JhG+_CACLpwx}YjW6~r^kEj zFVI};sv4RC`R&U@Vu?M<ep(O8lAV4OX9ynd6?O=7HKT{ya!`m*6?=)ZtOrIy`z^}?prq^+vIV$*i)~Au zo|tnN;F)Mn!pfbU4sp3EEkm1JFI__h8IH5Kj0zC{6v3&mqhod};od+R?ELlpXa%f@^XM|FlVWOg*?!2C-h4lRSWDRCG?pqmKN z{w<7`p~bTw+{AvqrMx0iF06@-3olwEZghcAP!5asfhPE6z-Ody?2$=zr>!M~i%mZJ zl(Yp-eEI3a3BKjXkk~WMmb~R-TeCLb4Svx^new+;LiV-RQl1RUxrn%YCr_4~QWTRd zE9aOTyq>Z_-r5B}wA2y_n>hmYiY?^F1>;3az)qppk~zF5C<^+AKxn|b6zxx3BJv6F zoBaqu5y{RfY_&dG^L^DL%<+_E+JqnHE%$H9xZR_18G*#sD{g86EEJQ#6``&`tLkaP zh}q*OFC{XQcJ*RD2&U+r0yHt3u6ty7U3!D zG3UvqA!adU0P~6$E>ZWIB;Rz5f0Iir`07#dj;}kCO^HT1M{RBhQAm}XqUYIDMd6ts)rsA7>qZv=+g0_H5 zh~Zv2YHs{mR{jTEkWNVf4EPaO`h^eP+Btwg4UCR$3E8;O3&%y?|k4kv24%!!cWL%C7KO@hcmSIThXN zGpJC+L$t>Ei?vnUxbL<7E^c-EqVWek!S~98r_EkpR0W^!I{aRr-`U?=d}hLEzwBKDOP^cR>naB-p1ML#Lb$fy0V#Vr+BI%xnOjJ$)GMm%IK3>c z4!MJfKXwemOYp;`a5f(A?QER91A6)D+dwgX3;k%EV>Nj!4|ketPNmrO?-Jn zK?K76&FzB*z8b`y=74y>Z7Q(T2v>L-IN_Y0BWI_%OE&_L1ciChJRnbnU|_o3qN}T> zK1c&sfFs;blf_m$%w31d<%eJXplbT0YH2>06!34tb{5xvxMBLOwI8eHU#9zM_ij1{ za1Asbn|FZ5IVbD}SM@0FBrtm(|Az04&6B1y29TZsR7H@XUZbLXvO0&ORU7JB27koX zeXGL!812mQr+RSWE(DJf&p}>ffkGlsW_e9@AK`^WB3M=4$tajgyt%N*wnMhRV{u*( z)a>RsSXXWz;@9Be&_bzBL6QB`Z*cw06Hm2Zy#p>qD?Q8A8Gw%R4jF7Pb%vLNUB#VT zvsVa9kuAuSjLUe|rWZV$RC)g$aTwVELpB;!Z74}#je5T=aT0w51C@|~tNbQsa^AYK zjExs@#_K=g3N2?wGji9VHkZ1nXer;}`#iy%s)jgVEL>F6}qo>M|bx9@=`Od`II10k{1q8L)>K8L6tM6p{ zH(wU9&G&K*?`%h0oz;x=s+l$a(tU@;1!75F$FJKXUR4ela^t&iZ}2;{3S%3W4=Dq1 zmt4*mV*!YYA3p$flmyaE-0BmedvNz{B|ut4-%iyVD=CeDf3GX1xfF)AUkv?sfa9Z| zfZMhQ^tzhyfNKF&FG}oTSkm3mY8c_v<@B!;@xt&LmaRs@_(5d6AUq>@u4Rcd%!V3I z6652InTRU{1E0BDf7>36ImI0dnPMHa&;?D*TQOC&GFxlS@)UCJ6&bk%1qEL4WDS%C z<;O0sku6$D&??w0r$M;Cjg-Qwc~-x|a?f}l%yPNaue_djx6P)&*LH3|>=FYxkx0aW zAMcRFhN*fa(F+#LwSHT40*1NqpemZv?I*}4_|EMOg$5kbt2={!#L>Om*Y+>P-*TnO zIgK)zU~7tm;0}};Z>BPond+vZCZt74!VSh^xp10@7HLU{g|B%@%5X~iipMVuSt>7} zV;6Cma1f;`6u}H6`~}GJmB6jBz6Iq7^_KE9)j!=?LKOGKVaVBI<~pT26$q51dP;e* zl!cH-a z#!|f=t|}GUwi3gKD)?6o_=D93U5te;m5f-}VN$M(R^=PCLW2>j86c8yHrqugGT%E8 z-l*H}(E`_9yV5{aD!zmZ_Wn-2``{1L+o^Dl00xd*JAFafm#t5i6{vU+`C?!ITE-Gv zZ^t@9Kx6)c$Ud2{7Rkj;V+&(D!qzdiZI?`yGv) zrI0_{boXxwMo6CWKV&ei<2UMwf|3FbrDq+UTt(dmp*fIy_h-LPG;#^omS|!2!BA*; z=kBNJvURE3{Tm{|zct<{L1a<2Tgot_PB%FOq7QNUp|E6C5bD^Q9x|P$Kx0sIgNWc1 z9$q}^BCOIQZ3ZM|ff;8J#? z4$|_W9+y;%;?5d@xK_p|D?%XjxF)IBp4kxmBlVFkOP`PH#DiKDysQ-Oq2FDVV_BA? zxTN&WzdRT=q}v8E_M-K843`Ght-)FuN;|_1|ke+YLa0z%{J=M20yA z9S64un&Rb`l1G)s@7ruK1=AnB=~0EK6vy}6N|OM+WVGWsB+OG^HCD(3Rdv7{0?$_o zfr8Ha2Uy0>wL6d9^SiEm*|8FD4Y{C)jK`XMlnOJss#@(JIXR^;-2CB>1cPgCV?ti6 z;U1BIuMerLBio?<6SgC`)k6_nDYipmHc^v8LlY5U#EmR)Kb4J1^?;@>vH@yki9QqZV z+>Wd?XFFGK7BjlA4whz&7eAx-QtIM*)<5d<1Xyt5P0yaqf~5ROUk!+@I=oRys8r|- z63pba!aPKsyMLoN%M9b1z?@si(>+kXWP>|jl40zRIREPEs*u+<>2F*Dzk{Q9`sr-h~C&@Y$<9Wi_3gx%k}TsR`8d z!1ekU^-03PfhH3^&?+yfhKrzMQ^JE}L?m<&(NMnCiPu*}(g%y9Lj)8yt*M`)d@9o^ z&l4xv7;fX#T7c40S_3Ai0tppWxn;5Rn?(80I+U4Mw;-WB!=z3f4btTKu+}qQ<4~f| z2v}8jPpy_ZoY@pDy{lK?9jtl&1jAnDroV*mLTP15urtQPcxV(&NzYHx^(0zsf%5T} zfuu1D$`fL?MZ0j{>%&P+YARmr#x<`>PW_-^V_WTUr3~@YJ{7Q!-}K_0jf_V%We;ei z-xr}UeB z#xXN9+hcpo%*@QV&CJZq%*>2qrZF=!vpwecdEW0&{z#t`kdmCFj+VBxySi#sw_2<3 zzWP#80l<%o4dapQh}`mXb%8rz6DO&?oWsAf(1|RdV2$MGo*4)(RxrMZApA;PL0>D^ zuN^`W&d~u+Q7<{!UWU>x(jrKA&bt_NrLG0Bl0>q_y%wHu3{_c$`9+01DsO*RF@M5W z{D`hsgy7oAXG%#qPW*y8IeGoI5H#rXW4CvTJ|OSZUeNzm3dqLte^Ls_`j7IDkeTgY z1>k=#31t39R;c(tBSP8!L;U=|APM{r@AAJxgtGn9ga3~tf&UvnRnf%AS&NmKgPxU@ zke!8vo}HbLm7SCRyL0TUZ1gNT3`zh)XLoxO25AdhD+VDuM`IJme}SlsIt;%|oSZ4e zm>4;k7};5<{-b*ow6(Q!{-21RZ2u(SKT)d78CrgO`(}Yk*l>Jj{$JecH#qeF8C4?7 zH*J*jpJGsC5dB|?rT-nK^t(3(W+uY_H)y5*R>S{Zoyf+>`G2fV{QqI4|G-M6OEYtS zfOKM4e>G%E0g6>4<~Z~`gPfYz8Det+K7fQ*yjIfj5N(Q=gtA+{(ArXRkF8}teH?MBY5 z@~&b0_FZ%$Af|RZtM{u+@N|SjRnpPx`Du9(I#rJr)hj`nt}0b!U@pqGpyEMm?(IxP zhh={<@Ovjb{6nbq69#d)RxOod!k?#B(bz)e!CvPIVWUY(Q}wiJHvdqS>r8{eQ`^O*)$Z8wmujn~VvM z!P?unx6y`e6eL4a(8N5R0zxO7(gn#d8th^qeN|<%w)%4|UFaHhb8`A`nkhq^oZ()2 zJC%eZ;zc@sS46xFH?4pv=^! z>ao7Rz7U`%^eXhdj_pW6UL6Og+NGv$7axWWHexOt2flGQUuq6^sb!Lpw6CeUG8V-< ziJs-BP|xux!Z&ZFdyrO`Vo?SRdaM3ojxo$4Ech}j^AXmnMf`$)AhS}yT+8n!Jumc` zeN-9r@dwq=GF;$O^&%QEBrT_Le8%6hD|JaQC)+J;vN`!I#@iX0=XYOOHk?&mT`Mi- zWM>lYtsye8#w%odRSlw=$XSriLd2b-LFM0XDR?oT2=w~nBDjoj)`=QHt>}tE-fiKg z-xolokK=Ya@N(0C-L&N=roBO@1O3le3+>N7EY@B(tMN3`IwjBn{nHaYo2Xj zIS@^~=Uja+%F@Rsi;y04Bhgtj75WD`3vWwiO>^5KEpE+)2+Jf$52dbte}#}S9ohwU zUv`OkYo1I2DjP2b6r8v^0LgLHTw8nq_xLG*Whza=eKK9*g@?L9ME*Q%L81nCtmj*Qd((E)7JmaRW6k& zl2yz2*}AaW=z_PSB{}~KaLYCK7kX_}RmhD?k0jnrvq~HvZmA|l zC4xbBHi)1+;Ed=In-uivN`+$!SS$~RReYIin>#lH)|+VH@1>4l!Dl7xs!A# zASbok9)AWZuZN`S&aC4NBc8~13K=X$&l>wozn~Q)0JA*0 zuAJ4LQdWJE7X^H5RSe=!StbC;8S`hMP$Rs+Atwlj_k;>XFc5JID4V^s6#VGPCu5WP z8omC5wO-8Lb0T*AO3bEzcVA*b!mnMl(#98)*?|s? z5INCW*vAQOH4{CNGsFu*M-xpQ0T>OOJVy2P&v?D4 zA6`HNEwpoNx?I}ra6fnev}v2~qqm9$T3t#AiHZ=PDPl{aNLCGK?y+jxt#o4okpR+P z|IeA8ZBD-%UOf;+%W9thYx#1&-$-$hzDs`V?vGVOzc&NRoa0Xbj)+~ttfz&x>pDr` z@L4OaqaUbPznE_QVNUYr>UkDdkf;hvtw)A>QmjN$p%s%0Cp>Cqk=d#!=Mw|&nlLjG zdbr9rTbeAz9MH~xR>10Sk`yLR$|<9X-J4Lo@GR$+GCR?MUx#HGfnJ=9s{(&5{igOK zcIWPg@l!HnpMLqH7%mao_t5X;9(d_j2igDov?{cxrjBg;s9mTkC`q;G=lFo z?JQ~p#m?q8hGso5=G$A1@zs7k$t?o1j6ClgR9}V>HksCbRrW%%+)vVwMl@iVYO#-d z-;GLMMcyw>N&8g83}FUFk5m`qACqNpnR(Wn?SNQVFnZ=C zV0xOrM`B>;HqaUDb!-f9pJk#(P(l@KlrpK`FG$$k^CtzqC5Wq~&_rsN{F6w5QmS@# zg11fq2+|Kk!F|)>k%Uk4q*{-cc!^HB%9HU5rKaP z$gxv-Pk7B3-twk6$kM`S^GdEzHA?^5h0Z~2D#y7YTri&1Qn06?Wj4jh$RMtwT`&KY zoNei14YW*$(|xeknS_=f;c`6i_NC+yLme6j;iT>6bXJdSCh&&6Z)tqEb4NOYTdJwS z)=_w!YZiJQ_1&mnq?=?*7Ig{Svjz>E}eDc2{Iv($b`LUF2*Wp;9)HZ`ZR$c$_11q?&-{|T(}w> zQ!mo#2Aj7lC{2VAC3Mot3`zqKTYCwcG-idd5Vz%UwExP9dj z%_cTU3*kR+D?5l*c)P+Uup{y*o~rxZvi*w<_hCa1)pNCubk)< z5~iovAGWepzFj(;5Hkz3ZA}`?g)L&eX7DZ~K~Wzdnh7Xz3j}dVAZ@{G*=arJl44~k zE4=+)Z#V6t%(_kn?NK4_=n{PtDdQaoX(=9hj}4}{;Ek)qd8``FWaRG{q}mNqPFBNT z?qG%tj~7cAKxJF~-RIcgvdS8o9fSagEK?dH?mAHVoT2a3k%izCOIg7f@XGFVTZa~X z;EPetk3qt>E;8m#svFUhaQ! z1-SuBxUmX+jZaFMOM$zPE_1c{%JHT=J_mgHUU)kJekmv=i>st z?%|)N9VuLH8yNSf6YnTi?sayH|>VMRPUj>GeN_ZgOz8sM)6^M<2uVf}OJ|~QD z^O88vdK1JTXjzVonWz(~aT%>Jk*OG8)1f=a5uV#0`FB&n#x(Y<>&sJ7v_p!$6Xw+14nl8HS=Rmu`obGt8}18`m;Tm9u)-OFP{j zrq%cdf~nU#?yO|TUsgTlrn$%5yP)b3&fQ%J+ywWW0>8XqJTE&fJ5sN$a=Mw+B1cA> z{Y7umVCOZGa8YA3l7@i?&3?ucO)76cz@1r{b4lyUX-V~y;py-xm8>+FX&>+`%G1yR zdFW%0#*73ontopMia13x3C&b z$CGpDY?O2Wnck%Tc`@CPO0 zXpmniudMzd+OCSoVp3*08?g|0L-1@H1Q5V zj=3VnM^4^I?TC9$+EdTyNt6YH3&)T`2%2Q1&$BzK#%UiN#5_`i%n2`IuYAQ>Ne)j2 z%VSU4t5ce~n)f0QaaLq8x*9NJy_TLI21BP_Y>C~H`uFVw%}`E{rhnV%`n73&9Ajb% z;F7)77-j_5l?64j14FqPEvT3MVN*9BhYH9%nhO3%4((!3z2vB5*OB2({Xo!O;mzk5 z@4>dlgIWC5+j#ir+ao%1A?eaAT^L7AYgxY(jMYuEv~|=eTCKz%mQKdilDwxh$t$P` zj+ahk_0To_#MZh2;^;zu6+O4$H{u{)A17^YOiW`7JF1t9{b${>J%X>d89QHZQYGb0 zh;UwH@^HfniT0b1`GINK7X*UArq{)YtOnQ+-|o1f+mHGFUfRCHUUeMJionm|2s=-n z*Yb%gh4aZ)zS3A<4fm{`Zr^Ts z{btxP$!tJFxnR1!Qg#7Pbc@!7A>1#)z4eAt9=%1s*0!j&nL3K`U4N{YOVTuOtFIP! zjPnyUqcpKOv9?H8b7DVOUokdc&ZU!|l~I?7#Ui!;DHJR{B&0$-alOGVl=R=x?(a%@ z0vT}kvFP7gRI+HL3XR5Q!{n}=ZSK7XlLj+s9C0++!jD8imEYZ2YIxqcZt8TJkoMS(Nd zfS5DerVNP_N)FqTe5YyKo9J$$AtZ==I?&8e8pjWF1f8T-R1&j0nDJ~)t}!W3We|j` zFrUJ5G7MD|O%{Gt6c`vc9rvSiplfVth-rA-XyD!J7w{_HU=3{d53*6iBLXu~9iuIy zyB8}u2ky%F79J-V$kh4t=9PZQrViRJb=cWWAa&GHmAVT`Q7WgvbbU!DoLM>FS=iPpU zY%C}H+k`ZMQ<{fq%q^-&|DgiTWzK7zhonK>rHrrwR%!?33XuE>N&{!ccz;~4YZ0#P zrhO!RK0mP3+_?2;f#xOol!{0dEw%Obf`_JbIwjZ<;sdKrgq^VRK0+}naN`#uOFYDO zQ*^OoDIsjX-ATX{M?nbCOdjeTY4@K7eAI03FNerz!Qy-cr6qSD+jSAqY0f-1B3yinH?1{!npvswoMlchegDI zQwe9$&%aji-5ofgsHw%3HKKCLtx8QSjOx{CqC1$$t3Ezh`~m<7u^+pu*cywhROeS7 zHM%IT4(SOl?V!zb>eN0~UwL=dTu~P*52!yxr8Q!^jBl=0XTj(9$&bkzewgAWzBapx z*cVSA$oYv2YW+r2bAu>ttNygt40*~r_Hx!nh>#MfPYmwfI4tu{JA7u$D4D%Y=4W$j z$}nR!a}>it9Tuz5xCirXJPgHqH}CkJOEQ%5IpHq$tKvf-k~0Hd(?ks;BEN-JF|iPe zK%)&SmMCI;g5{cszyG@TnzNi~Lc9qL`%iYH`uMi7fiR*PvcHN`5 z!*QsWGiDmb()YcXohZ-fYv<+3bHsbOnQN9y%GfreQ#pn zA$@M+yHTndzG2j17EVGsc+%*_RfcD?2|nJmb%MvOe#{3q|DKwADuTx2U)|;j#TVIb zCuoy--uaobZWzZ6P>yj~Vy#8NsTFPE^K z@ipWP_nmtTW|3y|wA7W~Jh3pUrnEv)b%|J?{+`od*T$Goml|m|BBL?Gtrr;RIlss4 z*7tJecz=DHx-^UICOIh0&Hy^lC^c{2q;yp?Ccw027NISPiOV8P;2Ty#g@Dzvajdjr z5{h2@kwZJL?NU@p)G4mdzWOvdDrck=k}m0c7Q5@?0YHRqmSVUXTlzlsY`+KH+I$^K z?;)+&m~!V?<$3vNs8(cmPW|32dG6>U9OFz>eO&UuFt(9aXZtw>PqI*Oa7v=EnNR(` z#^;Vr(~qCC#-ub^RlrH~!zUK%qf|#T=vJXnsY`b4tZSyP80pHmsjN|$U<+6MK;z<iM#!xYZ4rUWly zYjg4a1(G_X-7V*FDUI*QIU% z1_rvnPv)!zm$7SXUaPXrj<6HPJqv#uS1?tS&<`4*q124y?yK>MdGW*85&z{m`cfZE z!|D4;C-`|%RGuc>e1-E&FUD;mSgk$--*^nZW8oEEGi$9i;&R+4$x~S4{|PLrfcZ4V z+GWvT&xxm&_rq_dGa&p-f8%?(Nqe-*A_x1lxJK>za(j4p7#<3bOd}iljW8t%%k00j zt|7SMDZ;%q(uQ7+^=&^=Yd_cf`qVglxexvL`;z#&iksLMX&im0a)7THUH76Qre+Q{#$aAU zqHnhgnF@?nj+2_ggKTycc19FZDX@?>sGLk2--)9?C@=|=2xWjMD45IL@Ng6ezTuX< z#^PM<+CGWxhkF47xFBJ;Dh~>Lj$6Y;eM8!2h#w|`S%IbuT6A*CaM3_)5Dckjpo4Xr z<9oI>pSkS=$;++KYqPuSM}S1{g{pk(amX>|k9KxDN{i8_qu=yXIrkcW4WA?Ww{=5* z*M)f1Ua?OfQne(uuXQbRWb6 z55a*2d#S5xS*eAVK-CY@8(kzkON(+J0;*KD3 z)gbh%OCAHieL>(kE!-KZI94uXJ2obbv>uD9H3)@%yErp+4)(|PQ!BxCnm397cXES# z?_n6#n)AcdfEC`M5f2Ct{fxHk@(27e#&j(BwR+yzfWeyo&Pbl2aDwOPUVV4I`k(wuOI^S&QTPGba^;tw|(rYuM88dkBU%RSc=?(=UlsuFy z9qhmiSRd^n3t3?9^#7RtF?ZIXzgLvuLpU#p!#Dzn;U9ELH-PIS1>LE&+l~h1E-UXs z*)tPShLXK62;GK{#mmg`{|TdR2PaC_N@+r!hPBYxD7VlVt5aTTK8iLk%dim3k8Ywj z4s~%UZLcQzsVjGv&dyhHqX=)g3n94F92JIYBa8(QuR0eZqROX?*i%QRVx5Pu+{NQ5 z&q)8hb*MN}xiNDga-)hdpeIBhMvJ4vk<1>Wc%#g{iTq2XoI0C9ZfArwQPpP;Sh~I3 zN-B`98%m!1R`d5nJ3;OPKaRQX_6AoY_B1`F89iil7%bsAZ+hEa@9TGft~PCC9Mlfgn(_ZpN7J9)lZ<(qpH0r$ z9NScvGCBRbVY^1E-f^m*Fc*V35&x++(fvXSVk$l$Ld34@Lc^d|_`Xe1PLd7)Y28Oi zzqEMEKNq_XnUbXF6t4p+Sm5T+faLERrh9%4d5#yz>R$LF`jKKUw&5d{C2UuB974J( z--b|DHvxui(|a1?B4#VZ1MOh8+M($`T&E&Gc?Db3W&%p{~7Wo zN%=#Hy^!4WxaXsJk-eI#qR|dvmZVHfZ0@?m>`xAc6CSvf+R9LusLG`7IyV4}zXHc& z`18m-R@(Dr_o{0lw(`MGc`mcj2yjHw3nVC^>%=U!(PWkFoUP@iH!cgIlvFWRPSHUz z1Ws0X2Qu!GN{^oLj&<8k22oqEYEcDh6l>S1(vwWtsKV->zmR?%94tG_qO|N|W63Lm z!SzfoiUj46k^wpXsN<$M0|62}Cepz6a)ku38xu#4`f~_P5`J$E`jizQ%t8FkjTP(u zn}#*Ns-%13p7dOOanT+S?d&ESy0w*{aKjXV-j3Ve7R@t`Y4!}Zz7eA-K9&UACL)(> z*_2t?NF%S(4`%8KvQAQ>-TFsE>o=!Ev@!*ws`i*#Rm`|u-ltMm+=)3$)o(b&O-z_- zQdX^ZS*wa5vQRl3=aXZuHEEf_a;qFbG_apI%*0AQae+Dal6U91{MqeUh|sS%ste^O zJD=Weq+D$t3szfOTO~VCd|><{(fy`b;|+5~kF2@Vj9QU=5VNLCu#dWyQGzFR0+VR1 zW3*IoMt^7w`NL&MbQZl^q@9(f*jG#V=CImjlj4??HMC+9oZLWJY($roWJ1d|l+~W^ zvpS;%Ax^?O*82D+vINn!J_Q+yGB<5c1wB8Tfoga2O61PSqFqoT+v(;$=SRi2qLQNg z;PQmqnbUYA9QjXD!95pDCS$#zQ#5d*!1YnE(it<-_yauNVau1K4ciDqc;h8w4Sjo< zJ;mqV8Z2<^WgX#tXEGs@GQRf+EFMUIBamW69lEHcS6*Fkyf+kbImci+{TyP>aPo&_ zr)1UFV_Wch^mtVsWb;xjNAZIvZ;;p{!*2xB%W&pZK&rsIm`4lq2>jT|m1_+QnF^~W z|9vM6EOs1YPVp$mV4rt_oVj!qNflc7{G&Oc1Z*LtX{@*diKKO$f;lj#97eLgk|07) z_7;w;jMg-E=Y$%{{bdq>o*~0{Ab5N%N7S3vV?;Rh0s^P4^wszC`sxL?e}8_D4)dT#rPvIzsIeD2YCP#Lm2$ff0EPgP)K-}J?`3`e zh{gURwfY}|w0~t=4ALgHX3pk>Y)s5dO#hAYib2T2*-75SQP|GL-p5cI}>s+GyX@=_Ft+setsusM-u}Zco^~Ui_~b<^)?LA z?XPknNekPM5^0U3xg=-{Mykk?VE|f?JYSC(muUPULDnB4{hrN6^;|N1DHo-N^;73L zPi~-pY-h+2K(7<}`L=(`Dw;1(i3Sraw1`GEuNh&&_2JR6^*`k*5O`3Dfkmcl!R`~ZH`SNT-imm=u^$TovlM>mCkunp}P0g11ik00_3m>TY z@YkmH5v`Bz3__>wQ?zUJ zXt!oGEmyf8Tzy%z)2n&eY4Oe(T`_WriT-QThtg+w%LVasciJ?G9YTdP7Pcf(*^9l_ z^^@56bo&lZq7}a=oZau(iW)QrQn$n%T&gYSYyoAKUi+J0^LNH+qUx6|ZfgR6JIIe& zjQ?ZQDcm#772^Q9l#V&kRIG=j5YJ{_>SEuOB0X@DZ3)eCC-%(@hRn7 zRW_X~`r`faz}70P2+!mg%+3l7G)R{03At*(+FbV!ufDlti=5`1HP4_iu>XY4H9-rw z&_tBloIAlv={Sm}1r$e)os7PA3EqjWk-cOqOJtYQs5Cwb?WKq4=vfLrQYTgX@%gs)IDQcw(2oIf|%MupVh`r5{S!vEK*1Gh5+YW$f8(It~qPE;pxEB)Vy( z9yQDM+~p2fpQcA%*By1MWEZ`Ft_?$8*Ig;y|NXmuhW(#1h%eVJH(DmO#mO9&M zOt-2lT5J;tc-=p&9iqLr0CHL#%Yk&ZM)#QHWjC+ zk*tmEX58eQcFVdk3l6JWRd%n*5MMp*!vCs)1KP#~jpgwo*~9Lu=`swN_L?EZV@b`~ zKiaiM3*j+YB@t-Qde&PSe4>N+Pj;sYcw+kJKPR#eXYma6Vp`!&< z`Q~t#UbX&y8Pr^*J5L`JJzwk%ifWn{RxK36<7%U;pZH9c)O<46fq|P1priRN4_bh2A)PI4a!2wk zRb%9F^wB4`gR8`sO!NZ2TzN!D-RqNpOV3AY5lX6fb*uvBhvAkVbf`cAn`_GjRKJX%K-PXp-GhIyHsbRvsuR!$@{*4q-e ziz~XA?zzn{VZL)G)Bg8-i^gtAx8r=Xzk=$yp=YAID&4Y2WH2!`$Fi6&cwUu{nL+ow z371#@wDG;X^oW}e{=cRluG%77nPp80okr1=4(s%}61)$V3Fp1*23qcKq9l-t=F&-7 zi%%K_{p$lU$-lNf`9Eeh_-+R>fEaLv`YGS=NsD(HMa{0-f2M!~ZPW7gzGn5a z4336{bhha3S>4#8xMQ@rIRxrS;Sg#W61nfe8ro$owO;Yys9fd>oyJL^6cL>9%6 z%5Msj!2CNecTo}o{(YbWQiS~H;Sb3FyFcuF4@chER&TI>ockRQOeA+GhlazndjH!$ zKePUcWbW#kxou%Pc^K@O{pzfLd#NAU#aOOI$(4%NoKU`%8hMf6EW0`S@Lb=Myv{@L zPg8v^ZW@XdMuNJjhnbvZ$C6xta5ZwRuwWD&|P$au4{z%fm)qS3hT>QXL z-1|INRA{$v^8?XOK=Lc6U;ifQ4dPk4biQ;0^Seo-?B?nt8`FCW0Rcf)RyN@N=cesj9*|$@p@tMb_L|U% zxI}z;hN`08!{T4b$>@n@7aqUPFqi+3cuufyT_yjt zvu4C%effo~xF^sK)J31uxFCUDYN4k`P_AGuLmO}KemnX%hdCjoMB+{NE zr2M4(yc}obcBGuME$S;LqTggC_csB(2~zy#t>#(uSH?7V>FkzzRxo$con~2klGDEb z(KPJ4Az0?V(uvQ>qV0Sm)GfkRk*A}}3zQLzmvY8iq$O4-gdnRDp*<0)C8S#^! zF}JKgZ+YSa5kwKP&uU*oYE$TpN@KMr=V{?33hl~A=nOZ0vi4z-@r6m+2ZGzq`Lx#C z+o8fxLKVZe2Zo0PfIPo>!V{cD=fM%~GCWI|rx7T>yT!SdT?W+))So+&+_6Xd1*+{0 zyM4ePM3>k>2-U@QP#@P3^4sA8N2hfi|APeub~{nxVD!U00`EFmeAAqj`_tLW-u~y; zQj0eRP|M_fQit1*N-OM3xxFn`{*3a-_|MtJ+o)lQ%L71}XsF}Daw^}4ksPG=?V-Gw zX^MvlT4f~79Y;?xZ~7FsRDYuvX5$s*Mb@~5F@|SPwB{%RMUc4^6^KuQtr${Q|^|_oG;Jm^*4i73UD|^h@5|0zn|XmWyos##2>aL zyP#bq%C9HFW8{u;+vA8e?ILPuUrwCw3&j@ws9e@4PnUDY=C^d`w;&;Q=E8l(&asYA zzP`OZbM?gT+B$Ka%B%Yo0fZcqU)dvwWc~JQ21*~CDSVFGn@E&XU#>Y%&@#d84&=|5i&St-6L6pdYDaxfBv#-|Zi9XI%)WI`l z=c#sxr;+Bp@6$vZkIWC4{p~NM{L3n%8bD(dm!26_VU%$EEGvSNzPvm z-qKP?y9Ccf*s+h`j=Z)*ZmbZbna{D?E;&!?7 zL}OVMD}*gk;s=WL&BVlnZY_l6P7ruVxy zZ9L+Ax%6y8d+XKVVlnMTTJ_6VmTalt?yI)J7cs6Qnj#oQgI2P)l-QvQEcH%fD@qQN z%3{#PWlZ*zX@knlR}HxU`8XoE(tM+=Ok%iy%zj?uvDULdr(A&+PIj)AbfZWebZYdN{Bn38L zDQCjjM4(TJuccx%-okgFkY{pVwdllfa=s2lG?D0!Wn*a=WA#TEM(pI_5BcYc{}C(# z2UWX)+yS@7#7(YlC{4k9i*E+V!_tgkMtr#+w}>+X$tTJ!?=b_kFVh?@OXgdL6F2n! zbkZj#@WCjju~UZ*$FapidV-Xmze%NPElu~FM#itzGqfRaj*QKE%N>k`)otr;i#wwL zo5+sBsKH(P33TGKLpVQw{-x&NKP4819r{#vNDpQq3SwQt@==zHdDzgY@j#LZ4 zjz42PKERRAU`Gz527%+ysLzFbeoMNtV!_EyR>uHWlnwy>RjURv^47oMiF*POqAm)x zx7!rHt36LLP9c}!wz%l!HHV!~{$Uo$a|wYVL3oSzVBu_zEJ@uWd9ffSM)b>INe3%oX2nvshUnxe4^Q&C*p z=<5;Nh-PE)P1pfXtytl?WPN+jrp5AcdJ*dk$nh>~girREY^DCWl@T$8Gs3}Q03Q{X z^aiZm0Ida4R+%@FUlpjQz&5J>C2=HIW?JyNCKR zMx`G&9&HDt$p~=4MbGthNMKy(z!ueoeyn0AGy5pr`fnhKm&V&OcDR)nm#9eSQykUme1wOw(g%l^2*tv2Q`LE z7lSvs&MG}I!aaVD2`i%Q$K-cCdJG|Tx2Q_Q!sfQQON8#k4Py2v9Hzo`>VD$Ij`+ck zDQ_{vs{G7$@M9;u%taE<6;&BxXyzETk%1!fYdYKMu-d`%C6Iz0HM3)WMAE;gR`n7u zeo>Hr)*LfN-#)1Sd^VMafrHi^I_uLvGc~}21K2&VYc+e!Si%7=wpEtfHF6opa=|b* zxcl8c8GKoBppyo&Plgv=S!0<05WWWW3a{8qgfP7&if(E+?t;M5>?@>v5@(t5vT&Ur zNx^*GByO^NvhE{pvJ%neIm2X~-IHl1VEsZ3M>2Pjf@2KN610NtEaAA&b2C6O$Yz`IUF-&OQ@ZBimxjm21B= zymsSYW8J}w&fOYRDoefx6=g(0L5DM3lINnbrdH<9YLH$znlhXBWG$48%2g z(*T`K#LqxelNppUBWdQ?B;RAPd-La5qz2q8d?EZDNj-#N?iiBXY~15G zQvNZvg>GHu%8Zyty<8?Q#x}t+gulGj>kz1C7~Q_GfND*u@5p!Pk=|Y}v>X07Q@`&F zP-;=-19A1GHg(3(T>j*_FSO9na)VgQr^<;0&DAtmNRRiIBN$U9-1YTWioZGLKMuU$ z$e#}qQ2d7Y=q8BiFJFV-UfX#_c^CE$_d_s8X z$DL(FNplkZxhbo*_Pq!_WNmZy+Qd(^CbTW}caS(_&uBSC_laPogTsBz9j*q4P zYeSMCRz^Y%;+uZh@5c?EU;ND4OrbG1b+wme`qilS*<+LCinp{QC>#oh&+Bm_4~oB6 z4bgx#D(_5vN3ifS6z4?Vm^uodpOetdy5(+4jn62rEquh(_A=k~KZqRUga_@?T}$HJN) z+0)h1`c&27H90<|-)dMYw+xs~z-%-pFYt>IyZng5fY+(NCgY1EolMz{YPIUQ%FA@P zOMW}{C0P_{iC&{T*7s-I1W3e*P(?Kld7PJDB*n7GA$KIo9Li{c8Oc;bvB?6(3sa0O zOgeCRLyYsWOM@#!7lWG)g`mWwba$8jhO;2MVN+I&Bbym5AAgZ2HX^zL2D^n(a8;oZ z$dLr@tV zAm;n=ga%~~6<``(+bRqr#0)}BRot}|#=Px%Z)wXK0KS#$do0U3)g4xgAK8P&`pfs! zwmUkW**|>iKCbZ+ulVi~mwK|dTQAiBU;5X{0^{3zEBB$T?0zpO$sO83(Ve*G=iS*u z@@?D4Qu|M;Qx7NAj_vO!vxO)8WZxUTh3|D6%k$My$`x%o3uKjWODXG>4j(-a&50o| zEjDsqH+A-ufJd`O#`!Erc#`7j>+O-Lo~mO-k&lf0L|$pU%JL%HmU@{oU`?XJ*g}I` z&Ja-69F8V%<5z|2*A&(eO+eu_C2D+!JG|*+b@^H>0TZ8i6Q)drxh$s#4!n|XY1GMj zIe&RZ^q9bOE3`dY7iNwuYCoJewpU-qz@Uk<<@|Gb0(Ig$5NGDXX;GsGjk=a5Pyg!3 z$Ce6rRas+#>W}IJ-Sxybs?9B%Os{ILjGggXWsuJKsB`|EoaMC|4i*&-vzKPo^PbDC z8tzt_&*yFtDoRwY;BfEd`-&;hufE0&wHdz0J(+U=(1^71+1_l|(qzOEerIdX#dSgN0vTs6+pKvBZ|(asRg0i< zxw1w6Q;5`*`%kcM@z2+RLU3n@Sx8>_%1v7{;z%<`(?o6~2dmcQBD2r@A#ll62kN(S zy43R$tNz<+W!iG-sS2uYfG>@C{;6doQ-hiOi%awB_|itzA)mch>EX2ul(1ZP@4hEu zws+;BfXmuT$F}I%&dAWO@_F)v-qhBf`X*p_Bs!Lj22}|B!=>x0One!uU|FwdQ4;br z)yiV%oHu67EA6#lz{1+A2h9et(JNi*#4epmxbIEf!EXSS=-K-;vZ7g^`J|$x%52~- zx!Qfh9L+OsX||RzHo|wT1xK$jw<0DF%vbA$n58Y-?bZNJHu(gCZkc548n@s5QN77s zAK@e=J7lJ!$jzB)u}UB%Vz4ZQsvB-w@(iYw3q~&nTx)Ag-7l%OPK23iYo5jbIqD`2Cj_~*{8Ce{!AR@*{W`bWi?8!N#?xg)0pj9kH6;> zORz1cH8Sp)=qBc+Goj-lTVSJ~NJ_gGZz^PZ`PyNCep08g+3zt!0l@}756oK#9U~M2 z+T0$>Qr&gWU552#D-Es< zFvD%>t>?k6$+p}witFL^_I_2g0&^l3jY75+2wx7m^85RHW`on)c!~ z##qj`ms)$as5cM;7`#+gx>~WZ)Pm*$6D*ru*Cjxt!aVFYo3+*fU-jpz=w*x9ZGa3^UvP$nTNve!6G>9o%w0vm>#tuC8b~D+^D(ia3KY zs%M5`ldvO4^7yaH%F3~~45Fwb4B*AgErA$6X=`$cnB-epU4Na!2Z!2ofrh3A4S9ID zMI5&i_Ib{f;xU_iN-Q`wwrWK~JPS8G!CD=T#ED=n*Dvr-e$Uh53W`DS%XVj9G+oq8 zx~1*Adm9b^c>veT@@H!L9naqxY4pD{)D>|5Pq+N!q`&8o@hx({P=l-EE+U@-cl?pz zE&)(@-~k&PFarg2_~gU?)@`-oLOV9Hfq}sq$1r5=OD*tIx)ehgoC!gaB@IB>yAujo zSPU=6hbh8*EI2q2JOm+y^LG#V!0aTKaV)Uzsqi!(%cS);xv^*pls|kVVQ_HNgt0;& zu6fj8NkD^Ev@1wKQ23!_5{U4%39w2EMus3LM3O)Tfrl!nAmmu0W01$mF+lY$I&epe zUoe6Mw2Son@85k5q32^!%M!hYmDC`>!M*R4fWd(*Gz$)H+RAouf5kk3TONJ_v>0dp zxv@CQK=ksWq2QHrW5Um+tCOh*XNRT=hf(z&v)NR^ItYobJ@Wvhpt?<!xDZ4t?%dJ}Z?$xD~9Nr#^DdU0zT} z%s|j}bLd1pN&LY+n}2Ibuw12KvU|xeYGC>%1H1sLtoOT_ELbwGVxQA$yXl|E9)LV2 z)tqj%)p)*PdqDzG#?vp7W}u9AusBk*lMPqO(69%FOZ*S6Yx8qkXDGi!P6<{w{hcx& zH?Dcbh4XwSS}sQI$K<^qKOGiV1dLgJ!*CO=QYDP-WjVe1Iu)u92bV(nTd>50 z;iW-&-t(!xlm%`y#j$ArrSE5<%AGGKc!>seDkIxkih{nevfrZ=4?5(tDlOgZS!wK! z?-o)vM67o`qXR3Nk^ih-8A@61orw4qFEpqlT=l}-IML-ffvji(S@O6J3n64GvCWNL zD^(K`f5@C;CV8$TJ0OJ9+4oZQW3{+=@fY%}1(KO3a6jGxP-5muhnD)(@SOZA1%!y2 z&49LFQYK$# zi$)SoITc_!ufMsvx+-+F)P9s}N(Y96@Ki%><*+ zWBU}v6aYM-ZXNs!Z3$sXg8vGnNC7Q(i@E-K;up)&%XdutZfey zk29S9(}kZ!Vw$qw%c2nza4;EYn1nx3CeX{BR?yGhj?ku$h^%><#8e^kku9PxS3p*u z`kO+b1kcmY<*#K4io>4tSA_VQ#_uWXEzJ&s-U~V%ICMGBuq7`n!wzmmRxIxxHfEgn zL`E<3;$Aq3oTe|ObKi-jR*^?xVTH8c*DippTQ-x?Q_)F97}Oba9AYR$%!OP|qo|LX z&0YeR5+zMzqu!x~ae|M|0A1Y*Hq zwHLiN?-MU>lNiMYh6@QtWckF368vF2OSQ9nD8_%4Jt)|W6Hz@&T{s`B*KB`c)|->2 z#Zce7o3Du-%KL;S2vGtONh<&= z$$HZX^S7;I8$4f&6^d<$Rm@a-nXXDQ1ubAkL=MyO)2OS;;IyNRWptN(cRTS;>^-ji zLr@4-&7^b6ws%iW{8^*~3JtslEElCf?P-hx>`81Xn+tGQc+zVpXr(aUTf`=OsW8L> zjtC8y)(6lOzBEu!kY9B*k;~P%Gg|n{8YB&j9Hs8Ep|ZG28E6>Bun3RH^EWMRwRF~f z{nFGlF0(;IpL)M?sFJew{H$XL!VzZzYX*V7KIu#rl0u=03jqi_s+0tewpc?JA0y80NWU|5oV)&KtB4afTINuW!M&gJb(57E#MiM@%ft^iSZ z7=M{J(E{l<>l562<;B>Ld@Kz%XgYe=e%q9UDlU_L?NfL-4^W9H{g)Jy2IxntYTM`` zeY%^^nGGpp41C{TAH2cJWY{EjB;@)mlrCjFUb8n*BK&s84;3E=c@WrFboRS}-$(&? z0U7}0b+%#qKCRM9V&!wc#f1_PrATg8TO9EzAoRpu!W@5eY+{j4H9sEPRMWwr2UJ=5 zARHcGyzoc5^7P=8<(aN}Zd3=Uz$kXHH(dg6G3k;Pz)V9#c;P`Q#*MmFQ(j7x>o^?9 zc?j3(*JsymeF@XQFN*yik1NdJxIjQj@{B+;j&&)7heW|2`b}_*_r*tu%^A(C>ie!0 z4IkvhCZk*P2k}KtP0fMJl}~9w576I7xB!g)t^HR{q9AuM%w8s^5ag`*|c5l*5@Nt|^nZNmgyV=2y#SbHi&% zQuK|u2F}e@#h;27h*d7X~J$ouk%CY zgNyIhr;I@4si=)a%Twb*YY8{9Xt||^mh0=`Q>V0(I={J zqu(+=Nlbx#UXo#^$Th8zZ`UJhZSUiihe2ywnn!%YalY;EJ9xj_tuH-K-W^GO01=;* zu^a9M)e8PY0d;7Mv{iFn6F?K_0Gn$ zWwzelbI)Xq=gmnV=>Ahp{s*o8kBbqHw{;?nLxz{gzr8_N6dI_$vho-)NaDcb5(28xGy?mkNFy?>h zSni`+tZj}tG4Ug>XwNUu5L92aN6OU<-D!*&&B&lE`8Hv<%Q-dXgkAJ=?zvb5$fHlU z?zp)t+W4hTn|}8)4EC+!#=W=dh>5}JvDHC7)=A{f@bIA_a;}D` zeUuW6YvspF33hr5gO&gGUX%vR?4#bJjkui2Oa#++O9v_C48>?6TqyR)?=#M8pWG<9=u!q zAWdGt|LBe`*^qT)UpSU@%-E+UMJzewPT~~D7(*vhi-(w}e_Sz2|LM)4WrCLevlIT4 zloC}N8#BdCGo&S2s|&kHP6h@E1+Hx_B6-JQt8uc_dbzXblyQ~lIvZsh%eF3Gpfd^0 zkicK}cns87NpywYQY|{6xWo4jYR|gpkW3k@>chiZ*ItbEDl)_?zNNv#s#fZ`4+vvm zAhybI3_pe_zxqz#Z2{waMN)a#eK1&2SqTZd| zSVqbc=B)Fkb$c*BtdjpJ>$)C2Gn*57Sq~c>gNc{ovS$9ZFG&c$c*~`?zt!iZUQi1k1b^0* z77kNl3|g3dW1k)`6wB67f>}VyljO^mA8eKIEBM8$7rLhcij+{PZzw~i#wJ3qzt>W` zYwbtr$g1JJ;RMO^AeiFxoWGkHWC|Zd%E-rx2xu8HN+rB^Dx{GLty^>113r#N^Ywix z`D*Pd(5O+kMcG|N10@sCT<}b*cz^Y!B6_SaKfv zJGl@(7C6$HLQWCPX~1?fpKsu8!!shgIeVC~Dkb~XR2bKT?IHuhmRF|LAiT4+0)8|x z@>-@)4Z)|0Z|1&)RDaknFa6dlTOgLADZ&xa;UJ(FF9-8dI@Q~bb@1Ea4dVJ3SbahZ zzTN+};+yalQ%ISz6`q1~o&gUxlygaW)SNLeSL;`HGsVf!2I=;z29TWJcJY44+of#a z$WPJabq=uH9D7c!0DKAZ#X*(F9Co5<2%_?WOb2KSxkn17g;g-Zg*J-DG{2K>TcdgV zQ_fdQ*!sZoXI21HnvFe3K6@aqE<`POoj}Xq!Iez3pbR}tQ%ToLOf_v*ANEbkrc#wu z!cyHx)vjfkQIF9_0&`UlhaMc)-+yM1A0rg|@{D4`HgM#(4#s{F6m3;DktE#GaEj~o zL|vg)L0ecluQACwW(Ca;sl)4sqH7Ta&8oXAVD-xxjYc$tmQ+gaeb!$WAow4LIw2tV`Z#R?OR(*VunE4 z6z|XY53WKO(!e(!W-}2Y>E;xTls7Xs&9=HNpjeQPi`m6Y@l9r@A|W*mNs$~;>ua1BE#F2zoYNtw5sH0Kra1g~5V6h}XtRd1 zO1$O*0?XMN>yaeMUwg-#)JR%Gq!A(lUhZt??4Jp_>j$2=^j$F%psiTEt9V&%n*w4S zEnRbp_$FXspd6{p^Q*<<>tE#5EB*#2cLt6&4~dNL*N$ix z(zk)1BkW>UnTlDOX}w-5X%j~8<*_|iSy0FkpLjj@MLwzV!a##={p06hUs3s|Z-(>K zSW<7yB8FsW`Cj1k%^&_^**iPHbN?v18j%qRfhA_D(}kT|Q(151!=gUE)O&{1u`2SL zb6rR$B^o073n7Qz`|16HkxrVx8BXh90`TifgX!3OwM_j`Xl*T#vro%EwoQ7?k+771 zGd}ikiO!A^r~hc#qElQ;0113>xbr~JdSuj6Wg*4b-^%hE5g#0J|aT%zmpK?NY#I7%=wk7qs6T=DHA18Zu@Av9|?X zcF4il25EObgLH2ywaTZ4DXXuPx?VhwU-*ffh+7D{H53#dp`MTR7^jvwjlB$@cPFTzY(o*OLAJcJ)LJCl&a8TS<$W0cLz-&S9MkYHA5DCpDp2~rb7(gcX}|S zj^o6=E7JdhDoKcG$|oe{1&I%zwOJBdL!Is$&+l%x5Dc)DGpI}el0re zdbuzPRHn6nW?WM!%2e~}SxXoLJ`!~0p38KRpLN^%h z?!O`W268({*@Qv4=|PJlN`8MqwbZBr#k?^uQV!if9^3$3D+oGF5}^dC1%K9!f7aWm zp4OZs(=i|aMLA@sMP<(b!#V&RQ{og|c^f4^T=YYkYteRH+@93NTqnmS8M44@z%I}owWnkGypbIyaB7b{l@*2@OM-MxBg&y<_H1%qmLWHzSRvK`|SA>FFt(mR^;aE6$ zh%d~?ChHm+m}w(vL07u)sodyt&&wHTFzhn7XNrU%a+`igl(ER2an(%-7(rSxn5(#w z-wVcU&7hNY;V;#A@WM^d-c%%OvMi9xyo7zpoLay;?s9v=DJzGUj2G5-x$3rO6DccY z?6lNg447*QkZIN+oyW#$7`k7%3EUQSP9E_;0UwC1WcD9FpDs%^?ZHiIq=9ea!LBGl z0Bpa*Tscn2_3NU~QkUgHN?=+&u)hOajsyH{_9@m8Jy;t-mefol(aY8R6`JCKB9>Sn z3&vBaIKwNeC3hUH0<6|hq()K)_&~CLW(BLauAtEER3_RqGYCl6fO+K30qF)_o4Pag z)(#6(Bo{LkdQyZ`zWCCfS7vB60Eb=2|^J1KM&4o ztkRgz^h&+4k+VhD;|1Aze#ysjVbVtAspjO$V4Ht~BmB)>u9%h)wUih_M;UC4y5{wL z&oZIQGEfbKywz@GRX!B)z(4+u_u6Pa=Dt;cW1XNJEZwYgC*w7F8hcDC@zho{Tp3jb zwnO6W5c^rU^q=Zh=)phuRP8zsU``&)VRCt60uRknSo-Y04Jlk9BYI3wnQ{j?!f6jU zDvDdpS<50}uggu!2nUWp2NAp1T`6O1GVm%`;28~4QMU`)3DJM@z(F*bZt>rFAR0Uu zMTsIEShRo=*RAhmn--Q7({B4~&$z~W_lYC1fpMga0<0yt7-C1F@UYJ0!-B2Kc&H`| zGuQ~rbMpjauhM{-PW()JsoU(9L^nc?STz2>Xoqj$!Jb|q++--gI+1g5{pz^?f;lXt z!Tgy&$nJOQ6sMd41N+;4SI#gc$RyOzALk#p$qUKF1U|m}FE5<@n-`86rDA5F^)nZN z<~I4flnjaa@)F9-9Up=b(NXJTO`I#=gaua+bu4Z= zHv|XivvsYuzd@1(%5POsCb{Q98|X>PKljt~1a^_d*f#pEfG-DeZ1Zs=WjbWHelElRI|>9&XlN zV#zn7iC%3!v-{{aav&w{=^|jmMlf}b$@}usCQ;}Poi$MGTnmD(-1Hho@fl;%&k+CO zpnBDlY-(5G$!51i7xSILhvf!De!x$T(6NEzf(kgW*fe2MQ5+Jm7=`+&hv_7ab{j-n zyR*KfpQ5RzB$!E!3LPL~ym+GpRrwF z;G-!U{*lMv=f-jP+$V9TIxQAFufJ>CwAizI%UUPgoO<0#d(RYKtw@|yj$4)on2rDm z)*l*V^nd3LDYAe#ffU5~zfzF@KkllJuEn!FzV7+VP##3r$Z=6%jq%Kr=OS>EU=)!* zD=JtzyhRwOG`X~=GFErra844UcTZYrc^$&MFOb)M`Fe0<1nZ%|@kFC%4G2_n7xvjN zGkN}#2xUBFxrdv0R;zDMFP9gmQFT8v^-wRS0w?ql*{;35{qm#pUdeGJ4g%>)p9Jr^ zs|v7Xs!E1o#^2&0H2hHG&f204$!?9g^FR66>y)X>dkM(geWS3Pq3+BS`9`%dNr-n| zJHhv*V`8@Fj0_-tZcob3A~)9bnHO8^)yU&CjmjCOy~RLNFlX#Qy(MAl@e?KGrtS~_1XcAhLe7)sp)tg$zv$U}rj{Itshq2YAopDgRFv2XEPy4{!_c&m&9@d-`rUvm*TGIbrmTiCzjZGIj!avnUgGEs7D?_VX(9n)Pr zCT{K(jI53IDFm8+rI2CehQA^3j;IQ|HP`uQ!DK3}`+79FU}TBLRQ7JH3VN?FOEtTJ zykS|s%J&0&b6PGwLF6O5wlfq{d{F9@s+>BW8YB3c1)KFs`Sk^h8gS-KUp7otC4a=p ztG=b}otDtZcY>Va{1 zvv~MbaUvrJ7#*`0egZJ~t6W6N8uW{^kVS5f821>)_cuA#&O;4k{`^PDE{P<(G*|$G_~Rfa*2Ab?-e2 z4X6cT&4Pbr6AH~&1`~M(xr(%pV~a+vjRv<7+_g|Jb~FSQaD1+Sc7J42G~6(KDz;hb zbww@K60BrdZlT$6r1bL56S3R-)Gbd*S6q!3JVI-e*`6eN_rrIS9AI)zex79B^K+rP zpF3^_wAtx4ugi;b145&T$$^RB68tn6_Gh)P1-9R}FiqpAqGZ*F6rzhfpaCOx>K1V9 zDcwtwY;187naY<+lCBU$_MW!h?CxbjSLVRXf+jP$7rGq$GIO=w-?$^(mFg{T_g{Mb zIvKbeJYr?noo((3#EK4N%`epL+=zMFo$M|%Ix9$4F2Bv>FvvsLLHuTNU+Ss}dIZSX3g}B}| zx23Gir_b)WeEMC{h03Md`kU+DVE5nM6 zNt(&C$c$E8U-iWkTkG3Ag)u45yox>$urd}+0RQ~cGYwL1B@Q^e;L0#k=tn!>ZPFRy zynSw)GH5V?Q4X(Q%247O=9v3_CTvCxI2NtrI7r*Q8+Vx$EoWn3BinGbh@}A6uQy=L z-fPq8%=pt!&DkVd^ipj0#|9H)J=c9(he^+kSSYEJ%jimBzaqIlra9tq*7xPM?cZ_a zAFpa*14>U4QASS^kBSM8<71=()maj~E^c}KB@$t4c0XCuQ1)vXUueoVZkEn0A$9Mm zqVwAkVU~z|vnaQcrps2yk&|ZpS(X}7GUW;pfP=(}5)Y)Sh$RXpXbB<`;#cK!z!^^{ z-cuGqLo(wWxZ%CeMxT4r7TdR#^cx5+;y6qlKy=7$6WkEy`Bbcj5-Ds1ZM?rw+q*QF zCtc-$w%VRWDp5MLzvm$5>`qlWpU5A1AMcIDuc}5y0KZeE;PN}l{SH&qJV4TrFfw0D z(OSq^c$~M8ev`Q639JBIOB$kJOz#8Ob)9leYCs`y);wRVwLnKh9B3cH_i@_aP1bs* z!?!f&*F;Iu&KR9$OYtpV4k8@3oLTQVec(eUptN54z6n_8=jZsbNOKm|L7cb8?Z!7ZXJ*ZKv;nq*TKYQ&C9FHwY3rd9($f5wK+kM^Geht0X@ zb&m|8Jy0U^D2cgL|7iGsA@_?gMEN11M6|TOy!4XYRO4_9Y2snp=++W*;V6pA;qJsk z49v!=$!>qUG`DShUkdXtcw*XFd=gkS`~BD~Fan)j(cAvZ0gj<*h~9^{63)y^{D`O# z%Z_CG*beNrqV>)F6*ck+f^n4yfiF0yYoxbHgE{05xZTPY`HBmgo?lP?W<)FvI>wZG z0b65HYbIs^iy5q@m^Us|{=7;+j)eBhG(`P!CG=t4R*xdvangtvl4|MC;f{_Rqy0H> zth;9XPV1i{mgf~ISzKUJO3=uIy?TCE=JE80qX^^8vTX#`JRbUQ)4^KD?zp1fuTpa& z%5xJ(X5-2HuG95ZA6W?n$QP3i66=;6&6Cu=B1|N72QTItY8&C zDy=gKV`4RI**Y%3qPDHc3_f9yHVxeV;K;`ugW&s)?-ykKNr2G%%uq6iRQni9?$Aq; zMv~7L(mI>&(os$BD(B6WbDg;6rtc+YaU+KOH6gCyyz1ovyOii*hoxTMX@>cS=g+@< zQO@YgVoKKZDtk!V81Uy<6{lleZBq^d7OMdW`ovQ@rhUukL8*|ui3`X6A6g6)lPoy$ zj$A1s4$eZqVwMx`Vs;e_B=R|;E^$Khs|U(yau-fDzV%sX-4*b9uqU^s^vIy%7d?ey z=KaKvligK%(yqyA0n~$w0_!G<$9Q1;-5|}MZapd2>7FbZc$yt}s00Z=pW>sZA|-{A z5)YG?5=Bpwp|PH`Ei(VQsMM-?#jHhavZvvxC27Idxe*mWw6}k6*pnRM9je3X3xTJ%xhxX_2kT5cZ>N(x+Tv0_S%>&0Muun-D@6$rMzO$-92x0M zkYTSNT8+0G3uS7WyiU+Ns3^$=aqY$0EbOv4ciZu9LvB^c?ERF>$`Z;$!I#cp4gxtt zCT^G(*SDfP=Y-~DwsrKp*2vSs&94XT6>UrebW$8Vq~UUT)mcM!ZFZeaNvkB_oUWo* z@Od#|VHQzB#@E)@vqqTl3sX(0DutHZiAuKmX(WldiSZt%o~q+r6!?Zy!fgiEmY!={ z(eR6H1Dc^(LpT?^(Rqd4vzg9ElLV}_X{WCu5Efp&opYRR=TaF@(5(pcw5c^vFcMLZ z5~8L3%nkF&sZuJyW0;$p3llp1=~?xa>Z>gM_tY&ZjJMCK*|t^=CMnfqt~Oi*?+DXt z^gYyfQty0p>0z3Q>UXR zDHd54gBv`VnF^dk-A#){#Scd8Vw_SN+66R(X=S^#B1gooD!+DW4!s^Vr(zq`;)?9A zz!^@Z8EPVmliLh1jdL+!ir-DzoRNSZV#rdBd4Q_ZeXPZ2cNgVLN1kJESBJG&3LI?B zOek3eFeq*I$n|U$f|G`=9Oilu{kc8rFU!3199wiT6LZT}c7&GRbaIE7Qn#p#V+g2- z>HY9WI2kx_R4u8||1X)$N@gY+CvlVYV(R!9xvkN}_xH)u4#j#lnD2_0>L0QR!hVKg zwiVgGrZRz|(jC?~=3yB-iFe*F`q=MVxU9cE{v(asmS{I@z5mgu=kcnnP)1oB#Jr5y zZRmK9$f;IlVPs_F;-W6aJV3rw-=?uFQeOPQ@zHxuw7W^{M-l#3O!IhSbYrGJbHg?n z$o_TGbHCP*`U9_MA?wyDGVsZ&rx_F%H!ezZO3k6XuCkJ5v#)M=SoN~>uRwsJ?7Qmb zO8*lTehj+g!G_?FvLAy!Vp-m4ofNEL(o0|`==l%du3~&JyDXy)2E6!y<~Z%I-R1p{ zdZ=NIU0;_eKs_VXnExRt1U|TM*K4ac**J;c zZ;@mflL-$TVg8q{KL*707Z2#qXyEM?e(DuTg+;Qr^kt_7kkM{!8 zf}FN=0WD%spsq>3x+GrKyv?z#p8MUA#DR<(CBP3|cw@NL7-ajd^cri__h>&*suic% znvH1JNBR$=W%vpLceM`Wgj0^)8GWc*35%^CSGuM($20YIJh}dO8RbRg2v12)6aJFy zNg)xBjTNOInFVId4GC^9@5=Hp!^4VLqZ-wq7g5!W{xTU&HqYLi^CO!*#UJOpZNq28 zBF6;uBlirf!IJnT@wxT$djU~jUm?InsmX3R4ePQp_Hr)1?owK{dvdBk&*S0BVWM8S za>73-xA+zKNd28McP6i)Sxh68=Wt^E+MzquSk>QFGtMi@ld}5YCGxv`!a_XRGW3;y@=T53= zjdekq-X=#n48a2!S3!?vxUSgs=jsy}gi^>0n4gRy?-yQyeb^reqgZ?!7 z$0B&-7P6Pu09Vd^a^37OXT}Zq#NO|m9`5cg>aNjr)-h`@RLS>-0U-XiQd-hHM{4(n zro7$86u>PgKQf0mI6?PY7boiYaQ&{DJcp`E#119>T6zE5`#83Rwh@9t!y+Q7-gzTj zQEy+`x7BwmonP7=d*ZT`v)nI*+=f~IvrvE@2@Ah|zL>ko^gNF9QGydESJ(0A#=B~t z79;)(%o6N%wXX617%RXP!bf^uU`uwX$7CciBUR~h7*M`^#F5$EShiN+Fb9mCJ>K4b z1vfvZYF^BW^B~J}oc`ykFoVWzpBfGgat?NzX%6Y<0>yJECHoWZ?(TK<_1$O;)A^6W zxazLIZHEvnusqbxMo%l`AJr%+*=M<;_%dsB?$Dt!MXBJYlbOj_w9q&oKR>^#x@%7> zjSfa<--e6tV&EUPOoBA|ar>_22aCvEuM+9vNikyPN8h;d_V_sj60Eo58JMeG6 zbGKn>Q^pT34o?hag(p7gI2L%Z`~i7MjAH6*W_txBa;mZ*M7@ZAg1*mKn@46Qcs`uL;j~+GH>q9%gP*8&R-A>Rmua`a@#vNQv zUhl}{b^NuL9wFEl-_{#4Zo19lPdeqk@7aY2Wj9UzE58HT)|veHG4`q+v22k1H*##i zbu2IUSs+S(;qmn)J2V?@NO#@8=z>SVW2+-j9&AZedTwpm|5{O8&D`4HsrB$uTDeZz zg`$CKAF)II*XeiH=^IJrXxC37whxj$He{Q-Xb19V4b03IPq?{z`GGS%oI_Vbgaws1 z?>|hdQZ&DBs%5VfyX|N5Bk-`i7_HWG_D^;<7Tz3Dr8RE5ArrUcBkLwy;H1A&?g=?@ z=;i3uX*0eTzqQ1el}kc0A?DD^PoeE5VAe9gm5e|E$;8rzum&jLV(52O$YSWr#IABN z2fV{oiHK!cW`ClF`!3DAfi{Hz{^@5?V~!DKK_Ax6hNJx*mqXCuhJwMquX@}48DAP{ zbjxmE+O>F)rQv z)ui(X>Qi~E@>)X>7&jUoH;|4m+Kl?WP^NNfbBJ6SGETStq1$t|tw6DqZDwBOd;k>k zX&ZEhK4q&$_B@&(TMi_||7zVCZy_s6&QHe7LXx@{@6#T3*=Pf3`I?nXdP6p7y&*q= za_+T%L+d-&j~yVRkyWR2gBPO6%sk~3oPk=ON_+|^?h#uAnx$Hy1^Mfbr|lENsxFcu zs(!WC34@9r>Rte6VgKO_uVCb>XEF1s&f7wVr7rxdpB#q^EsUAVP@v>i$GhFb!NGKODsOVTe%`Zr=TiOtjvH zO}arZBcb6#)EJZBpOst9Y$!CCe1_&QrHsx`2sZguhNGN2Fc$1#J2ZsZQtj6HmfX>M zy{zhMMtYyT{;JJj>*sWrSyna`W3C^&&Rc#&`FqLxRsgbEGJKr6}Heco9=xAHe)1l4D=#e?K7XRFPuceoc z@g}O_moEy>O9(U33U0A`J;dnr@TZs3WnmXRhVj0-h3E{*l!tH|-3}T$5r>(BeN~Z? zicHoTuOmdfEs1<#Z^2=oS{Zb_w^7psSjkgCjnLFg{oGou4?eTx`w30Gtwj+oGDXl0 zV2dy@yA}<@n*Td#V<6-d4AqMB z63a#g5kfN*KC$sr3O2R3U!C*DycWM@7R%*h!B_a!*{@|wtinkw^&;&vaTE%EhvI%U z;28al?3^z80);ORIf~p(NVwk&i*W7Ur}jP5?nb_vJ~`Me!}QDTN};V-poC>@??rYg zsN3OL2%`^&62xZqUdCH6+voN0ChIcwBeAskP^fdOn${LJ4KW_dU9{c&+BuU zPpqvOg97Zg&IRcrG8n?L-CO0b7iMO1?k6}W9Fo}*w-0}Pw@og1R-@G@I6MZ{Zq9Wn zq%}-zpN)Tk`W>*D^Iz8H=FjwCLz$m&83jm({CPPsXFsh`k%iA#dkt(}yRl!O#BAzL z9s#JjflV||SbV;l*~L0nPdLi&m1E;rD^np>Nfdg>mC!39t;sm6>Qy!jq3xWXa@C1w ztb8sa^_%9{#>`2c(Yal#ki*QgT$Tac98j{d7A)ciNr7X~*mH#inw_)}o!*8ZJQ~GU zr@censXfs>->Wqe%%hbIIW!@xPu4yQ`3;{ahQ|g^o=yU^b2rYgRws@p8k2C96Ue|c zya>HzNyGo^~m5JlY;5wS$%`gm%=TmT8+lH@^E3(Ow#v?1y5 z-VYSu7YytSe8<-$*ns*AZ+rBY74%)KEPfopy0#Uok^=QIUlpLu6E5% z;cie>eaaZvb1v7@q8NGu-^rzd`u)VDz^hJ4^@?kGcSY@v&_na%#_eDsc}B7|aX5Y^ z+IZGr^2f%Bk*Rl@pH~1ke4fpjlB*)b0&b8gC_|x;hU|_qDlDAT5Ary+IgH-9BTXm@ z+N5OcXPmtp#tq$F^K;B7jhV+qANbCHp+Ov9gG*0-|k_$Vg>V^i_e53WwuT=%n!5UwZ~QkOvWVt>>?%|s(RkX z1b#WP;N2G$W!pMYJ+W-@VH4MwL4Q=g_O$lIX<#T4cZFW<8IfQ8kJVq{cvLf>CXF>+ zvk`yZEQ0u_D5&wc=Hcsg)z#+K2Xm?C;-&bhucjxyFG`Ty2_*6oKK{&4!Fvacpe!(v zXjxX(PZAa9e_^DhM9~3Ub|mo7{}UFefMOAB@F?d*^|k!(63=6%9}&c z()w+@jPc>(nkJIukMJ_;9a)C#Ecf>;2Hrd-_IKoxMCk&*0#6ybHcOb|!~UVtJXy$i zzwn};9&9N6N)99c)#2Mi0UBFT`xRa{Q?@XMCO7L*_mnfByuthj&-m$cXdfjF4?g)T zA}uxD6jNOvN0JUX?~CHsX9(IjovCdvM?aKD#r6MB!Pu?iZ!iwaEfLYnDzSUmjU^W0TmHtF2`;uac>(G*ej!Y!Cqy!o;?*!SUmVhv>?{N-k8?#~_tWeYmUa{}q0({ww^B5cQ>M#0fBiLL*FYC2^K%@VGjD z?LYU<3)=(l8{y8fff!+7#;@1Bn$s+k={5osL7}JA=B~P1dpF$-j+>dvZ)T`wU2w<6 zCLM0|t`*PTTEux`YDo5f1r(H%Iv@ZGz!PD%mQ9uUXJi5lAYyYjAi~^8o|gWNx0x|2 zgJs6wny{Zpm|Omhx9Zq-TlPM+t!Ea)lr)QYtZXm5L$#K-(voSy!m*L$_< z8x1He_-jXSI(p@Ch6{?$>&r{4xW*ReDT}2)VXRkJ!qb^IA#t^7i>GRj7K}HbXqZF` zl#O&h6V|6(%_|?%pFd1=&T|MMA^l5b1RWUI6149==j(gC`t)%t$iv?kD0-)oS8sJ_ zTYT>T)PgEnT`|%d&s5dcg16`W?s`8hJs#gmJ5d$(frxK$mL7NS)7zR&GusZA+LHTq z%Z(Zgd6JO#Gq2Pi?`E}fb}c_! z4GYkMpBVZbzzp8;ypvb>8WU|>g_`o|%XWUTXEA9=4iGJ8S|U}AUtOLo?Zq-0t*BRh zR@5XWHc;V|g;{*O+V3+=t(5*72C-#sbIS+mv8C}oSUc~6U>;b_&`OVz1|6({lL_t&%+tR?08 z+|xJWw;R~AleN9h*w6SZe#S~lBVjv*QA;C|()Qs^^?AI=|C$I5sLM*6RQ1VY=$@ z-WPXu-qml*W90gNWa5yn6V6u8a8Y8843v}nT#Q!3y1_YvHyrNw=Chd@zlAc9;%W95 z*(2gpPl%fw3$R{HO;&ys^01YlOlVD!dtE-Y%aoVON}7!lgr^ehy>cK`!kUfpO;D*K z2svR77%EhV6H3#0h|6PHJB@Ta!yj`$pW%h3dW#^5ACDiW+Vje&exm|wrjPnYe+u_~ zNO3iMqHIp^@+yDitJYas(~D5GJu26YTv){Vv$;+gjW3&Qr3g1tl&zAMKNw#DM`Knr zNoUB#<^Wq@pSJKDN>5|1Ej&ep-!-GXvk)7BJ97KdbdcID6dr*B)%Gv0o7*pKt3OhY z_A-e4Zf~8V@q^7rBM%}psHSz@GZ?bLG+u09EcOX!83)4ztoT7%>p$^)hLBb zOw}lJXt6KGilt{_y~HS6?WG1}MRGrg)$R-)97Gxl56+Ks zoz;}e7(J}@ zdkWkI=mcbaX8i+J=eIBk%Gk{I%x*L-g6xBdV%^={U8o#F!A}qxKb9F3!|v8Ys<^ng zZsZ{wn8ML14n}I7$|@HY)kBb=9HtcfO8FcLadu^JJ{?unphy{jeI}gU#!YG~!C5K( z?&v9DXi}!{U;C0jSU)=hrph>VZ>y!@!S|#HZr$vw+3|Z3*XOT{SU-8m_iCXpu|dDp zY96lseA?HmDBprkwELYEO+)v-G~%1;erajTi6IlgfV!sP;QRc6g9%SK*rbm3AP7=Z zNu!p74emC>UCK~HR@N$>7^p^@Rl~klOuC9k0V6znJ@6vD{NeQ5nLTQT0;y&TaB`{0 z=i`fXhn;pLa9YEnZ++xjDK6j}EBc{d>{<6Tdd({JN{k4f770JWG%7^C%$~dqlQ3Lj z5(tZtE>pw+vk^shgSs{N3361(L&h%8dIoz=);4Gk7-=>5@hGzlc%hZR6pb>Wv>{Ws z2Jutw|08ds(O@PxSEg(hl(}hni*S)(gKiCELbTwMaULyCot@@=jje$Jv=@lfEsn3e z!4BFP0li)=G4ew%F!ByD`HWsBQE^_1E-;5QGT8)a@Ncpn|KfpC7TQg`xsY>*HVL4u z2>CvCUfN+l8}sBVUi;Ro*8SN!UcQ>b{(IWJ8IjXKk%u$Xtf-p6kN1%)I?Z$!(GmWl zh&{*A>8wTD2UOFjQGa-F|508qsZk0wDMR>i0UjSXOu{G6;qqrPP*e}dHJS+au*J!b zol<)=98k*m$aPqq)P|M4ex-L}0DAHP|92hF*=RxkVEpm>FAQobDi&oH>)Wd>-Dll= z-vp74Ga6%Q>&3(-Mr3H?S`O3<>UNIDovZdRwbHC$J}e&MQ?AV+`2~kbF(o=!lrXQf z7)17YLx~^WiMqMqbwZXkS-z&n}|yv$AG8$NkM>Zd_@ z=R1&d6Dh<6_i0(~5!2i-f}VxgYUXFR{PY}@@l{$+C=H_x<;MTT-CIXh6-9faASI2` zEhy5VbR(UIMmj|4kT^6*N=i2z`Tz%{q+5_sIu0F5%b}(FZP0tad*8Tky!XcV{`&sn zu=m<)uNiC3x#nK$H}z2@jZ||G0M` zxfpTts%$cy%x3%8WW}TmV4!xhd9b;$e8j&RXHo+;6A+72_r`7?IeBbs30LV3*s?!X z`xG{GY~=xa;k2uCs!m-Tgg6$WA#FFpIg&_b8eu68Ydj?xPZ^tLg{71j} zUnB<|hPrQL5YnyrG-Rd8VMtz;5#thec6K;US&e)vwaF|Mg95|9YsqU8EE6g-&ka8p z_b6_BoCcNA+6?3+jM;Z^3bI*y&ur--wX^HgfsF{Me=~Y=z&=j(7Y$P%{^8sVo2?UR zGLHGGSGV&+tzjL_(d*)k*D@{PZ$^4;m!*~;Li{R5@M9whalExCjj(PtOTfFe@$>Nw zh$}1D^2~b9<$fduQsZ;LBL9{7$b+I)fOAxJh z7NsChTzsWEckFSKt*Cu$`Is#5#f#Laq;=dXh`u=7n~_1^7ORI)Yletfg7TqRWD+P3 zd{xY}h8W+A_PnfLN~<3C6n$VhQzs|K^tykj<(HvE#d*d0X;d%11Y}EvkJlmHkmvNt z2IAFK_@Q6E6!ZNwpz13ouLIHZyfSG|wo_xIG*+9sD6MU53`ZX+*MP~ReB;tDOXjkw zo&2v3~m*Umktx)})0=; z3lUbsV}gd#!#XJlUvUt{r;m2yiGLl|5*oO9&y98XSM7t9lPx~4h;I5eVF;d3=>&`H zsGHYs)h}J#fF;Nc5aLl28Ydn1Aeun573=&e)n!X=jE|#*qp1SA0v1bZOFYqw45sHO zS=84%$&tvR+I9*whkv*&mpaEd?@H_19@JCi#^9NiUy)z{hcw~S9Ie#t)it&o6g+my zXcFQ*A1&BIzruasLexUO78Q^|hyPM1oxjV?=31>3@_S`5=VLU2~BC$>ioDvS}CufBE{V@!@47=SHSf z36dRI-{SWYNaQIAtYIYCF2B709N&!61{3=zN0p-1W{`wOlha|09rEZMNO~KkqsigP z$P+HPfQ&dx97Al$A6e%??l)0Cze-tal+y&N_ZUnjuJ38AAXHX3-1tas5TB*>QU?fS5#XO|GneGcM`_| zs}sC3I?VZOjsq_K9?p%a&YAAr-uk0jq5AS0t6y41Cpt8ukATKZ1PsPXwji}AUM~HT z)CidJv$bLI@4}&40W(}eF7}0I1!3BN*;CUbA*C2J9)7^BCT$ut3b>ss-(7rO6=ekz zMO9_xvovZg)q;SWQ9rMU3}CBgCz3@C(-<;kf$YnqdH)n--3Pq0mSw)@cI&^$QTU}s z{QUcl9KyC>yi%<{XL#b&>~L^{smsHzyhDVj#V?nR|7^PSid+Fs`MuO1{KHg+DGgF* zbVbW#Q62H^?!GkA1IxFDS4M&L@Q_4Y#JuB|9u>{1@d*^GSiiS8zk?}SJO8DUrdJXKbthtKh(%vir@y0T6ddiP(7C+cr8*cq!!_?iJG}? zR{pTFJ3y1_?w~c^>M}8l*6*l1rmas|+rFQEx}Pw{FkY&%>P4^*o_>4dW@+iieK@D? zmz^4{v@uq%biU=OTtk3n6X5!d=}7wRgx5P{V3U<=Y~Nl|cSd9?5ofi@yDAV_h%zbZ zVRa!ZwhgK9#C!DT^kCh^Kkohc>nh0~W$kc7w7p37h~Hfn`&y-FSaCf0^6erYEZy^R z%qPF0JNT5Wp3LWgNFI+8V!#k8NEcuf!wfD9kfQ_kSedikaD9P8!^{i$TT*f@* z4$d`fWmi}Zd=LPWplo1*J*}2svxeTdU+29(fL6IonRD$(caYIJrBNsk%j|>!s`G5OIk?P82M6erdWG#rG{V{iP89jp= zGn5OUfHH&m?!k%P0Iztw<0d@f{C;HD=QrMBmLg=AWLKPJU&>b%R(+3IL_nyxkoZuE zLc08Nbo07?Lq>7Iy5DoeSPoVl;1B2Z{m+3YeytEHI`S%_ci&zbxJ}e@N1<7teAN+l5=xK;U>`mJY15nw zR|yw@p+G=)xJcK%D6*mL0JBFlEbBZHVug%bJPww5^GTr&6cXh^MFP<)!N(#RWD=fJ5xyWoMduTqgR&CcR({OB8*? z0Li^TpP~P2bC3KK2dE2xf<$?b=tK^jvb=x%zuf}HoBM4fQeXZdUG>Ai1Co$Hq>C*+ zD{!EDbevHDKYv0w_rdPNlEOj2%h%i?lp&lVoOEyT{&md-9l8>J1XKD=zz8PO_SDC$ z*=}1@d6+a{$>RAgcOPt~fnIz3ru4>L)U(Hs;||8RNz$%zZYR15eVajW*y(M1AN{az zJ$?^a*4L5X6q;ms^6flsbki4t@0Ppzpgj({(u`rg*B=Z+avW|i?eb*v1A6TEu-%QC z+mor@o}c`gRkihEL$`QIE*;xDJ4X>%lb-E3C}(gW9j$j!VSNJMc)$Hk;(PHl8yMr3 z%GGCfPk6V;IOkDAc_hhcLL^?`ioh5y|5)IM{cp&}y4D@x!7JjX3hHkLg|)wFv|;O zPKz!n+id}}t)Fvtab4|be(*`MVk#)fvp1-Bx0k~XH$9Znx+B5mw{$eGL1%?5nqTqW zJ#j;J2OLm%*=0M|3;t(nZFXy?5e|u0DYPlaQ_4e)H@oYC@K14a47+EKUZN)obvu2A zgT-8|;sq9Q%ba8iM@c1%iz>hRds|1_ zSo*6^xYKsIHER_c>U#vwoIewtCRQ^=dbF~NKDGSZT3U9~&~&sDkCjA*QEPyi)GlX5 zOmQ%6#X~@aMm<6GZ3(Hk`z7h(n}>^Un1yPI{_!mlzNm`&X;P~#gG%BsQA_=nCw6M zVp;9D*}wsx(cPZ0bJ3Qmrat6;{(+)JvVPDqLsxav%=$QV0sujJHg|?K{qiKx0an0a z1F)*4Qz%Y1SmwQT;?-W;EbnPXtjhuag67cD?vSa+s=7KQ`H4B*GX_2-YAV=@3t+B~ zy#i$YdrmF`lBkbk3Pzo%7RX4|dJrUJkgcW!{c6BG2kFHL88S&hF&LOHnA#fDK94q> zdQ~)O$}q^#NkyKZiq4}lJeI*d=5XGYuanMel!<9) z25NDX?5Q8MYy{{&n#;CGhKGW4)JfeN1Jvc2d-+_ZoaybDw!R>7a~D3?6wA|&dsXs5 zYxETxwqKYm6QLIBr==aa=TsG@kKQvLFzF7Cm2opltJ)Dzpg_BXUf3KjmI&18qExO*<*mm>;)*IWgFB)o@^xkS9uevrE5YXvKYl%`q*<-oLb>f?G`Mua^?jSM{@z!i{IMIg zVS!Kd`pm6Kr;f!*)PUy~xC~7ak2r~>1^`zy-yW0PWL#0?i!Tt3lJ6qkxDaDx?PNsy zDb9Q_dB60)e{_lSE^Nwx=f>x?AoMl8Uu!y1urou(qHU7;*WS0E`w&i!?wzR6LqQa$ zH?vYkQt!HaJEs$?pD95cQr<+Aq(6^N{jr!Kx<86mtI07HXCZ7q_GC3PN5`n zLZ%7qj$r{y1zB~dWecbyIx*#RrGpf$sRPd?4r_>BA@*}eKOBc@lko~kl%Qyk4%w_m zmk8TDStaO@L9E`QVZK@|FtgFEStU8$xA{!o94i*E1aqSXHD@cN;fanbLDCL$HAux+ zto_)63xL?7K|D!FS961?iuY6#-w_S!Vgz;Z;I+CMIgV~^s2U5*8p?IKL5m#qOcWA+ zC~q|0+bjUnWC62>=HXxz?@g)es&|hN%8>Ia`Q%?Uuzd;W^%08^>%-7zKMLSReSp4o zR`D*eZZ<=IRX1_=s5tjj85~fq91|uji;^(yE6FQV7Yi7w7cdc1aohr^%Ek3*wGkiD$+Zy!!H%ud@giZ}KUf7sc=Ew4;5>~6x&6u} zX-i%kIV4+FQ8v0K(e!-uhtDxO2wbOq>XrtUZLkEDRzTA$4#P0MwaBTVZamOlVh0Xwu7p9iy&RCfoS?t2ku?Yz{PnJ{xJZ}PV#d!ai^b{o7%nPpW_Q+5ir|vq z$C-`sukg!{&u4WAZI>32ZG1wnNCEBEHOJ1*3c<@5)d0o`{@9&GZB7%Q>%%q_$zzhmtY^;sU*Ybrp*LX9*m zg;`p!stCrOCN=?xGm#JU5nXGu9SRG3jLVN-VF~T_g*<)^l{>E2?}f-g`+)M=q-5Tf zr6}mLy(heptD6G(o|_B}-7kW41N&c-JEyiNm!R-bT}S=x~K>p^zj75Vn_0y%=v)|~>&bvtqg(1z21YfN#vuTAgH z@uEw$X0}xIcw44E{s*?;qX$q90K+cNKUt`{XvY9SWt)AC^(z~jZVC9r#v;>+_xJW! ze*iDOH8V923|qSc4R;1|HsD%Y26|DDtmEAi_VXxxZrg zub= zy7|vHMgMb6L>{nGIztVmGbD}Spc4x(gm-+A9yV_ELW9}f%t|-!e;K(^S(r#K65Kv7 zJ5-iK6wsp3c^eoQoFzVSxc2%Ed(j#OjG77D#VCMNwVxA#40Lk?42GBD(^w1x58q8w zRdaKsKIXBc!LFD5!tAX699NAZ^vkIOKCVyRC!qfE`|VRsu>H3!U{&*#9z20(uSmT~ z9@q49IO6ui30Q1k23sjoAK4vqmR??7sx{-c`fr$!2?cdOA9gDbAz~LhDcGpu59GP!(7tdLcY5J5gxtsU;`4)hUzlew4)kv3!wS zJaIf8Qzzo}r;9s3$|feX`@fA<-)8#)I8+$ocESn}sJH}-aA=4P7pSU%wYgn@wWhjkn&%sT(xMcvS&=#0y{~SSEaddcc zg9HWjWHlD4BhWwHshckzv|I>2BfkeMxxy*plA10qFZ%i-YJRr)HrLe^IG@e*wm}HU))%v#-*<27Oqkb(^%6yM4|-_ zMg?X$vuOLqI;%sRVcU!i9|q9U&!?f~6=iCirq3+&jQ+fNNuceP*rZd<>ty*rI8*ug zgf(Um9M>vkKH9KSTpNoMdxmmGnm!;LPP8kIyxyLg-5?y-mO06o9qX41hddzDhiYtI zpD*w?vxq}U{S|~sky?=bpOFHf)&RiPe6OqlYpDcF3)`oQ>W(=Wa||W` z7-R4++*gPI(b)G=j5&qMEhf>2b5 zUT!jT$eG&@C%f063H@~&^n5@XRf`B)BoL@p3YOWy?MYS;r|ou-P{k5hoG-9dy8VP70+}jcj2RH zCBZ95_K9mThjS{I12+c5vcL&K%$~LRSZe~4={njB;%@dhs(J9cPbpa|PZmP(CkQ3! zxfPw{d_{abx_Au|EwqjI*38_ag@e?YzF0}P#v#%4NLwk-r|riI^}lJY@4Vs2K`lN` z&6|9ot-SLhrRD`tU@wuWn9>cF@V(b0yS-8bn2Dw27{k1(?l8*l9F0_hrEu^OKE-o) zZ=nJ7Kg#Qh=r3IAo$38({;L4*T?9&!5QCkzZM#d-XBsi_L#yXBF&hr+M`#(&2~Fi# zmscSxy7fnD$BBjv2{V*>-V$sC$B&={?(bw1wNS;4jpPvX7Sb<^hsaW!5+!SEmCHVJ zlCYMO5|Tb%QzQ@ZTbg;06)KTcOu!2O^3K<`W5eCqux8#Yr9RR-eo5+sWU{cW1tddf z_C>t(2a|oevz|Q;&sBi1b+D?c!YD^LChX*f=)vcgo?sfqcjvQvW<7ZQ-n~^ksdO%YM-5H-aTW##E9i)bJNkH)qUSKN|mu%Lh{h=@TGy&x- zqN{H-5UdcbQ83CMC5VfyNXQ0RR9J>GgBe#hnZYQt&=sEO=uC1wNO@f9=B+Qa1&&s3 zw4a0m&wo=Oz-d7G0-NxM)wN+I!59q0vcWgbFq|NSI)bVcP zHZ_%4f?19iUY(;x{~$^U72lpnaTW9R55vJQxZqG&B})}31mY(EQGULY!R6;o^~i!| z5FXvf|3;sr_|VC4o4EiFcRD|--nM*HK%;xClJ2p+r6q>ax93dV)Zugr8&liQz({>q zakjPk(+I%I`kTcynY#a2`M&u*m1s}DP`GD}t5m_hkTKNdqS`u2QjsiVWfejR`1t@0 zQUIAv{nr2#FGt6s{H+=*l(3uCojVj1s|Y2@Vn1R5gUknE>Y`?vFeT>sZi4UVZFx`n zjEGE*hDFr{SvM)d=^iN3ITOD4R_1}xK(gDneM0_1=_0=dLH;Q}pajtnpiifkKiadM z47dzb2Jl&?uL!G-sjNT#cduO9$M%R0qIrp*Du(i5Mh#`%dHQ7**fzn`UngPXF9pz_ zfRT6a>{#4^(yJS+BZl^eRQT2B^}qniAqt){|MB!;()ItB<;pT`INUFBEEvYljIOm z0K{1u_n$IG!nK8KLK(m=svCXKFSi0xNPjTnB4c_8!=-mE${UPDwxWix0r=%S^nVc} zGkAUDeL?gU?fZ3&P*krLpfl75&~j1$lX93ky&c##cCxsmpn5GTbUFw+@;QT&n~DM6 z_bzu=t^#}N>s+Tnx?e>b`CW64%yal4k=^RBF*+bRP92g=Tt>gStCB7=fTd9%3A z&adoz016a<{S<5<|8<#T9{7cfjY;yD?b*EK=ipibXKXW*r;z|M6kR9FXmd0FqTCY$ zwl=3UM$hF1jMMH`i}BXm)ksTA%b{lp3y{w%S094k|A9ER9YVNEH>Sp+zSLA?XSozW zKxwBzl_(@G@x$$Au}Zc%pZ?qEF4L(nPTyU?24wE>FmE19 zo(k@AKYcc@1|SZ8n7i5I!zsJ;>;*P?&(iE4tQ0{YzOTrDn$e>1pss&U0m#zyOaNM8 z=Th_3R>yJ+z0JtwSkT@M_U`T=OO7SR4G@yw=kUKv1D)<~4(}YGWYjeVIlzR;xP*NE z#;pLd``z7<>lPGMl!alViZC6-FVpWG!|gYxQ%iFM`CCA{04c-(vhRJ2jSqOhSL8<7 z8lp%D*f3$?5R_8il7l{Eu4@ z6g!{uA78Rf31SFy7i>r?NNY8rlneu)Mq0@*>c6c5eih&mlhCqVoZp&lj*iv4sD!E` z@Z9|6JhrE)Pdwh*ra_K;noep8A)iG{%}4};V^@H326t2TD;;><|Hc){VYQJK#-+H> zfuJ>e-Bb9Y2`+7`y{q*nJX<%>Ue;8?5>R#xrn3B#i*-g{QLSN#b&=I{3R&|lRPJzMB?Y-J=B#KP;9i6xxo zy~z5uz)2f#!(C!XQKy0xIgbKysBnL8yBU^iOt!ocyker?wP>9C@T>@^Yr>_0q83` zPSqpa-_s60t1HR9WS~I&8kM&{Sim2gILY0PzJJMI*LN||`xe&yCbe7O)EsYieIlbZ z08ydM#^MJ}A4RX-vF7HLJE*-qN;~RQ#Wpt}R(b4*yk_u@ZR&s#?7aO(jEh5DRj{dh z$)gp|ww;^%_lMLL6T}D?{d@JZ)cp17*KCpW#W!3nB}+A}>5Re3$p2K4S&5oPdAR5> z<dNWtR(N!NMM9}jZy=Ux@Lb3B#}&W$-rdw9Tvm9RUHzs$-(L#zGg zHDcHLqCr>M5Ujo+bA#H|jjeNC@jU~M0zoVY9JhCUku_BdA=`o{Ko;oz;}VMZYv=8d z#Xh$u3#Qbe=6DuYVpD~qj)6*D-Dv{BOQU}*D`W*G_G&C$o1xjvAA zo_8ihXBLxA(_dqQ(9ZJu6zrp4rc*JM@GFDgaa$0v5sFWHbGAWyy>J6;b-PJ1Ff!o9 zS$UWNEb{}b6(NS#BRGb=1e9y9-%}O`CYiZCW+XgU@-$qAbX;>_I=~VJ1{!g0{+K=V zc7Efwd>~MR+_&nWFz26&=@u+BbEv1MLh;Ut@9#7`8oSFH+yJD zQ}>q4*5~y%YjbPBzO9q;zFq1Zp==Pwxrd^i%eLmML+xd4fvp>Qw>rD;^PO0s8Ws$+ z%Dk}O1r-8dAqLB3ZCr~ms$^bNXFp;=T>X?1Zl zVS{Ca|+k_u}v!v0$;Zni|lgvJd!RQPoSy>PBfYe7Ei@Z zeXQ$fs?`jeqs}6D=?l0jkHb02u(aoGCI}tla*5ktj*18!osV&4rv`Fk;q&VNuS`>f zF5Ts!c!7^%EvN*MYbk2r6DDw5RogeN=St^5?K^@}0GYQ@a}-1uJ@bTwA^0p9z@-k; ztDj3c82Tx^w}@N69@_-$%r_(9-vgv0iu_8>;=>b*(4}e7Pa32=_ok38MTn_)IjDPO zx04jPHX?mT=kj|$i)j==7NGe4lljX>iA|NJr}Y1k`}$ul@vgql|LiS8;b|xr z_oXao)DWEyf%X41bm#^Mih>F9LjHO@2?Ze4a_@fMKKoB!upK7`<#;#{o^93QK=$#G z1nXs5%L_^Q#Q)5_sf4aE_6v+DWOqLG;0p62@}sb=p9Ln*j@G6uW;ODW%)@iHe~lkT z!Uwk*{pgKb3a4=Rm12@*!!TUY(6)xR{1mlmbx8e;g%l0C|ZSdFzjZCbK5EBB>TF`f~$) z+wUEHx*M^#d1c3u4}}rKW6YK}m_RX!+L^IWj|)cx#aNK5zaI#(N`40_t^CcI-p?XO z0|cOQS8F0Qs5&T51J#MjiMYP1M$ys4XA40}#493iJjp4#dR|IZqa-|%A$r0BIB(yA z6?X7%0!^LQ94GS%Q(Wo6Y-=3rz=_hKuNNmIUSAB`w1+YWm20R9E1!~|0VYM0Ms_}_m=3f8Mc8` zfbyaq+@LtdT_L`ec zS=+Ui4;s8}j+|pTNEGwP9Oj<6Zf=+fKDu~D@>%PIAC-!tQK9gT+O$oofk z?w+t)cx7?Xtv0XTZcnz`Qrw~dN67K;$f;h=$9CjO1>+G5N&i;Mm5Rlax%(4>_eh9n zQ>{QM4v)bn)Snv5?cp>uc$lC-s>3hG^kX3P1B>s!e!ahys^8o4$>{GEE=!@M-8(v$ z==0q3zTKBxQh;|qQm@CFFXm=HW$aWhLhNHiCD2<=Ecqb8{0H+ixX+#bG*-|A;zIMB3*m}`t8I+Vwp^$AE5N`+KR^MN!NMw< z(<^DuSazLEuR@q)xgz|kxdCHbwy(cq^Q&+P#Zi`<&tZXym%d_IQA;n^!b4MH0II0i|T8fODHF`Pc{r7h^T&c*J=b*I}MKquxa~ZM(sJBaM^u;Vo$b%BJve zH+MCw!$MU--tT z1!LUmz)5}3BF4G=wBNdMz@q1Kj^0q>=q0R`y0==iWn+E=gV^+}pR)^BcJEnZKolL1^MPXA&vv@@W#srN&nB6q{+ z-0gryS-hyyXrx1W%~~I{wPlnBoN0WUIa*u5k(j=lk?B#7luW5kDkr_b@;-lPOZ6xZ zFD1t=zJ0xDW1eOQwKJwhiST zFCg9c>KI55LyA%I!i!Omf+gX|qtfte_m8=jt}#tP9ly|{oz>5RkagclQxm92FML?} zm5tImR$0v>L+H3HQtpX2DZcyKSm!}#Nks`oYk&)K7)KsnSYd;-(Q+Q#tKYYRR(#@$$E2ng=ovEzxc&XTK(I%&hgmBH1usg$rc z|D2c|23}ozEpLe>(r3K8Udd#PR?NQ{o~m95`R^7_u4htC3+|=|jlVLlSYkU{H?~3y3UJSE-b!Bv6%-;eqdi~V1stWZg&l@-K z28&_V>Qk2s+?N-jEjvUWzjlWmZeEEA=0%2^zukLxy~JZKT=wg_b@Jl`K*;X0XsQht zpmUmf$x!jC#xzjT0Dth-A`fH-&O zt<{VEsOvyAnBT?dy&w99DrO@&JE+)=`lEgNj?6!{pB?u*mJm_70Iz zgt5!_&V0!odlk|E!2Gy8K1e>yn+>)1buC%IZ*C&1|IOrk?C-1vb~meDg7f2;GC#WG z3==|&`o`Z$WA(F8W`#E>ZJJcdK^87(8|~WW{u#IQqDg22;KI<1Xz}27xZ59U-92uu zVOQ?zv>%K8=rra%ZW=y@Y+}qOsuv~AH%>okt|zX5w`g@;co2a%Opw{`RU}mg5@Q{|xaTwtUxc&ufB7Z{;Xbuj(dNE;C#ZKsZSCE= zS>V)F!!Z<^v5;T>;b~G5&4_t!UBaZFlDOReS6d5^DT>(6g@B6shx?nJs< z!|c zSGnrv&NaT%Iu2;N0`|hy>MTiPT?bR6)VCaqLDn^A4aFAKa)MKrYc+-z+~ztXY?gf^ zo;t{O%QG|+Iaz5Z@AE%u+G`i++?!rs zxam_`Yhfcg-wvbNC$?rxG|-I4VZ~svlpngKJDZ9o%=K-OrKf&~%@&Z|QBesg{G9G0 z%&q06<2+{j+Y@m4fcYP0wj*`rQujndorMGlt-)t%UcF;Ule7o>K_syL# zataqR4E$2|=ZiD$mdF6VI6q3L>rWLmB-X%tV`iWOh~Osd;uN8Tiym~*?DZ>l*Y*fu zfE4x!AnAFYgC5w=m4cQsQVh+XLHge8AtOoBA^ffA|C&KJSUz>fwFY3fP|X=<37B05?c}KhFcX5Y|7wUTHfmtTkhA`QYjgxRV-n$K2WzgY~QG>OtyO z!-c^{Y@{wU;9VnwGDbW0gRL(6#}e)&i|p0;4)2B33>#I`ZTD2f`FkwH`Bp>@w;v!m zy#j{lDy9Bamnd;POqSZQk0RVYn)*KgY1*BYt; zTh;$^-e^tpn+EK1>e7@So&<>yr5e@{MMRUZwKFvf>r?7nR_=(WQi7Wt{A_GqO<3HR zEuIjiyKu*iyr{|X)&%VO(19!lSFfAg0yabkKQ%^Yl74(0H1}?HI8%TfNgCiN+X$|V zk!?HlgNn{^%w^%AayTdyn&5aso@Rg>%0#xz!BL)gyH4vz0SXnR1226Qgo1=w97t*v z&q0EE2pfExp^t>0%)IQjSq|-7BoS|J9}!`N#2W#{!?#yoYLI&WpjCpnf}9yXz0e`? ziX;N%<&}=-KQ0);q4l9kJ-IMm054$9S&KW`${58nWsy#YK+A8-X;Jshe)TpQy4r0$ zna&a6Gvs&sj~5^zk>F@xm@>{NK!A!*_N9x{j%Vs=f`1ll%ilVlc@~>|QBIklex4??HE0vVD8x#ozn^BQvd&x@sfWW?{cDz)d?Y;vt(2|=*OcJ_wK zT;t}$2JhDS;)IZNIpnGRA#drxN(Da6Y-RdxL`Xj zOc+4bdYt(q3JNN9H3q*ldP7lG3?^-1Li$R7e_s=JnXEjILowkq*&-1i4zbZk#Bao~ z=v{hxpIMU$@1V}w2@nA6uEn??6Gy~pH+E~nw1Vt z?z8jCKI`GP0Cm{b%{aRQ32{~+=2KK8UGN=+ddM*0KManwm%Q5Ov=}8o5z^B2q5h%$ zL$#y}6EF^3=gXz#*>tjJ?*sOwfi&h76@(ljg!Y~kncEJ3hH zjVA3sD+QoZCbH}8w%rn@^$|W~Bpr%7(QT(ReU0wPBfj#X_#Us9*P!=#u`GEnQ6;p; z@0(NRZ!K;p$9AkD;yL{h&_T!Q;d!491Do?uurNAOCCi;C-?YQYRVaYjmVMmXwAFO& zew}mh?Q4vOR%Nlz9@B5WD-pwTxWW=q)M>X;gAx)_>BBpgpD00|m8mhocc--CW*evG zA>wXP!eA5k(Cm**Z&6ich}qebSblPtXdnRYZsqX44tCNgvLd!QcF^{MnM8~;0UA&e z1ZY<{kl~WCfcD&6`fchkf8gD-6R5=qSO`b7+UzQV+NOl;i1{PSx6;8X{O8jQP>0je zaveu8$Wgr~bm;V*Q&L&P@}r3A>lVoSUL+(Pv^$o3NoXRMb&m#A)PL=c!uUA&CPHKl z;~6Ouk}li*OuC;A<^NBb3|vQw#roeiF@Wx3{XrMQnu(_k_&-iN`~k9m46}h}(Ly9& zthErfqBHt}TnXc$4sji9ajTaEC&1KTTV+gXqC#4A?ht+q18fO!Nr$w+VMZN@_zH&P z5IsPzrPvzv+e}Ew_!=8e!RCM&pFo2d0F%BS19lkK^g^EcDM zGX?9h!h{v4d>Nn|Yc!k!sD3YFg=k@vfFwS1*ggeAUPEd=S~S9$TdpRqK5g-LCSz|O zJdk=m@?PbSxp$!b6L1@vhUE`TNnkW7%FuG~2+IQ74`rT=8C1Q_7gN<}Rbat#NgIPEzwqnZlQRn6tJSlusxNP1 z+l;~AK8L}tNnt1rROAW^zszHsDK0-ff!=!O;S|bV651 zjeKiLfa6kw;-W>Ka>{Q-p0e9SDJ~4aI*o!Gm69f3DXA++_q+EMzTg|uO-$~u??2bn zQXmtvvxl+UlUX|dUJOqnj?L)u$THbqej0J3RpA0B<&LP|f?*{@>3lsL^^fnoY1d zAd_1$h(1uCewk%iLPEkX^AknT2gV)-c}O58>!C97EOm*rT0)aTUUsmM0*gg-454*#JErs23~7NW)pg2eoA>TcTXg z-`E?79z&pe6x?d65YWv2ikkVc`%$fjjocya`CF1F;92v7ls8M_A&eiy)5NNuW25C= zmRER<&~X?%pQlB$zS@6zuSBvMQ`3&95#YxOX0quoPDC4ny$9{7>mI0j%%x}oHY*8I z5JG6~VmUfhO3MfiP#sEVnU~Q*L{*)cXS^gt_`aps@&>CAH_x0Lx{Vxedp~n)2v;+n-i(Zj8f5sBe;qrK}x-8o( zxv=^bkX~!Ifi0Gn$PA~-ZiXk3Uu0Ee3*)=<0Ae+Ick?uRfW83q;_}s3AWPm&B|mE{ z>J?aXDlrfpGg$O)_VQ3FQ2K5jMiqbpi3-p-cgLy=t2h(DL0PYz)77#wCz>aKpindM zFliWx@rktbFcIRo_(XfG9?H zRc2%18!9a0Ll!p;Sv@tH$vhjvEom?D+mB-sF~Xiw&~`+X=69!KG|}XRBVo z7S7-Xk~KOb6R|D+&7qMu1XNcFA0GFrPEU%ax)M&!)LnMvuK&haHw}q>46cqz5a552 z-4Xh#;c$h^?`;E{?Fzotk>^{T9xs`#xaxTdp9CYgjEQK1aiLJ2SCts9s|*x$Y1ZtQ zU%oRLlx!^Y8Y6XdKy?S+F`ISGn(bhwPCB|W>J!eh^pymB-G1rvc~}rgw)njx0*`sl zk3PA8Iji9mdo~R>U$nnHGwD}^UK?E6?rVR_Ez;ajkc;u!nQv*g{$l3J^%DAs-yEKH zFdiDQOV0q5`ts7Z`8H5}U2NYzkuR|~={N~al*GiRo`VqvVK<0(?<_5h2T1g|PlTvz2o zWA3X7ueP$;7lS&@#^Zk1%V|GlH^p|+JJasSLRK%5AIC)$rIk47^}m^AtCWG13|srU zUY#>4z>&|BjNl4;+7*7S<+qYHHDgeXLy#uEf#{c`;MXWbQ<1Z1N;*M1Ez`{X_gn!@67 z$WEWDc`=^nu6q`N_Q1J-4=LcO*wHY(k$D9|H!Nfqm`diVKt4Nds`4<-cYGjYl5 z(u;KE$;e}C)3yv2TKlb{x}^75WYnL3j_s$sZ!Jbn5(Sx@y8>w0gC;*45J`wLm@W%U z=2!(@hBjH8@uw!UPHZFFOahMVnQRRYr&08R|291wtMi;jSk{;TXe*GVTcP&nwEdHi zS@Y+F_5&}qxaO)^JFa*YS}yi3KtXSLEJ$3wp~cC-(_B_6es9rc-f+xiqxYI@{QhCO zs7Uh}**-}5vaiTM0*+>pb?Ym9taUZq#x`0vevt{JF;-tss&7;a=Hclokjoggu{}pz z$pMU(fwOy)eEstXjI4f&0<X(C~NiX0_Q^%T+iXhrhxWa_;cFBO-Q!>#) z3lD&Evw2fB6+Z&oQ~M?1+9JdQtM9^U?4*5*BsUGck1^?<^J zzN!L=m#>)W7-x4$Z~?miV>)5ZFCIs>Y+E1b%n!#!x=SnhXMc%ok}TO5-lPG0JD$2a z_@E$FngZM^QqJG?7MgpQa9))A+`vH}4iR!GQ)|_qh_e*S$t*ZsJ+~bn@Nwme*kd!{ z;1qqs8vq35e!Hp774Cx&jZKN@I|hqHHT`fFxN^3dwM#CJ1OW?ohpR8@94VUXf}vI~ zU0Q^(3Bd-c^?AUe>7>STb1*>$ZmnExxM9MNge?WgqfZ8|Qa8`0P6}G-cB|6mnFH)m zt!?cXH2$UPcx3dH8&qS|OvvN3VnG9@WypDQvz><0G~Be*yd?v%8j3K{r)+)QdNJ6P zr-bae-u&g(uiY=-_}oMW1%YJb^oN9^o>J(^?0CcYNS4*~xx?H2Jqhj?{9`v|)Ks5< z{F6-#x}B(<%xi$a)1Swd=T@J;;fdk!IoK1$Sewr(eq_~T`b}me)aEc=DAbq=>HWWG z`^)IKVx?^mjT197#mq6ZnH@7TGcz+|%*@O&Gcz+o%*@OTF;6?^%*^}Ey|dQLy6g52 z@4dC9s#58ZRNbyB;nMg;%~7dCe^Da_BBsJ}4i{_`xggdov5z+RxvlG#!P5d5+YsnG z+JjS-B^ThB<*0};;W4pYl;gcnxj2~YUB~*&H50TWLJW$sTqrBY_oKePB6fE3Cl{Au zv17K&5JdTMp7rB>rFU96Hg1GudaB-Gdu$#rquEbWGl7?e3LFL#r7A_{C~jJ*@x6F! z_N)Xm{75U+^*nwFH1mZC$v>@e#*!6FB}$px^Zoji(G7Zoi$V>u@ebfIV8Ce*A1sHV z(xrxglLxRqeWU?yQMvEaYLuiMinyz^jT6@=1zT+F^%aKDw<&qhIvYlYk1m4IvnRKB4iuf;(1ld8O8j~uaq zIczP}zUO&0@MW9~O^7@*t#Q6IC&sDfs7^urj<@f|$MPc_R$q8;JsetWi*3(J2o{W#J!{A!Sde$sV%`WLvVkHp;yW)4n!u0*fATs~SXTKteJf(s05 zbb=|maH;%0t(GV*)btzfK2CTF2bpnBqd;D4R$+aaTYgo<0O$-Ebf4~YF6TLzPE!-N zQWc?iL}q<8UXnI2*S4LNXB$1UUXT9^?4Kr_=fvKGwe%cZU5mp^*Q`+&=aGbr{Kb+P z)hQs;9@9>6a5rD-RN9mk*fYpa>T7Q8i(Dn?^I~PvD4k)*PVN*KQOz)(6Z_cb4e4opr81dlt?eFsE622_7jwQrOdIAW`)4g*;y-z1c)jbzEH~RDS z*X8GXETPPe%>8>5JV49m!thaP6ISWgq}Cy?^$;OVLF*MR`Q>vz-~rk_)hixtMKM4h zeTf43U1x*8cFkv4nX^_)TV!fmc6|JUHmi-f@riut-)d84 z8khIxT6>p*7u~?%z&VeSpyewKpjQ+L1JJo?Fu_+`>*5qAsU$HdwKGkc1UWLu1|#ZM zjRk5a&0izrS1Z<#x%KxO=e!Lu&JQU(6<%6B4-xa#O?@J=(ZEkWGPSIAsR)coM%(BY zuXbP!a85*mbv)P*&Lw@J2bB{8lS4a~{jtKdMFfV(z@R{eLRj?^$MnG@Rcf*}XapFb z55J?TDPHC2eF}NJ7_~s%;07?jOSs+MMhN{kZkf$$g_`p|{$7oQweQI2dSdDrV@&1p zqlwHLIx0Sz$vazodx{|M$buK>aQEGSS(({L;vIw;EREANy!-|QF$()|ZzNdV!g9mi z0CFY4)+_lfM{s{2C@NKRBZ%IZ#Xc~hcMVI*UnO}ZV{>SW>S=A(F2(f$%~wYxp>Aaq-E?NUvg_7!~jGYpm%-5 zkG`1Jv{36PZCI5afWe|{F(dRjjALH9B)!{9t4)dAj2YImV60JOG zY|jitzsCgPZRPF+7GZGk#pR*Tz109@iBJclc_}UP`O5P+pOe7&B9|Gn@o@==r)>F>CA5`(hVV z70!RmoCm~~nvjx`Wk6trBZOzRJ{6r%kg@2?ub1j$ptFt#<;BS|kcI)XTIR#6xh0_% z_)C=S)}yIN`wr#QPh5Gw)z9VprTpvPuBn2sb0c|GCNUf!0E{q|!~%L@`fgLWnX8Yv zBu$r(MUTc%Fqx`CREAKZ;uU+-EP$5v=&M2~ilnj0V(X?%;nlzgH|}5TCwwp|7Vl(- z?HG7(9$XyGY3UN=VsXnuAo=C+S;i1X#k6E0`SKN;Ld0fllaD4yqRwA4h%)-tTRP5gd48 zLbzDe-hHjatxX!pnoB0z)?(pcuY*=!xT9OMtNo0IRuW(Ii|FX|YH&*>IR+|NUSv3h zLAJ!-Rd>0@36?gkgMrHWiv*v)uuD+QFz@R5k+0|gs~gQ1Al{`ElI6{J=j)5+Mjj^D zNS&f=lpLZ5ycc18N;KZhv9PD;zK<9pf6viCW?A7&fL^%+@3FIZHT#1|&xmgX+sEs9 zu6VJsQg;u#YR7WAl=JgKJPqg-Csishxg01G!4r=WU))Z-Jy};Bm3cWhf><)PaRd0# z*nL!8cq6dyGJsa1LB*Ms#+@g+KH7nn3Mr5Bv6zI&4;&m#tok{zKA}UQx}c?$8N)wP zYH(vwMKC4bGn)~KinBTk+*=n)wezLjf6jEH6@NYOmN&sBi~Hl5;#*0O2LJt>AdWFW zQ1#jKN31BDYhr+MWoSgI0i&LFQU6H+PKl2bAz8mE-8!`Go()q`Tg zd$W1G(abJUT!1?eNy7J6vtK%k%6y4M$8~{P{++cu;xxC=k%gF>^KW4gIpKX!Mt^Iq zmE*&ZCX2TvIj%E(w56N{puGb?34L>UzvoLm5;-kJd7|@Z2ud#bwf?O>`r%X!X&BBP zH=SBs9Scv?hHg#GG!9-*Vn^tUgHss549uT*ysd3MX4a5Mb5X54+iUpnwtS+jG-{M} zl${sX3~x8#li4Jp#abdxU&g6~)_!ieOk2C-9hFh^L8s*^i%5DK&*6CrDAB`gX1>U! zzQ|ai(CEM8Dp;%+!?joFSv6r@on&`#BirgA4FE>6VkrcXIenRMeBNPF=fNR<9s_`6-1VDwaz+Ao~;sKhjO$-@=k2I!QJ}M9#4J0<|?}jMtgWsIDg-k{l(C>Cq-r4PABHW zIH3nc>}KRRYXQ6rx;D9aT1V_}W&kX<^}xoDs9hbxESxW6I(K18QRb;Zw4FF4c{bRg%QfYw9;K~1X0qOnc zUr0Ycy`OxOd0*YK(=w1RcaFEq-;R_2O2b!D$I3Z$FAEfM8|B1BWiR`;}`yj3~XP$T>_xxKeMhu9-|1v zx6a47I*(WLL1N)#31hH8{>%8)rHY$0=j0Y%2m0LLiH!U&fJ;>8_Z%Gj@nS$m*vtO( zXE;@W;|OJewQk5<$2s+|D$&2906%$F0tzP*1_hvsMM+vMnG#xM|79XWWJr@e;r}-p z{KKXU$MPjd$9e=OPE+T`u!ejVRs zT|;tqZEcM@QCw9)ok~x;D!bAUilk61b`9xJU;K5A8H#EmzS3k zs>AcUL(xDx{k&+UOr>gJaZ$p8be8Gft7dB?p1f?cg8RdRy!Z5@z0y*t+VVdl62|-+ z^?(d4;1V}se7js{GF5$0HNnb))4=jk3nc(dci```+|{EEiHZKpz2p7wpJ=D0iHV8D zDxEIZ>#rb4==9g2Z0;bih#FfSDd`_fNT5x!elByp`r~$z|H9w+Ct>}QIHb%f>N`3* zKE^tSvWQ6~5-UbeT*{_scJYHk*0#3^GvKU)o@R?=;QFTYq-HG}q!4ZeP7!ZcWSHCT zJ6>Djze(B@wGzJp}h{n~OXJ=-W zv&#AC=r;V_4q^5q70O*DFB^Fms{o!fsZq?)U|#VPAWk6d7!nAbEc~+cG@akBoN7mQ1pm5Rt zN5wMi|45>UBDStB`cDaRQY20mge53I27=77b7+Uoq50CE^B2vKu_W9FbFxKFqqeE( zQ+~)A!tWBebCYpz?GT^OAr(u)|7Z{j3dPJp{p;eF|1bza;r%Bbq}|v5upyNG@}FMx zLlOLA7QhDbA7K&6e|<6(yf4Wl4c2zDGqj|3`gpy>e`|a?u7@Usw!d;!3S2sppU)Xm zzTw!T8R+@E9%+_q2a~LdYQ>rp4WFM^07=Nj+=ez$>F0@QjqI|cHo{ZoSMFWogw40e zpT-EuyC4GDh3sU=v?tDC#c>G8n~0uJMtwHR054qf>t98!vwX=$yyu1lc$=o@YR#wnl4Vi}V z>rH_*9VU~HMd3;PX1$HIbz^pvoWztVlY7U8BZrpU$M$WXCpYcV-?ulfviZ`*Qzq%Z z`|eEGPu@+-1wDE|NtS3DvO~2+`Vjhl-V3Sbg^w(X^*qBKT+C{{rT}Cj-GpE~q_j(> zT9;dK1LvmsFCVo_4WF%)@J4Etjh9o9^DC2lrBx13G3uV|#Ma+@E?3W4us(iVev~z= z_IO0eom^32?34YGvt~)(77g#hcy+Fot@m+p83Kcg8ZvkUoZO??HT=EMFIFUzon`QK zdGH#n!Tn&@Zpz&01A?VS!_)KEla2$YKF(gIOTQhEjD=Vx%-1|#*c#yj9@XjI&VtEc z<-OU8)xMQeXKHzf$H&K!IHv;Z!wuwooRV9S!8#6|u9S&r(UZ!`N^^{c^pCaXyvHM^ zU-MUPK211zb0A5pK8rGq2AhH-$JP23%HfyFoe)?gqya;#JZoTWfoKmMB60x~WUDgg z-2?j5e+#CcT!Jk&#`gC~3#G;uEMKHnTUG6=R=DU0s8Ydy!;{zfd zv`)(^GWz;Eb(f5lYKV={HjK3S3VOihDbb}jCm)bnRo6o6mTWkoUL*MWx&c`@X0;SQ zcU@@u@XX;w61mlgAWh?nUh8ozS`c;K({9W7l6<^`^*FnYUS_E0%#d2mkU<9T*MdJb zunP*>Hnv;xP{$dQBIaKiK0OTo<8oMhCz}V$4=u~h(KjU7)xjbD%{&j+8VSKo0T3rc z))NW}vztMS0fclLDHgtLm3EZ~R}D$}&-Y>&!sOfcyR*Rf)^_5voUiyf^~UR9`PL=2 z{Y-$PGz*v_0A$1rU-xLJ_pmn0S^g43C?jVrtQ^1wv(Yi4W%F{kHDVJIfZNmZ(rB^o zy@!6367Jy%^FZU&&b%Sfw0ciUmCNct=+*Y)W9CYa3v;HVZ%r*#t3*pEACUO+s+BbT zLg(1d&ToYr{k~c~`L{Geol+$O*qo zDy{#~To7~HtK~-*><3*Ag#mQ$QM_Ho9rhzH8u3rjvll3g(k|sUzoJePV3t z&9nZqi5+`iibMkwN0_<*d(38B#Tks!*i`{x{6H6-NCc7K=nHFW$L)f6;9pp#It>iB4!UdXlw`0ue z^j+#qxF3RXW`rIVG(bHVs%ir;8n=|=9#2|?*cl=`$iI&SIr;~-wzlfiH>tGQ=L4XQ zX(vUsC?B8N#-kGT(n=I!ybQS2XynO1xtsjqLS0$?CO)}6>+pL{R#EJMb`ao5$x4Lb#CCUNChDV%zs`COwX z8Nb7s8?V-=U}cC_(4Q11fnR$6T|8Q~>St4+oXINq_9~!vrZy^Jl zvezVNLvlJ_Wr?1M!d@mq*Cc&@4$5k%9f*c_OM^X>^V!o4U@i^*1YSCU0rsJ68)3j% zy?wYvKHw6P=l1WbL#Q`{*nopYHiFViGJ?j-i6?zq%P-p+N&?IHeyD+Btve3>dA~Xd zn)Unp1}q!fV^xYO;Syn0-h;7nRy=dfq`B)IStN<&guM>;(ae%Y^>u63WneDdDR%7q z#`2RM32>vV(YVP6xW2ZKO(M>a>yQh6|D5a@ zi@1LZCFBCfB;2?C==d#?m~U#+8)QU)ho_FDq#C`DSepmi8`_WxP+^4~4N>p354UKN zp3w1qJ&>un9t#dJ@SiDIC?s|_MB;q!;ng%6&-d?a+{2c z8yc6R6j&6=y%|>+(fhvEU+=n4HR$TuW8(c=`eaUaGIsyOmeUgQaUwj$c~suE!iZ|U zk_go!c0`59CEefDekZnL&MW??yv$RJ$t1CZe29aspau&Hy;`c+nu!H{Iw;6cI&tLa zMAGO3?h@l6Oijy>67j8nMV{;8&dLq}CClcmVjWSI_kM(#;|&wtwThZ2s!R`|8kH(e zO?rd7?Vl=m&j)COgE-$SZ1~_hwP`&#XVjhhE5Kw0o@sNKm!N!_X>w3_nTPrIUSRCm zu5BtMoJ8U=t$Dgt@-Bi2v`!743)oMoKnrc>mt?2(;BF0;7SJ%LTjsh8(bn}DDKpRU z@R3((NUb#9ly{+)s39-mteil^=RfKHG$+{z@@R<#E8k1;gybHrTv*a^-34iFxv!ml z;677|LFC9;WVH$#vH}S}0n+r21*khRm=z3jc)tXN-QDbf302@Oy^_1QXB^ z_>2ED22=FHl|L%b^OqOsnfL|t|H+N!Hu#rcAIe`qz`pkTkQlpydO0RY_iq!o|e};A&=RX6*_vu(A3< ztMFOM%}vX1V{d3=|4$2aTD1Q-|5L*MWc{gx|G}DxfgQleLcq*Q2cTyoU;2py3!%4u#(#BrFR?oob z6MzoD;bUa|hb-U&+vmeScmW^SKOg>q0XRg$KtKWOD=M-QFtYszA;R>Z75;&gR+!=Q z{vS|jg&BeOZ2zGm%tFA(@`-nOT48!RVAFq`fb?YTZ44BQ95rZV1%+u9ja(hIXoXxI zMHD_!3gjcA@Q*mlzm_5j3_vRXh;su!|0f(jq5YpuNg7$3IGPgB13^enD{N-zXk!Qj8!zW%j!nvh_B-Iuo8uUtV$@HX&K-akmVQp1@& z2*9A_x$=D75;uFd^<*{Du1(0jJ8!b?d=^gZP87nBatWTnuU#hm2|Fh3@kZyo8M~99; z5iL4UeM7(ifHC!sgk&Jq&#b$s(f`iXl^*o0b!u=w{5D8uiv2OmRC+K5W}y02>{LR5 z_j|{(zPss_L&n5qUfJeQmRyptS9o|XKIh<5KdHO}uX;*aRwKg?0Sn=INEN;;3mx(5 z4p{lIaWv2(1wypnaU5!@!!lWCpiaL}7_p7t=Q zC%$8*e!Z9O_K9QHKBu)g@Cm;=*uQMp_A0VE#IWE$iI8!~?8?^ph&jkE1j4))uI8hK zhV^73vz7>V`@8`1A{88#CsO%(97#Y45&zkYf1F|-~%1vt0x1-S#8&R*`E4e|N2MY9eojT^6u z@EpCjEG>P>`rcWhocW369CC|r3NjqFPvi8h7||E7aJ1?I+U%bJH8Jd)^8n^?Uh2J&T&ac(^yLP#C%EXjAFB zXU|vmp?5N>i;i=ZS|Um%dH&P?;TD_RB3FD7{i_9pR5H`GDl=Qrb9vium<$Q1ZA`O{ z8v9lzRtY)R^d*OU2T`P`rBQM((te#=ucB9r)){XHqm_s!*{Y!S!~LOek#l{T@P78(XXE)`>U0o>lv!?c^XCFcGhO^%u&fI zI_5+|QKyx~J1<-*WkyW}s;Gnfge>Rh^${zjZ#?z&nIP^4NySgD0k?W(Ll^z?y=^oD z97pyN=aD%+NVQDLi2`=5GEO;sM7J-+&Tc$%6?l>r&P9LX?I;9>jPOv?Cp{YzfhvT}dPYCtI;GNq>K5|TimCbAHN2>p%ya8EYTGeB&Ts@t8W z%mVhE8rp-qU}E#a&~#v6Q7-v@7;%z?4$k_l9 z_q-rlv4TJAX1&U{`O?h1#Pb8X_!FEH%@>|M)#=6l777;z7wIzfT`Mi9KJd$Fl4);J zL4^$53gN?_elM!L8NxpAjiqHL`E5^a!-cF7v@h2iU0mSB=OYY^mT)fMXvaDpk?+`R z@bk(o`QWfM))8aQp2v-5o1UskMe5MI{L0DqyKO$t+s6N)k&2vNQ|(PEUxBVi4R!tJ zP-OM|L2S8MsF|VC;q-ZT%-~4{$r9lfu7&0AdvtRXFW~RAWn9$hw!` zZDN&lL>kr2Zx1e3)9eH49NN)Vp|VXH_1wry8sV7_6(u4|<7a=2tiP>Vct&F54SVk+ z_908?>i1QSkqIxlzWisjhXNHia4^G3e{~vj|SZ*->k1X=9zm}Cqn~g zDd49p46WhdA{?KBLCTWKq3>v2#8{wo81PvaJ4=KLm8oEoG#Nm?V6gFHA()Rc( z>W^aPao^ScuW~PSI-Q_DOcVMzvi&J6c(*}M<`STCQRVdMcW{Cs&1(7t;Z5{^d=1GH z@Ro?Lb)Q?sZ2!#X=Rm#78dD)?%B$GGC-q2H!%X4Ksbdjg4zgQSxJNR z!R^}CTu&~Pnu`&ID_E>{_?Y39`*l@copmtNLAq3k(Nz8=!h?3P*E zU{+RLY$|qCSzOhX!0TyaJA@5 zCfV<#1N}&MIp=1-SP~bXcE2a4UemUg5vWz7fM0lp`S>>TegzpCri2hBEpv^f*4$qe zsjxO4AGy=~= z+}7O6lvS=@AKd@lTd^j8gk9h&{-boURCpw6{0$Z9k?lknc^oM!CqZ{853U$GlK}iG z_aJY5K*pfC()D<^F~~Y*i^DPK@GeP_}Q*VH!Q15x6AgP3aR)$g>m|rjJp33)v%q}6z zSm~1JEr19&w`h3dOOI|9gUn>v8j^&GJE+OeQ>*FSs9OeW((6y zIYE_WQE9~aN)2o9Z#T?Exr`ds4yi=8URo6>yP_^SYipceZ5+8M0m=4HAuliAV?@bd zcBpI$mu4ETcHNt^SeY2x z(YQa?&n;O5y-W7yZY(6UAIDBtFcvp0Ks12aH&8HB;9A zHgag;LnSEkM~?#u&tj52x#Q69=c}WYn$}5@nQ~Gg3yf1Y-ugB@8xAzUJhj+&r4}f6 zm!a0UhK+i~y3kybK5X`B{xr4z%x4FuOuo0{K9W2!``xQ3M%ocRo5ir%@LcC5{pp7L zkM{PqAMdNKPdrotdHZ%!DBi)po&N^Xgh5$k@TgDWrHQou1gCMxEWv&D<4SA1lk4x$ zx53q$d2q}{AUhd8dxQVhr{ljQ0&Ov@%y;gM(pHZQ&8W!dA!>Q_!Mq!*syt`z$;E^Q z6A=1X7obzm2*-U@wmrQVxzH6B4p)RjJJuI)YP3Q}o1ZLU#<}fw z7+XDrqV`Y3}MZz_gZtR==7(w2=dDtJX<<=Dlh!_`_5X zeW0quK1-lo=6rpIN`{>dkssT#pDxI`s*6xqZ-P> z6h%MdQ{s{PdFlNTXe%3ZFglua=jx{IxQHYl-uLF&l=Q5spENgFIw(7v2sz6*m0jN0 z_c4H%TQqVxc6Jb2<8YBQkxtumnT`65Y)1)GF4>t+yKYyhtk?4(T{82h!+*{IN}X%A zwCcPJzIvq4@7mzgZBC4I;>y@9{RWI zik1f%6gH)nJ2?n>EN z4HE>S5&aX*sQc+!O(v)3w71wEFixURa@Dwj-Cq>CI+M1oChnt8hsCO z1{hi-L_{B)Ku0NGPr%)j%4;|OgFe_p-?s(gdlAkB!%{D?vIH`St(m9}o09@i%6qe> zK`s&{XNeXjQ2Rl+A3E@QlYH=I3U&9$ghm#UOq90e&C1C>EOs%Qt?~896R*p;Kllh6afz-~x|5}l387>t@{T-vZ+_0dF2{<{JI6}UE>F6{PA6i4hz<$y!?B)tH~-(L}0al)#l&F zr6$Ilx*C4!66)SKGfDYz7#VOJkrg`o->qpgE6sg57J3$EOr)e}H-t=VPG7lxdyQrp zvXzUP_UlVXA~GfnY$eVoA)>!G=e7?*(>pB1-a+;x(t6imhj)U?t!yNqzuCNy-H3KN z>h~lqkDhCb!?>}{;-#7pJsKbE(%r6xz)9?br4og3jusq0WsYo6N6{y~ymTD*BfWOt zsiKt9St$y=@o0Vt7T-Coq8!$kHZ@Eza7>()_XW>mP$YqNwfiV8lxTxX3^wy;v_bTz zqxriu8Pq&qb07qLDIYobUDJFSL1Pd?Ne?x^J}?pvP7(u(Yyz#HOl7&9I}^~{68bZN zvDXsBTJx7+wc%BTyM~{xTqF352m){4T-Z|VEitc`kLOpn>h4V)GO~=b^{Ui?g)8W; zJJjHp-E5xD*N52gUkEI2-o|X3<^>!IR6cL@ag`2w3nbUZ+bu)8w?ACKX5Oo^FWxVq zUEW6O8qgKsm4&)C-V<~?l;tr}I=tf;oZ1^MC#D)+e3XAjxc)KACSH1XBhCNFYExyq zRL*KU@L{+{W=6iI68*`00%u?nhj4(lMeA*{U@GUOqaD6-Ql{^;>&ck~XJihK0D~LAY&m+poeeaUcXRv(c4;seTh7nrL zfciayk~^aM0$eY<0^{2HYh=c-t1&^^iHr{CHMjw`7KW!lf#U)%@G4B%_3CBq7WpeY z)MvVIIy#L==(g#zNH_6-LDeLx?h9)u)J*4wE)ZjxNH+tgE( zs_7;bUH?MpgHX@xQTZ%_0XJ9_h7ASqH7=ZKqH{8^lnF->7J?* zcVepDpHm6T?(OxiUW2h1}c+uQRJr z#W61IO$19iTHa(VYnD6zd_){WfHLCC2>$c&GqM#XJuzIxxF)d}++2VKBIxl)dn)7x z>KEmmP1!L7WqFWQ3;*e8(;lQO?H3)v|?Pn;DeUQ4)#x`<%;BE!q&#lJ~ml(77iM z$XMO9!RuKjXDXO9T2&_Q%reZMNv7)d8x#k1nMD^#=dUL#|K?vktw99YW09Avp8~!M zIr3#~lH!V#Qqx9+gGO+r0BhP;MCI$!Yq;~Ks~Qt+M%P|>`D#4HNMQ+XVUx>_R7F;q z+MIOBG9%#0ZNOFCADMH#MYvTZaLK9`VKfr!8uLReH(AB{Rct-WjoCxSB5FsmM`z=` zpv=2h*J{A(fcy_f#EN0POW982(LTd{Lfh%b5g7;@+4&}>=9U8ZOri4l?>~OoEKZGX>yhYfS10oUp=Vigrk~VTVe4XDV)+LXR zO}Gp24OPdH6i$x@IUSYf;ms}=E@6Z9M%kSP1&KpMaZ9ZM4DLl7Ybd?#hwrZrWe6H7 zRP{p)=4TuV9NrrSCE@n(_EOgL!CoHg&~I)z(H%@g+|)PZiOvr3_%G%?Q>WmwXRzMU z`I;5!JAY;w5s(8$5OjbZbW(iZgUP?psg~vC0_~w0GGOu_m%9gh^&6+$9ggH9}>O=GRj0>Il-;zuxq9XDge3{bn5e$}W zKgVR?^%V?rmd?20W#&m(j8UnUtYF{H>CT#dZRC2-8zXx`VPU-T1q8fE(}WO^laE7O zZAb8linUjLSMQ-V-&H%r8cm(2O9XM)aCw)C-#i$R<%?^+YJlB+&lLx?<8O z^3TDehdh~KvwD$$LH};EWvokXwV*8cWnSmxqv%sXq zsKa>E0E4&!s7d(~hoE~+qGy~}wwi{EPeATOy23!y8Xg+P@$JJf-}B*qiKS%0x0=!G z^^%Qa@z}_79B!t{P#-%#1-o6kIh9~C*L^K}P1;z)&{I8S(J0oMYa8NCzd77#6_7!y zf_PdlRdI(dFpa1$U{J0Fdnug4CnY@R+X;G@!7y1o-AIxAQ*1@ejRjY?#(!MV3tE1i z!47mQP;nF2unXMd8n?`@W>pTX3V^Y-xx$B9;QBDiI!mf^IKlBG+!+Kv;t@U><=c>aHUCR?Z`KKCcVDO9XPTQk2Yg9Xgl#KNChbf=svcxX(OY8iJO+s-#GJ9mm90n!$9=c zW#Z8M;@FGUo83$T;Ya~hFKsy!2`iZgSOxUlh;Pv}b%Wtn%KVxg_r+Jb3#yP~@r8Hg z<7M#;ZCaOrY??K2+r_{FU>02ABw~*mFyEd7{D5T55jLAZiQbHY3rY+d&23;9>%%*8 zbJYjFTT*Ybi@UPV7zE!{oJrEgF*rl`kml`qgIO@6W&}R<`C0ncqC`Fj@opSsb`q z##nW34M@^J&lhr>fuGUtl|)OMA45?*{g|i16Ez>%I+tB~cYj|eYW>0k=*hEXEyPj) z=$L9Guwk@Y`v@%0zP%<~K~|t`!qyt2MBwIPHa%eBYIDrpjuJeRdm%Aap1?X{Rm9n(p0Z@IZ4|FVz+DNCXbH-8rJN zYT}h7VEJ2w%Tcn#V!Mg!P>KA|(>IvPZt+UGHwIa}tKf~9l~89)zvbowjhwS|e~s>S zr$El$+5?LYusDZ=&7i6-*{yg6&%;CHp4dDIY7;PtDG*gODasWpiU*4mWE%B>_BrT# ze7%F=<8fE#rWy7q_P5OT zb9|azKX;du+lF{Ff3m5gmZzXez8W+*z2=FeSTWyxEB;<|lC9eZ9pw?yS8wEuq5!u< zII(J@7@Q=TpDr1n{-Dh$bULQ`auBf_(Evv_7*$~?MQVY0yD4=XaR3J$7l)(#B4c>c zygZLb9Dc&(H{t}ZU_w23)2cj`G^b>x(Bbtk!I+|f)Ndj`k(`dcrW^qMLsK-t+@?~L zn8hm9vdBDNi~0_aUxkgFO49M785#{VI~W@Kmof(^)e=QkL1JY-52&5v@A}76Tb=RAG*eB3I@aC12PxD|`J26zls}=!Itg=wV5H z7poH$D%3WwvsL_)4PkY53(|`Qw!CwXZDvQvIcZ&=cF$NSIyAHCbX@2OQ-YXkx) zXfAfN~eHeuM4k-+o#AB`&ICujGxNm_oq4(-Bo)jKth4fpHLE zcjwRNyygkBqG8bQluy-(8NC;tc(b<;h5ObLz^^t_N#McFRmMUsokyP7UW;LP@>E(i#{$PR_k7B^@U#%LSdR zg;pzn*X1#|MlA_d1()T}i~MahDHnM5lYd^RSG+G)slwv>cKeF+s&L#16^)!X|1&UebCf(%#dMYozgPtd3L3- zwxc;p!2k}l+8!!?2b#seui|4Z@kt57=D63$xEkoD9IN9`=+ z*P&`TNMn0df93+n0%$DCQh(r9(2-tU4%p?B7zH-3Xr$VxVVIQ5u_N;P$+dy3ui%3h z!IWDbiG>cOQOpRTqxOi=W&ZL_=L=(YQVK}S#bb`xs@U-p+JY2ExREB6KI$9uz$Lmp z;0ugDJBH!;_FJJxjFKRWaA!5lo(z5#jr6c^e=>fvE;hr2%N4OhJ5GB@D-#Ts@io@o z=k39t`QO2ic$gldN$`jwSaMU>X?scG2CE^+yRd3WH&z9+KOtg;RbWo?WiKbI zajRDY{IB!t4na9E!{hge&8`~nSNQ9(>{8gSI=IS3Q;omcirb>_Y9fv0(VHEw$b-gA zMr~U~z2*2A*>TZU*#N5+`;i!c93YOYVW3pJv#^>*4c*vbB^6(CbfOBO@2xM!Tl)h= zKB&ytc!6h(FDWWvR)T|({=@p=+|AVeR-yIXi!Xw5)+o*d#m(5I)#?%9&DgYUHua#> z`&&lp{dq3ggpA5A#0{^ShrH1hZxG_NbTvO9{hOHQvXlC^P%(%)Fa01XkE7>$)%j4d zWTTm)#@>l;*3gy3_1lpHXYO+Y^{Mq$RH(E&e`*4my*)r$4`M zYaGYpE$qXi;NfA0F#h%{HY{D7%!SLAm!>&*z-+&z`K*sxJSkKxu2?jKrN%y zxqHYsBOwnXM}4}CTk)OM*A2mzwtJF}#W31hmD z`d_%$rj#=j=2 z2UK5Zm|11G6gKWd1nO{2&8dqqwr?c+_oMs3f^hQ&_8%2)vgDIMM7`h2(eR?^FR=+A z8sjgQ#j={QvYPMWEbro*3#Pa-%fHRGm8U3qb7Nh58*Ak=aw1~^xHUkLCWhM0MmRlw zW#5v6!PTS>Yjmf6E5itl7gw$!6+|IXp<%h8zqyOKljegs#L92dsR~P`Tj^ls}0AR!$6O19SMqW0-te&C`uU4f~{hipwv&T zicekw7Sl(lgC3F>H0GqW5Gsm<2W2E7%=uNaYvSpqFPLYP6IoLLC5b6J&oN#EiI^~; z|HcJb28NXA{}jXA6^rps*qF#|UoxabM!4L1EeXeny^E}{k&c6IcGkVBJbCPu zbk6dH90=-_&pjx==n#wz3hR9c6-XF%$7Dzdg)UT144lbQzCj72q5-}oqF6A*(b{>O z7WV}zp~K5$027%##sEv){Cff>#re4VjC#F1w`MtCM%;2FX8go#0n}P#)0<~BNQ8ZDU6Wcp zhVLh>O_}0HdFITX-C3^Bz0l_eiI>`9Vy8zN$H=pe2*7+TtsI~qk+Tm@z&8woG}iIh zeQMf?GQxk^jp_<6#O#q@DF=dGOS!@rsn9r=9iE(b;ILoc>57;>i>OfPefON(X=pls zIyh}e3eF`Yhj(ytB3=hfUez8G);|){?D7dVhl8ZA5E#vr-w)Z$fSRJ_60{C_lmvLH zhFMwoM^BDTmi|R|sWA6HQ}y5oB$xbcF6AEN*p&>@`v`Q49z9;!MdUlV9oefD&YO9k z3*y~DW%luDs;49lCbuABP$3oZfcyHe;$CN0P&V^2D0gKm}mM?a3Rnmq& zFAX#*nU-1AUvDDa<6T2l;kcv_xA4usSNk8QlY;Kt8`{n+N?*(Ypzeg5L3sH0k(ET4 zFS<932t!bFUf=a->My+tSK6MYN~TrFj8&WCNk8~=PRj=XFK^iD+`Hl^-$$!~~?wY*w)DQ~}Q*jJNj2}7TLf4Y9T z5=j8x_L(C5f>pgpc{FhR-&^5fRVoD3()p6oz4#46>rQ(h@{GTmH z;=e7#c|;gq2xJ_t3*3#4LLaKB?EeM|3RcRh+} zbuJLI2i*Z@KrRKu_i0gWvfm8YcBDIKx8rc5&}SmxjQ~?MrOciUI809_I3T|lXL}FC zAy5u-5zJH#R3dPE%#nX@z-|6H!NnXD^kdQE=X2Z=MeDs(dUR0hlYPm%+5#~_C2GLe zA|(dClIqeNhA=HAyTtprexPj}r|@!Rv(kWZoVTnbtjaQ8_Nv2+st(%CZYEcCY-)l( zdWp6RVO<$Bh!K|QCtH`$alx^unz3Qnk0p&ZFsYR}IdnVKUs@N%W8vr%uW5yv8MERt zD4X+fjmCJWhoxfZAmY$KnBC$3wyt>g{>m#gL(pu+%W6>fFDB>9jAOJ*7{n0_Fr!B< zSYyI2U#{#59bkFbB1!!E4XM#vC*|+JM&YN&(qV(mQ3&=+^7wvof;NF!xOhL|3<`PI zM(L#KaK)#?vg6-zuk2RbA=R3S0T{0J!Kaw)EmOD3G zGJ|7<`Hb*eA<45owS`UK_<4<2a3a`Uscu3FJ5izMlnQ)wy)4g@yFL-UV#Q5PQ%#dC z1_!j7(Q8X@S?SE@G$kba` zrI3_L=@|HAkn~+>L~)t_bTeSNg*jsaz;|XFEliJ`ZAKA1HT(KpeTs)%HtW$jw2Ok= zJ@*@|acPjGHp-{YVqc@9^~q2QG%^8;bfvUa)c2I%T7zCXUBCI?@CplyFsCqG)l_{X zwB0Lr(?@KBS3?so){F#6dq(S!M&!gg!%rU0#8^|$TE`Yv)>yStFN_YnE1%74PUyRR z?7R3=LU{7exgn#jXyBeGdGwA)R=uT6ja)?$0K_3O#cWA%I;*}E7bvk)k6YsIjKoMX zZCwo%RKs*~!+0iFQK~?oS)zps%?)UholezJ$YG+o{c9EzoHJ$4S=nb)-ONfeQ)X*H z)%oWH)KA&I`)uzv~QzOP=^jiX%>{nuyh71Hx78Il7(xOb=qB4b-7>Ii(> zbF&I{dRUIUc*H}zmZMK%LKxC&lceu7Tq=~+tbfN0#-dIc5k*Ut7C$3eV428_nNq#4 z<{C#;c?PRO&Z=jCg6cg}VM03~rY!%8-!wjf(^cKhGperPD??p-fYXeO?3Qse5 zm9=`v26efNz*oX)rVm@GXT^g{Ny48dy-U|a8A8!n==45|)WsW+r+Vi`Ni8-17;-1z zaef?S3sIu;xCkeimXCwr&RA?IFUUudhRfHqybJVly_QH2T!-R8>efE z+cNi?sc~SI!Y@6jWc>-wWGrpj;9=_?wQ%!9(?%f%Pqir6(lUp1vT|{(^I|IAaJZa{ zi*RCUXp(i}`tJRm)9z;XXk96ataP#8c_TKT(C}?TJBm&7+O$R*0As2Dj6P-xHOF1tcytcCPJR30gj&Db5FBThQM+7!Ip&!TYvQoC6~B{ zwXieD=+I|~XykcpruZ-@!8m3OOrB_2wln_%Q$-wR6Wa?W7}asfZc$#NvG4$H?Kr{G zvz!8dv$Ft{OXh_+Qc;uZ8(MN>m`kP?FuO3zz+c`tOyXfU{E*ahp26ihy$%L-dvBAC z#Bo%7!Y0@^Kd-J@mu4+MDm1*M9SN8cJEIu(wr~6!U-{VxOVR>ISFAE zL8k6<{#r9-s8ixyQ!MX)!*)E#Y~N&pRfgnWG+z0|Qr!%B-NGD-DMMb7EAqdau+DQr zR|(Op;2+&R?zs953nj*oUZj+;Iz*XjTA3W9@@XxH%60BRA^upx zlD0vGHvD|YR31!VWTve4jKxz#3o7(scZSTexK1#j4j4rq*vF8pMegj!l(a?8i_wW= zRzCKJ4v)lUYB$iM>JY)w_>`xwkD^K5BKeRRN7N=hN3-8wYp8GGj$`!D?sy z$zixMMr>H-YKC&D@Qy3EIk1OUhc*%muN)~5rAkhQh;E&HtQT$P$M=kmTn0E7GyP%H zhmnB`T%OP3<;fLp9=_5yo`F(5w`sLaSK31t9WCT_NT>p~?`qcH1sW@zc%BtxMK_Va zAoMX0SHGT&zpo#cC%aIi^a%v)vuQI!07&jMd$qIszDOJjL7k1Whm$?h*+|;@5e^=Y zDlD_4YGH7Y|MUtY(1WxHnJRv`EL#}svpquBu0s%j3&L ztzDQ~g3eB{b!wJ2%CVY6Mu00|%7g0~@;z47$Fe(uaAyKuqy(pEh(}YZHi&brL3hMr{ z!eQ_%wem+@SN{e-AMc;y7;Vey{Jhmz&Jy<%FtsvA7yqo4Z&z!N$E&UOmp3${W*Hbc zm5gHaOA?(p;{1cdtI1}WZBqiyx_;)6;UCb<$h*cjL(mPy*pP*Qs8-mEWsK-ctNTuM zQTo8HLY4)v&C!tLF!sDSwMZ0}awfn zCPh6k7(5%}p-j4xT9d)c(0;jiKEJr~R+C(6A0{s04H!>~`%)qoaC(#{7?Q$_5Tky4E(lV4wVpg5+~R*%;~Xtn%w?S6 zuxv*joY8LAj>4}!7F|<)`3iNK3PLW=e%DHr?(KfMuuSyR{j?Y0`}nxI3G?gqd;StY z%zhsHlv{LDd%vwMd$udfbN_hy`<1sChqx&4^|kn!b?$ZdC7{=o9f$UOJIQY{Iq8T0 zNw=k+Pt;`J7HuY(hUot9exsslwavxd`~s%p3<-lPDRMGFUHYFNI2KTaKKkVU(j;>H zhqU@HANGH05;^}vWc`T0|8j=^ON017f1|_rzZt~;LSp|94B~&1vHzt({Ew^ucQg0@ zp+WqQPXG6&SpW=796wx-li_DPSU9*Cn14R8GcmCL-!q7uEKIEbhX(P#A<_T8z}}DP z{7(${|B~1HpO3-+-s}BnQ^5bV*Nej&h3}dD@p^FaHYyEcp4#-3AaDT0D-bRyet^+< zLK7`{B8kVhkGOC34#71yGg2Q?zD8r!%Zb=Z6XvSN>)qgfRn}mWz+7Tl)@oP-*(WE) ze#yAH?G1v(>s|axvUtVA{=}EHOUJ9XyT`}H&?R6eH}UKHW=WMhSB}hz@5|`*X?gss z9rXS4yt3}q{kH4aS!LDLyFl;aZuy+%GOf)Q&TYrXYvaCD;kU!Wp-;G&PE+wjw3!Hjh!A>okjB$Aa zc^8_od2%M{yRsi2BYw7b4^OB6K5lGBf6-pXE*^Je2*orf#nsEc!d7Qiz!zMs%6gNQ}mVMDg5Lcvu~;nO5_ORmFhj8CG^4 z1FJoy$tkmt={L)0f^yU)16zqY$6(i{7(`Z>->V)!&5Y;9#zaX*T&_FXrvHg4XQpE$ z2RJ}e3pDaE(V|uN*K+cV!FNfzo{n}b%4}Vl9^1#;DRKIZ`sN4B&#&Vq6!@JQ59y1 zHOwX|{5C81{jF7p_znL^Zl!>^QP53xS>!waPi4?I08~TEaEVXVn|Rcatb*3@8GpyF z%r()Re7~&O=IpBkZ*O#w-(zjna9(wNqpSqL!Th_ome|A^uZaCkHJExbcS$-M5qFvv zmH)7{@YQ@W$a~IJa24U=4{9Whq8kcDw}rcYUm&$Uj{Et*>)-y{<{f`A?Jas8=$8Pe z%WRH`5#~-;!p8(*c@^; z-j2+M=B`D0{DvzrmPxQ4N`1rO8WB?lv@7hP>FdnA@`Vf-P{j<_6=B!B*L#1* zPsq~_e;@d@n1@v^U`wOaL9fr=!MvJn_UW@Y& zSMo1O2)9DPnA_u>DacJ9j%@mFLUfI^){vkQ!=O7GL{c3A61WdkwbDi6_tK3;C!;~a za5Xfu{b#bl9{ZwYT}~j-O~(?DE&Mvr{Ny@*a!~dq)zOR8EdiQ?6!CZtby=9~4#FTf zo>uc}pw3;<_sa5SjYaClR?rEqa*=^Z6JY|6*2V{Z1z;L>QlTZ;N$CJ5Od{OE=DV`pDX&FUKr`j6Inu?M$3Zyor#O86*1jq~HT;iW0{YMVIjnDx5Zi!i_bP$tLP?lW_W z(rFlF(G7DY%Ug3{@Kg$}wlg6!jS_G=kO&s>+oUqua0|>kmnQfuJ=SY7XF@`lN;e&- z8%dEJlrg5pZU=PtB^4$L@1vD9y_(DqbZUgkiPpjXo3#K1)rlE5i?by}cdkQa6>+5V zq>_CaW~9w8dLn~~G0jrtY_ebnO@N!5E*FNHdh3SEh(Z-B?Hfo-Hd-Cu9}yWiFa7X!`0eS z`0|#8o&*T>hS1SOQ%3+s1E+{peVdzT5cS6kjHH8hj?0iszZ>ZX4}>;t_xtCgVu4nl z8cM1n#Ak}wnk1543z~PTntmtUlt?Uq^b+tj+p`Ps|I4cfqG(y;8)z+G;Xi~FALX~= zzv=O>ns{hCsN6Z>%*7G0>o?nZk?p2VGB|wpn%meXDwZ(wT>#8k!9oMi@){C#QJMAV za8Ih0NE)Q|oCyfPLidhpxuY$MRCvk6t;@0B4Me-aPwei(lxLyno(ImHNxu)fEBCy&5Yk_H`S zjBOlvW|$tg46C6rb1gp<+xLuCWnhG(K1)w^SgvJ1n+me)PZO5J zlwdT#R~|&o^vcC-QI$t1WBx4kv7(WDcj*_=qbPPZLl~M3z?kpvwZ=Dx4WxGn$TIT0 z3s8NTM%d(9ht)YtDRRHaLYvTlWopDeANUzfr>>Z7he<5DC#TVc4jRQ+V z{oJ769$vpF@hw5zEQKc1y5yfk3YF5da}s@Y3PF$v{O~f38iW^&97+fynIIuBlkGO7 zTrVxHj0f^RCz}Uj1(P%SWGDf&P&LY4?7|ix zww2@E5w4gn>L@wV(XyK3Wn>W7(Qa2?B@&xRu&kY#oKSg%+XbF~6;bW%?=h6j9fpZQd)sO1V#5Rchafi*{7nCMOh!#3)Hp|u6(i|OsGWfKv_a4H-J4}Z=>^Lbfe5E+GVruB<`)C$uB>Em^1pk{LY3 zm}H9>?ueL^IkbRVaCd_VpoUMi*H=z*3Jup&> zBJMj-`Cg#!)su(flt@{@81TyObz6rOf8vW#EsjINw=FZ}PpKO*kOJnKN2Wp|FRDM0 z=AV7s<=nW$O|>Dtj8h|RAdM>fgTV{?``ReBf5={xeaKQNZ)Wg_B66;nL7$}fDezRrb?`QB1^2bu}DIMX7qJ_M%?*!kBpE zsUaGq*N#h2(FSvg)LW@6;5@5E$8fT#{Nc6F!*GMuOKS892c%f9cadbMQziL`DAJ}8 zvn9f--1h0E!@*#JWSlBG(G+hnIUzJwqyY}@khJTmGL^INr<2D61%p%j_3NT zAVume=M95s3fh>409xv0Jfq`lE@o0@NELWAgp!c4aq2@!lde|_{&pA&t3E(=XMuvV zfSExN972@Py`GS-6pD?5uVtk$JtvNG^O8Ex`w+$=XjzVqo2V13aT%>KldBlt(xW@b z5nbA!1awow#y0hA>dR&BlCI=yw)E%6oM1^W@mp^++-l+N2Wp)q4S}omx49hO`q;1% zM=*y|e++}EK9RKIcekMn7#L)7%uR8BMeHifivV*k-1xe>2;kU^EE8=jCg@YM?4Wjmx@8T(p z(NpYh-*;7;B^G8gF-5eq0#yELh!`RhreXL%pR((Vk3{RDfZh|9o*~_8@HPU=#45r^s zJj&oK1FKm7w^8!79bxt8xsI!eH+~s|`EF$BW zu*!lFPL>UB9X`Q55ccteA}LdXAORKPSg?N?udMzt+P;d&a&lG%JBbi@lyDYJe8fIc zP^QfmAyB6bG&k*vM?w8V&6B=fx_GBcuDK${XKwyz-Ka-y`cu!?S+oVCE9bC6D4JxH z@3RN0#(5t-#3E9o%o#6YuYBc2X)aGD>%X4#H>Y%UHJ@c5lI*AwbTwe61}!~*48}it zaiw-E>Ofj?El^JXOkX@fL7S^k7@#!7K~+HXYCXw1|#eM7AiH^UYivu&VuLy*L&2P(**^RKF ze%y>xxYz3Mocl|f%4k#=4@KWXbX3YSxDd}VQd8Xnm_-G1Hj##Koxo9Knz z^zCf>i=!oXzB(R&m}lXxolcfJGh}bHelzUY6n3EDJTP59DZ9WY`eo~)Q0~`|-UdS{ z&)#C;jUDP;=FSp)H-a^DN!mtk_4Sg@34Y=hlxB7(wpQsH0QRHx4O7eYLI%Y}IZdfp z98$+zkzm;|5jEnO+h6P=$$&lW{;t#~kO2>0i~gNuC5txduo!H1Ozyh*mfi<2X)u$f zQAd*<{3rxe`Tf0>#^=4;R)6{vKYe#6px5v5+Dx)3MckkD=o=U`1nwZW*tlz=jGD{x z7Jn*v&zGesH33AfpD`CjFyWmjWd@N6jX*v1Tg-H&pNX+XYm%8F;YeHHs*I#=`JZntH?5{ z6eBm?hLYKF1uUb}|C+Q4vrx5ObSDViT1^SC32g?aG7r~SSXPmqqXy1n$!}YPq($AQ zinIb&>Hy^ml>7xs3ungkaN3}25uxp_eIk9iIIz;vv@^Fv`NrLt8eJ z8sZ4?iB&JcK~(h+sTdu!C5*_L0I}N~Q{q@g1lwM)m*B6Ulzy(5#V1FH3W0{Tm^2W1P7v;?%Bhj@3v}Hk^#@FgQ|K6G_`fBYFl|WQl zBd+VGn4juA_~IeODS0D-DQ?nRi<^jj$s~fDzqp{*5Sp4hL|J>ym%V1_Q}(I1vo=Dc zlt4pLNcYxpxlj7>GgD^i{9Ot^yL)q{8Jn4-7!K-)Sf$1Tm|xRz7~Y3@=TIK$aO&5j zhnR5Xr$7`S6JFCq4I{Fkl}<6K2#Qdn9V?DFa$=J8mYBc)w)YlL!8|G6jD|gz6Qw?} zYiwW*tbRTZUw7n=oU{JIc+jDH@_sx4^?JcV%T)Gp5W5%c6?5ynI(3QoAUAu_Woc0g_i2#RRfF@g&|w zh`KSSnH)4+3TMqYmJQnfl!1_$;traB|84SWR?<9-SBl&jz59ww*$wQlIf@`j{6zfRK8C^maIF*X(N%U1R$0l|+h^xfgt!_wjhNL^)(gJI{E@y(&%tc69G=$tioZ{+Osc7EP}E%_*5^YD z8XVdflj>5V9Y*A|X1EOk13i}yxZV2R&YT}_@6*?2QQf3RWjUEZM;c}39otlHYQ}_^ z)+{1)rLpnZM2UPON~jR9S~iYVR?I>%%LKV}i`uTmRm6Y9^*Pp`rpDxqltMEk{VwA6 zeLY+BWd6(5P+ZeG$z} zVQD9o-PnM;l}Q}ULHqvAsv4VCLMravlkQ}cz@_$g!Yg&>qqa{&1^~CC6Rfq*FB@d> zMJ-bsT?1`eQ->BLY!;aPdGC2K)z7J*ePnj@iUz_1L1QAZpq(-y20nQZ)mbs4_RMK+ z7eC+DDRt88Nnd?UNkV2QH5f~Wg;UNW32QFjR)Ur`+n-gjG8}}mOeL}%hQ9?g> zfR;)#p1ZHsH}=&ZV^3VzYwWclgcjiUMKAbuR$P((yX6MwnL&)(M6gDE7QX2ee9yu= zqITX|Yt;3$Pm-soHsA|bQ~~oR$+F9$(H?-OmQUb6`zJ8sLVxRLxk-1j&ngG|w7fy% z_Ih`Ge;g48k4!5YH8p5P8lKgEZCy)v!&8iVXQU0i8t2z>qSkS#_x+`D{Q3~~`SP0g z{aNIyLjHG33V4~;`xUq!n6Mj`{8(h*_pVuQld7iUp$?SuzQs_0LF3=ROmKcRj2mte zKcorty{ZAe7IfXK%GlZk*jR%_4T-+}E@WyjIynFhr6>9PI_#_{q*723U2p}tHog;Q ze{fJTCNatYac~HihvD%U5PahuMXkl9+O2&uJAp?bBe)<@gengTe6D-rRYPO?cBnrl zf?1)a3|dS|>PYcGTrdooSCE5syW>ZWHJ`cdBkAj%&|8a#+h?Fe@0F^2+iB=2CP4>> z9hJq{)5#FSbnb)3i{W!*|E_M>P<^O(-3`agDP^g-wqHZ&(PJrYYG)Q!i`tqugHaaV z+S9>TEgM@v(KV}w|0vI28F%kOE4)me9XnjLROT`X%xCW+U?QB}#Bj^rnJ~lMu-Ce(mQ`A4iPZfdy)ngdrF(#CWi)vsO3bYS z;#!?UIpV|m_(|u3`xKkSCdym7ZH=G}*2dwapjd(zK7-qF)S3luTF=pbxZ`Je1 z2Mjg>_D1s!ee4rB`t~IC=hiju!j8_XymK0Y&XC~F( zl!D`2=XD#=Jr%=t#|*f*l9`+xzo5Uuj4wSR`Ol1#)xJ>OLr_D9qiBy0RBM*-Np7ny-7pZ_-=2UcO{QG zsE6gFnKlXEzx|PI1ZMiXaW9qzPIEnrN{a_vhAMPp5Rq3*&(FiPQTzx@5_tihK$BeJ zOyW_HOfEg`vSd&pL46uy8E(Uf%#u)=kCL%|nZ4-&W?vzoXR=@vvT7OM%=x!dmYd|s z1~-=(F@ib-PQoV=X+RzQm8~0yT6;&f%Cy8zkEiBve!aj;p^IJc9rG3~pIX38Red*FMGzl71P7$c-g^WA>43l_R86A zq)$Yi^?V9@G<}bw3g#k;wl0`XdJ@89tGW$U5N#x-Mwa6STAX}KAIGEoQ7xD(j8o?^ zdKC(Q9^>ujJptu%avFxh#PIa|nv~W)kbO?xhPG89-bQaF+_{#LcPb#e)8mGve8QPD zv30Q9Oi`uD`aLN;5mb_v!u|5RPyp!1TKy~O+iqAx>!ixvW!3AY^@f80(2o2jBZ$Wj zZvF88&D6pmD|KqHQtI6BO`OM(CmGkw80%ih;CmQX0SLAA_Eu$nV0DcOLrK!UIKC-> zsXEOPWoTE3ISKCdSUa3&CoYZ^>WOzsJQM=3*&X+R1|CF@(8ci%7lsIn*=`=mYaoO(tWOB@)h8{5S4E2>N^Ij zYZ=DGC*UQe6DI5ZK-^@+h~@^u)SnDn4i#AevrKI!8$*X-Y(wC`*}cA%{;5+|-maZW zkjbg`J@*g$7f_Sz6kno$Z?zQQQG7;WM|GAUEBGd*$h`StM0$c*JhyLLxt7!P4>dw*Dc*VW_BQWaK` zZ#j!3j^B4c;DV*GC86*+q3)=Lc6H0}Wa@Hf%<%fcG+k-Y`f@sGxR(DDEjDn)DS8-E zPzwPw>fSNGAkC(hwR~*2O`Yh0Kef47io>B2_?S&zt?F1)JT7|=@oPm+vX;{eXUvis zf}?t?NwZzdabglx4qs!iG4n~TV*Mgbp_No@W9RAL^uZG_bn{yEZa6$9Pt%`G z-r5Q^YU)K>J|x?iP~LnItippsV8(8}t<)p!{5}rsQ|o0ISX`s|6BcKlyDM6u6(R89 z>g;bAm}bd<8V-{SuyI%!!i3o%GvtZh z)s`k+M@`kOWSW@kc+mnQ7a#t(bqr^o4#s{=)uK|69&=gtm#?x)$230-Oiv6kPCSG? zCC+tAWrUVY@=1&ZOu!0B0C5wRbvyfCiKZF61P$aK$mt3`@s#XH8hT1wuupTtxnAD$ zKQa%;zXEFFon|A|d}*7RszOpz`IsTfk<({=Am^-ukF8^Uc)C`s?3nLDDzUqVp*BEg zE`7{$QndiBDMus2qvoleJ@Yv`uo~eJ?{?|K7kKo)Vt&r}uj8)tiooo)$XZuIoFp}? zzN>TQBnJ9!o05=r@m}p~&9R)j)GyvkR6lxZi~68)c@`0ixUs@7Y=;l1)SZog%=wwj zj81Vw28i`Cqvd^1unPQia<;lT_W-*$(oB$#G<|QKnJ;R+sV_Cg9BowFHJXPrIr>69 zp+(}bx<*qa+^n$448+Fva!22orAeZvesZ*WumJR2x#_M1(Y9KZYj78K7ql+*%2R74 zr8z}O8KU_urxqA@6fPsJoMFLX{K<#kbnau-yM-Fe`LdKO4<{?lrnSXGAw+S=+mddE zY?e&ZB2HH6I(~UUumOzUn#Vj@O|lZodyDPv*y@0rYv~c36fD|{bQez0{D?S80Oz4v ze1LNm7B9c^_R1N>a9T<-y`@WZ`j;=g1daZ~;qi%NTk)T$O#kX6sI8=I7DDbP4HTT< z*|H$II>=jAw<266zPPB*ocV0b3)6$9kkcx0ok4sBD|U-{Z|=A27pGcXq6}{K1uqS$ z(c#lVpA^c(7{a@nConML< zU|i&F*UCrRnvCu3bl5v3xmQP{Rt|^T+CVy-P$YeWf@8RlBNj2B49s&jf5xZ#&9iW# zMgsFdQZ*t~%tKu0p~5n3m?zj|tmw=cL=S0-NnXSsmrm5ie)Sh+bbZ>}PG8@oY*`*; zfsHd=(S_ygE&deEeiW+X5Y2cPzw?$pFQ%T<9{&l{wiE@6E_B(1awB!nX?8|}mkhth z?&4O*M@ss&pbBI>Qh4;3G=PMyqkYAxrJP~I8JgjTQoe-3J>vBXM`G_+OvGJgOF0=z zS(=zCYFH);Qh=`+*NrgAJS#-^(q)dIWZ{Cjf?F~uP$(3#eAUZBEBSiZ^eX~M6#iP`;u zI0!L80{8*o4OnWiZBfpj;lST4Tp&A<-wwr|ERE??K%+(z-i5;_U|$GXc^%$ zHX!d~;ee0a``}O?IvF!D%9CU|5}OF;iV~5}UHF^nViYf|w7)<-CP|Bb6B4ruBH?%@ z@1%c$uvqY&^>32l_N0)+5R7*c@lcBs$3Y7rYeY4WE%RwA9&2XiqTHv6Ooi&t_`Pfv zmxU6z#_C|YM>q)k1m5_DWrnU1WDCg<1v1T&H;jWU7+YWhrm=PIfskS;4A8`zFib8k zQWOJLo(E*J!q6=GfmC<8EYRva%($)qu#T1~*`eLYwBm-a*9ak%HPvIla8$d=RU~6I z{b-_rCmTO|2g8B~7b&XD-z^b77>F3TglK7Z@_BB7L&QoMGBu_aWKK)0`Obi?LOfDi zwK}vD@sTxmQ6`F8OW#(ErmSMD_7A=0w4aI!oJhg9ujo$AaO&9nVN=Trj$@m&z`$2{ zh7z&xSDFDOc=xvt?1ZdGSGBA1S5f)b)Q+K7HZB#KEm(vjqh zZYCW+xl|dEkg2$Ln2|7^8sh@*y#gcqrgmQQOMPSIr(>?M04{Us3fiitAHHLRe+4e? ziRC*p2*_c>s;S9$vr6;5npqLcpE8Jinpg=h?MO398*?1{j9TlhHVU*VK1M*p zGx5p#Mj6-SV(n385oX_Ht6j;O8CUMn{OwkTN$5g5XO-_jcs>{`coenry2*~5IS|Y+ z+MKXL9~$V=GZ^0O$_If>ukBjCeKHxNwD8)c*p&|tl}S&lroL6kY-5>j>GDo&(a?qu z)^SdQwmjbuq#r8o7bZyDY{jhWZ5W2!>cS_QvpF#6u5yl+5Xt)TNUnwpvGGI16@126u8b z(VxXA4)|c|CF8{#`QM@NCxUf~p|qvOrhnS*Tl%>T~sta*~!H!0mE#NuGw%=MSaAnRm&x9A_S+S=mXs=A;_>C8UueRG8k$FhGeN zAWPigw{vv8r6V1hX=E6keZ!siNK4#Rtfn=XSGaNOxj;%xxhjwy`ZZ)vpc2bHqxZ#2 z2ki+pWG#gug4PVm?fCv-Ib5_31B96;$MFmT9r;3OnDIwsEu<}&R6pd>%y<@lipAi# zGd#8Q&82~tRK%KVLM6#(b3--7U)zdREseqlOH!4Z$1)KoTwnx|T_F6%M^|DK^JW)u z5;ls|grf``)QmR3dFUL?kF4ZNg}&(ML4DR=A#1hA9TVQ$Qd_NRljBI=`lt z(Xk<31@&6vs;>dQtT<-FSYm%qFqvl-iD}MMh_Ds~m+}J^)al9Na_IxEK#~-!ii56< zhCZTy{ph3QHSGLE6Xc`HjAn)t9#@T%q}QP0_ae_se~X8sWjtPzFVlV#oYxlok4c3p zLr=ZL&1}H-k0k>;m-r8(FSiF+{4R#R59gw=TSlDHn7JJI zQQ8fP&LA}qr*F%YoC?@BlEnfk6Pe99{BG;Q6)_~a;)!ZXZN8SG^hx()#!ucNs`7iR|29dsz{tOJkROzVt1iWS_%YwDUTm)_|YxPNT#^zWmW@6cv zD}{e5km`n0c4K1I3Dbl%z+qP}nwr$%syKLL&vTfV8-NoDUeG@Zt&Y7djh#UQ9|Jo5d zbFEkrxmV`an z;-;*MB{G;{Ioco8mk&>FxW}E|PX0^GB)G-((c!E<=_xu}JFs-L-G06dA8*$_+X6>8 zu$`-ovtxfA@shj;Sc@m=*W^~3kSYwe3W_Zngl|cT0>FtDB@GM-~jdNr#Xo+>L*F zh43Xc^|4s|rV+6Jc>H1-T^xYm7xj4ii4$r!z4>Y!&zEXv7xwPAqu29k|MGR6>s9mi z^=#n&Wc+Y$-Ri}}efv7~e0{UG^?AFJ3)jLnU{xRTol~F1ie_}0ve0g+d6*lqJt&%d zjobJxgJ=JHe==6ChPI-%N|++jsIaWubQpTnG{!nrJj21npV9H|fesD=<5<287)IxZ zZu3V#9_3mUsv#nDV z0yahcY2>au82_BFfyEpak(51kAGIg&6xEGpU%H+!!Z%qjz~F+@`+ph*{ljzmXRq-; zgv$QON8vO6GZy*pz-0fRoc@a_=zkX``=>?qPqOR3g310dg8x|N{J$3k{bSPq;innc z8EKjR#qj!P6vW6t%l5w;1+o116t90=fq%Ur|KCJG|96!@|E=7`$imL_KQDp)%3c2# z<*tpta@SwE>#yAPSMK^Ncm0*S{>oi{<*vVS*I&8ouiW)l?)oct{gu1^%3XiuuD^2E zU%BhA-1S%P`YU(+mAn4RU4P}SzjD`Kx$Cdo^;hotD|h`rD0k&|t-BtK#+<%;gW`1r zWjiEVKa+q1iBFIB8g`);txW}8{jgXhdb-w5YEwt8!^?H57|lsAu4NN21k5o_P^qF^ zK2ol<8Y-8`CR`N3*Lp|KH=$l308^$ukrh)^To=E4<7|ekPx$qw$;t|X^Ce#1#IQ7f z9*9mPBf<{Qa>1Tt&Hy^J!kHAz=}4|w`y}c>oeF74QnD^Scan_SKa55ttM#YElb+dd zUs8N$f%3u1w8B6VOG&0f61pr_u`)6}7p}}kyt`R)5dJxyU-PUwqt!PQqOa@$ZW9R6 zLC}8St==)JuaZZGD956ZAH^8FerCi81BL{yk z3?UTsD|}P0tF7tSl?zfFU5SZOGAkh2o%1+;&3PAAmb-YPjOBylQn0tontw1M@F3H` zswAty7LKs!R+Yt@>yjOyQu2jDfAt&ayAJM_N=qhShX}BAK|yL!YT|GJ5mCdmHl4QT z9N-j+V`0slTCX~tH+tKw=aB=5d*jeZOQym))o8^`$M!-Z(dT&F^$YRSEm=c-mW{ezqHA*!2LeUP%k6**`VRfh#BdV+Nx#aVn?^# zuzO#s9`pD`-WOMvYCctGfUjg%F>ku48|yN0L4Fge0!874qyv;uU1^jGy_D!9opi2t zoXYK;7Wz%xbs#a%jSn^|s z^2HO^2NmVLVdfUapGKsk5=F|Xc;(%?P4DvUUF0QwdVOo}Dpf7+xIJn^j{B+BCwH06 zw1u_t>AqdhMbxA$2nf*G>oP9`vr~)!J@x0Pbk6G=iJsn>;#Q_KAs}n*^1Y<2U=lW_ zPN$A8kz=)^#O4-`y6MFd_fIBOG} z56NBi6C>R!VjMZEl}bSv7kMQHp|Lek_?g#96Oa4`tn|Khq$6NZZFrEyd?^Dah%ssH z-JqQmVCcXW2cq|Cja;a*PmPT{qQR1Y*rwYETmc(l51q48Qc*Gw(+B{`K8rPiIDXpouiCn_i?Oz2R2<|6 zav6O+lCoNPWilSqu|j^tvYW%FvsWjk^c-6KK@m??)F2H;HW3x1ZUZN$x-~rODxy+FZkEz?#S&1|RGtaG z@qVv`4nQlzSWf6C$nPBuS}d-gh(z zN6Ud=4-ANvaFUW8(0whF-@e6Y+BzqLnovAzW5+S8x1Y-WtE#sUf~1T77sG`CfDO!3Aluu{g}?nX zcC-5pb$9K|F@K9_!i#d~gR@ePn|Ct7iWk)ofHvZ+2ZYxjtJN*`ai`5yvZYRM+UniX zh?y+;GeIW}@k5*rpyqd>x9TdwYNr-1oDn2oO(~a%e>jgOmN<~QbGwZhh6$&u>p95= zDq~sxhCv6gFmM2YVsVEGwvE;tI~02eeZ}>N?z8F=AX{_(!S*1>z0`yRHK+l^!`z1f z2OmHH&XPA2vtM>1mO>OKiMSgmtbt8#FRRq#EU@n>fzdihfr68VPVd{^Ec{f9rDV8D zVTIRy6n!~TxpfJvf?NC=;espPG8~meZi?%IvD`+fiB@>_8$tc#vq&U~czmCqK=6R` zj$027Td#f+&3qs5LHi86Z*A;LYa$JmMY^1(#_p}U+T}L5$Kkcl*-lI3=R%s-c1l}tx5_P z&U@u^JkHxq0BG6|T?_lV9;DW)&l2YirA!-WH$CH{2QVfQG@}cS1ipLSyM4V&1Xc{< zJj$R4Y{nq1ZMSi8M@xM7G01`(k?H>RI zH1IEA^vVwoo?Y3L!U~h-=X+={(^aeBHj#x6)#*}p$?)T@~0_@z*EnUPcJvPLw5kx=2Z{A*!)wJKteVU$({do{4? zV6IV^9n8^^D7L63!D!5ktc6#NTi3XHqi1v9e7SAl-RXv0{-*ZrybBL!MW1O3IC=C0 ze}*4PCsS$;+b{CSkfF>`Dv@ z{nWgG(|UEBVE8y!6eRUV4f<1cGtfYf;!NtGK5+?M&l`m~rZ1DYmSNGWq!1;vhH4`c zfv;5`UeG`xM1V4i0t$={7Y(}j<}WfC{O4y9c^`OE5K zDY}V6;_f#qJcrIcb6tV*j`?2WwBT?L2Prez4$+EXDSc==_ zhZlXcH0OdYqqa#=J>%4N*OW7eP(zS2qUp`S<~KJxzAO+YL>VF-2Ap=-)ol#B#neg9 zKvRg4^pniIA_^}v1sXom=w3pNtlYaUbBL_qjxkxo$rl5Qx{Zk0Z;n&@D(V>8Z<&C+00YkIp`KP8lH``E`h1`)$&mzf5BaP3~OZs@7C% zJ(UrHhs?o~_xph)Vt*U-35S`z*No`bq5h5^{yYT?cwN$SZ?oGhELb7lQa+qAUzX{ZRE?aj_uv2x&p!S zRvaOOUeB%UhZ?Y);l+H@cZ4^0gfd7~a*6MtoFO^&*Z6*$4DG7#|W5c#~--@HTvi^{H~^T0Q9q{4^WGcffJy(h%=ELo$bHKM5_qY zj!8U2Xh!zc)X;T~Vw>*_klY+WVNB|^(ld*!24Bz+vM!%3e-X;5rSg`lsBTZPreY47 zbtzW9)t7x*XLFJzZxfq(hsFo00ay-J-tcfuPrTg99PAB;I|ebY!Dd7tU6XpKAnE)NAq5ZW+NvHkp`Wj z2%`6|Rv{egM~U9`O5GC-6}Jr=?&*5hczesdAq;-JT|x3D zSW%EX$Hp^ydT+V;`m}#|zJ6zOW9#|)l$+4k3?=hr|4Gn`i7IqnTudya!_(??pI;Yt zggjuLJ)J;ps*UTrDZsB2qA`t7f{>#YZjf8Ly;qhx^FlPE-NmkI^{3)LK6rAtelB(E zQTs%A0jb32N9I2tILXBGi>JdGC}L1t>^CmT$kumiqJeHd%fIj<9nTvh{zSljey*Gsp zzGvTk+<)f=_T=S$cV zH-Hu<(T^5!rD4wK(L3yf@kYh${f=yG?+9V4;a=Q%Q!C+ddDXeFdiR?GU+q>riw%*2g$;oObG%wcsJ-!1=P!r)W!AGR_7a7QM?#>r z^DB06F=O>lb~3no)KrAX2&|PuUZVDXE$KO~r$p(qN8K~-e1@?d=JwQr&G1sDoAIyh= zmVq6gf%y;ZgU`y!OiTa2Ci^h6GO;pJ{4-S&u(h>w=H-Pku{HiPF&M^w%>Mrm5zjyF z`#&clZDMQYY>v;s$j-v{KaY6+Bj>XeWUQj|f0FY_BB5ieg)@?Pv!j;&v)tL-#F@W8 zAgHE5DV`3adT$(aeE5BP|GE^h?9jZ$PFoXz6(HA z;(hykfiHc!UqODIS*z%(@#gH9Ya|_9u$|oSS<1iN#Uvx*?R0&*xClO>O@QRGM}#~z zNQP(5#i%6vN_pya{bWI!=02VLh0?5dx~x~S_x5ytZ^|KGnoLC}qYgLW=$2QaGv6Rd z79*=+n_QUl)PC~VzI-)(MMTkJ31TrhAFiY*eb4;`+^vbdv~5 zn~bFKSd7t-KWJIG1*xQ~c9CuPYS{f&P^y)8%HSwoi)o10P`X~8XFSA(@TtGu&xT`B zH9^%3v6eZ?!X5Lwy;DUZBZ^qd`x=+s)X0%7blG&(kD1%?ylIrUCQ0LXGjF9(x@Lq-nFq;Fb1c?pdHP0HFe8&)coi6{#}~ zwy%hSrUrUG=$Rp?`8d*hK%4^Wm^kSZNUQl3f=2EVvN)SI%b?m#RD7h+%&brR^Q|rbUTM z!h}Xz&SGQsQ;MTEuGHtgu6C)Qy5X0cm5ViDps$Y5`6|1p)nhfd(lJkYIy)X~hAQQ2 z^hV@yAr0KUzy_!em*h^iyt2WXMA~D?UH~9eiND2eG1SSxkDdijU|a>47H5*YAJf%N z>t$FYr_=P*PtAocrL)-&-m6ZYQ@#Z*f#ncv!D|)?9)%dYm}Fs-fwvC-8Rz2?tcU$? zoDb99KR6$jWOz;v!)3xyV~n^ns#^^sOT#;7K0uBW6w&@I0bbAs7iAb zmiWuo$xAI^HSy)|hl|qQ_rS(GE?-o-7!-dvA6<6X*YyfH>}pjdgC8-=C@W*3)x{N? zjT`Yl*oP#iPk}h4{+07l`B%<|Vs$q3nqL}oEOaVgGnG;Xf-8sk-#DLue)&Xa+^vq$ z1pV-81Y7YCahRx%Z2|>`SrW@jK{AaqESsf$G%#B9=QNn&;G5@IWzn#)y;hV|jl40F z!5UWeU97|*$4PyvV8a~qA6Qd${ELX#v!!_Zvj&gRK|HnbRh{q^1qpqD4h#+szrodnZ z1kqT6TnLy`?DGj9h?Q^hWC&~H)aacC*Hdwcq8_ki@pbGG_$&$hZBN2-T zY!(Kp0U#s9Oc`d#ZZ>*6k@*=3NIq_oK`HJLwg!e=Aues;BX3P&4UVo+=T+)DQ*)i^D97UKX_=8NFgE1 z#~2`{UE>G88yGVs^Tw@GERp>}OBVYWD83<=Jn%@9IKSviSpLwwD`277yCSmR%hJr% zZPs}_KzxjDR+S=<)r0xn7a`i(Ew&Ztq5drOss5dW9d_-*@kUVQVL+?%{l}njqlF+n zXEJ$44tyvo2|sqCjE&%oS|FB3XsUeyygdx!<9nJ2urQvwZ}+U{4a8g%>g7^1*fwaD z+DQ}S>@`Gjp_cn(41(11Dyfutc<%*6N z9bz}4OWvAdI@4w@vMBU=Z#dzcpE#M`87G*3Y9Gpn7m%i?6M7A&GxKp;Bw zGVK(Dj$v~l*KfnOkW$ebfUT~Bi<*3zfvptD*x7KkL>0POo?+>))ZC>=eFz#L3E+hZ zZUcovF;!5}2F92tU0Il5D92sGxmPc&g_RJJV$(bH)lHTD?tW?CDWr~s9M=S-KUE)% z`fr?1U?T}ohGyJb>G!M-=$PXcyLGP82h^Vvl#xkrh$^vrSsEQt(?cq~-w6QAI{eibXeaRpJbTiX77;SvhO>_FE@pW>1^LiIq!%Jf&~~U@ zPolbXFQ{P8;jyVC>V#~(ZIEhtAMptZ>QqGDc2F1ZS<{l(LWSohT(&it>iOkZ$#efn+rfP#V8bYEBre35Yc96iCa+O4))plx)+DXzQXzd9Ht zQ?ObPWM<$tP;A%UB^TIuSb!}tVsxIawWlHF#X231zJJNv#*#*cz&NOTI-J&_TJgQ1 z9^06m9X;SrVpQqqFtryv7F&f}Cw#XWRcfVKQN~VI5K0=rDb ziFO2FPk25xE)#8p!ZC_+y+h?62uc&gMh}^_b9*fSI1d(~kT;*v`k7F%gkd7yigg^jDr-l3mjP-0ol0g3C z9T>SN&P_cWTz>H67R@O#P7mX=?4U4)RrtKc#k(!|Az7>fm=QWdlVX7w<%E=g zba#ymq=ZSm*IPz<0*%m96o^pYrPL!ALxNKX*u5qW>BJbh+BEPQ@>A9jG=}v;`VI{A zl32o;!@AFI#VN(yR9@`B#+=f8fTZ9i4Pr9qWjvT6Vu}g5<9;e4$c~lA_g}SGt0Z0R8E8?R zNB0qpM8>`70tv_=Wu@|CF6p&73dbrm@jd_wIcssV{>aJ63S_KI_JQ!wAF-&hOA(7; z>4Wv4P@{iYlst*`K^C!y%jyjk%tM?BaT=)lK&5qK+^HCsmB*GLuujsb7LQ4ar`$ks zjYR!(o+^ZrqrHQ7fCIybet4r?mU|JWX(n3<1w;pYcoTVn&oqU%d{c*@Zud~ zA|a^^p(O1k>o3IBx-i-$?PkMvSim+lDkO%e)_FKK@OnwDKHlI|)Ab&L3`Oc>Pkw3IOg#2Pc(t2;tu%ND zWU$O*MHh;qZ8}@%hN^V?{aaYo2AWLeT&(HTi4dVMg+;x$>1&);J@}2u8sP8_!(l)% zc0HB|#f(lTw`9dsSM!TbViHhe1fg}(Ntf~dL_ zvF7r$qw?+VXST^pclki-DJ+NraxU8Xygc`1UXLw?gH0S*MjIj^&PEja*g9_Y;Tz>V zcrC@*o*uclS(q6RIt16GkFJXoC~OxrGS)s)80b4@Hu#MKD^0$sU0yQ?OI9P-gQTQa z)S@z~Ym}j6@wl6Iyg$L91N;M`&hV(Wo{MNhH{}%DmCqefZ~Pjpc9}GA0=34M#M&lG7MlPJQtey$6pC9bl%b z^QUaG>OhWD$cwl@V>`%cfDI-c>V^K~$Bswj{yGCQE&c{*&85J_V>q?9#NDp{nhskW z>_$?$KxZ|e{KF6~WF~Cm;IS5E&nG9o>UqgwZ}*t)JiiKW)0$ABIM|pe-1Z`|G>os& z@}kn&aH1K8oadvAKz(@qulZDGR5y7VOl6+PKf>pG!WT(gqKIz!Ij*Aa6ZS)SV#(H{ z6jD+X<}@IIQhmw7ay%19(xK$0LKU&yy1d;}!Ib$+Ii|*a86bp;qB9#M|KH;A$Um=qAyVB zCgz#B8Z^iVTrnhXA|Tt{yAjpF{^}#OGYApE6a%j8zJ+`d0ssCuZgr-m9i87+yk8r+ z>5?Df`+CXU`FayCDQky8^dOW*98ykn+8oNnhOi}&4d&+g;(?U_HSN><%MCG4eTZ_!^GCw1`I zes7O?6XD+FVzNI&_(~o)!-!2~1rW&x(fO6K4RWPbu_g-Rau4NaG?en_D-qGurPOWY zEX8%rT{oAcYV1t20#binAtXpX1cLI?HK5iO!G~UUDibI;$ z-&bvN)3;*-s5SZBdwUFU|DCAAB9T_i`e!}*2!ROA8tM}J^NKjL=DecKhg!<}b7@kM z8=Cuj)KMCoZ$CzsQDB_8tlv7wu)G?D-f)j699Xfa=Yb5L*%*P_Nx*u#+V_+P830c{ zgq}JtEjGu-nWV^f@dgBEY0I1?aaO^0b5`giYik$FODK{6T2Kp&6;AE&Z4SGg+>T6i z`Vb|F>zCut)aNn~>`jDEQ6(wrD&i(n?FD<1&XL2170rETRU#X=;|y5ZQYNeVpk#9w4flG~f)2ns()cl710KBR zL4ziL+Edg;LJW7-?SuyV16Zh>GfP8O55XB-d1Mb`0S~pVvQqTQEZqumBGVlhiET%q zN-9nNloi;8s@0M^Zs@jJD&P%h3n=+n_{O5j%CtNsAYK!GyHYq+(tZWx6`&HwAC4f& z{$Q%;)B49VMqTrWZMUtH>C2@d)mCO*`Q>W&R0~RiRphjG2WxJsve`6HN6>E!`cc;W zswXJL=s(&ba7_tex-GDzj${Q;19xUYGwp<70CNP$4kbMEO}I$Q+!Sr-tfhD7rQqzgaDYGp#H`D*a)W-DEQ8s>l(0luy zL&tLWH!?L;SST)UJ!y9lKOQiWTsi)*EUs7nSpE_?T608St3M;-j!tX9^%&dTsV;yj z8IhTmGUl0NC4I8GiQJV;#mNCk2y70gsJVrfbh3YtAxbK7AO=Od1`h+;|M}WjX=J@jB-m!6z0;^O^b(8?OBBhw8wL z)ihp1jVxrNQA8?+z}sNYfGdQYoNBf$;l&WIoq6We0NF z1mQvDbw7at9lzc6>J`$yZ9UiMm{W6&(1~9M+y>ir^wx(U{9Z3JgA# zxo!;G0uf+F=g*7TeI)Pxr_{CF|5$TV?M;^h_jU_P3)#HS`PiSvg_z?0Y@6eSLb#+?YZ25*wA{VgVd&l$~?zP`Iuc<)vLUj#QV%A>a@o z_KGegg+^^&KU7^Y492YF;npZ=yA)Rwa!u&9Zn#QM$Q>?$W=j5A#2fg0JjX?}Ox4{? ztajlj-yKA z;y5mfuQ1apY&t2i_*ZX+lVL)qn%(3V>aO290gV~<=$#$lE&V=OU<)5g8QK_HNRyg+ z6krk4ARO;UPmAe6b_K1YGoud_Ag<8L<3EeqD3jwLQ-;u7l(K8D?Pj;}L%yFOAF)v5 zM?pv4AMmp(x?{g9802lpfov=yI}}cX-(^eed?dZSjji+>DDS~ZeD^?z!b)$Az0yhm;YFRPtT||0GE}Sg-*$W<`Cf|hb;;-|G z{QyYQn-KmS|6l~AX8-k}75FhHp~Mhoxy5p=6YD%4s9B$bWjX`ZyYPyrQ@GX~do>-9 z>?*1Q{0SUgKzo^K;l5zJXU|^4&jXO_28y)Q*ZOCqMCeF4$(u!Dv_w6`U>$vh~BS7Q$!wKB`-2>nEyVzBQ3ha3!f$&STp^h#wSmg2cf> z;80HYgX0N+n8pV(+6&9I+k50z-1owIkb(S&)vm;t+;_%nMkWj$Fo1N})55%wCrXqAQ zcupE`hpthAdb(gE3}AliZrNnb$Vtz&ei_1yoyo9KyRb1>*4F*j9%kjJyBhk`u`mY` z+c3Kah;!S`ynPj3VP|&h++nSuHu~TwFtI`!RuwcnnFt#KbFX1Ejr`1u)6Z|Fi@0&e zH8L1)V^{kaMRH{FG$*esYvi1Y(ylQ7K1E5v1cwBqDQ&06)XkowXz`5PE%t4jFRtj; zvw!xxa%HHiK7nwWZ ztI@}q6tKHt9~9L)jt5+d5OTE#oKjb5A9Dx1y$fxFXEcd%+Kstk$#LI>5!NXyYFl11)g&@)HmSTe|M&s_j zvg7M!cqjICrZma-oj_1(Iy=n_Sz#X^aRqVJ&S}f4dB>Wj$-;x$uIEn-8E69TixU_M zC4Pw?GV&6t5B)V=;KX+4^I349N5z!V&*U`{*bXWx33PJD##G9g!t-PV#{uaqB6O-xt4UrILy-|@kN(YTe|QdUeofg9==&Tu(oJxLXUoP%#fNpCeF!sliG$YmKdVXirV9WH2nBNOM1?bAs~en z7S><{K7&WZ1HMAipfE9LWUMtlUeAg0(2Yp)=@X^F;`&WciLr>4g5&AvBm8|Q9I zswoA#huM=yE>m(i&NRp3>D_J1P>1sb*kLY09&|@0b0_EO6%UH~kv%R^8w1)KaRI*- z306XwK*g`&6PV=t2jO6&{KEXf$5YqQX3JAAJ>`kE`?;wHZ4TQq8SC(quE=>>(fO3a@pR=nYakLbNCc&;BVQ^!k6Y){b^x?Nrti# zFe1?o{34+x8@;Hs^-7CX%+JeIv25E?3Pod>&G|(ab*t) z%S(liNEu^vD|Z0-o0seB`c|btRm8tl@7ixh3b+4gK0_B!R#t#vYTx04;;g?#TT={aM{K41-pBvZ_ zLYA`^O#Qx~bp-F!r)Pf{pgRZ7^rpi)l+2`uDQGi;J1idm42Z%cmf?9}1H^|uEAqEeR)TQEDZ5-5n zp&MLHbDbLHJ$$d}*Ewcpv+|bh*KIUt8DXsJL0(zlWrlyWuM4=)MPq7sG+FQkAB8ao z<+8eVZce3Zm?^VGbcld4th{ME`8L}pVUNy-!L;1AIj^Ry`>p6wG5wpIo7?kbEK3yp zEc-HaHAHk=X#!W)OooQGE$c>Cn`VX^r9YHiy!)aC(B52uH%mltl63V;8!9>Z3r#bZ zgmF~oK1s9ts%_0gIuVeyZCh}G_v-btT@*FIO61iDA}Ukq6^$bqyyGM=AJQebFuY_H z1ToE=;Jo?M$-MQ>9S?-^DU{srSIX4-`8to9MG>I-ED8=H4N z5McncpGyf9{~ZHLgqL+m;0&~t=jks(od(>gi6iE=X!?o1B` zew$)yH)5;SR`&$Fm=!(xuaFi;@ILCgslhDpO(?r*zaP6Cpq;9T%d07g;2DJI?myJ? z&l#6Tu!kCHoNFV#UE~j8sEwTj?MIYVAA7Vea73o%SJk2Qo3vvsR-G$KrcY#+L8s_e z;B~26`E5kfE7;z0`R6MAA^?_b&eY6Po#=oaMgYK?eS^(v%}oH3i_}_ zB_I50&Ve!EOyapOmT(~+-SY|#@J+?8KP0QG@H8#hXU~&9qdlJ_X&NbW<$)AJgZz(~ zU?cHG!^VqVJ@X0wW>yI-l+}ucWn=^Ke^WRS_qqJ(|}EGENUgk&zgT zWl5aCHy=dGKuD9#L$qJ&B`KIEH$uj@N2XByuy#!Rtz7O7_r^#5*0Xxb0f4=_>TIId zmW9X1=b9z3E;l1HjuBo74@UUVpqIZN=-qW(Yn=*MeNXH`fD*(1GsR8Ik*?%Ko(ks@ z{tR2^NC_a$J$vJ=-&QCH5IQR4UmU~i_&y8Pl}BlweSA-f~m>{ zusO87EaP;*Csz~a-K`BNwh&NIPGt?qVXk=i9f(RFHe)=?M#uJh ztOIa@HgX-wpcZS{%Xp}1U)HuA+GK|ON4^TA*6KVjxC3i#YUa^3VB|)C!nfaL)zlogIS57+xug2crdmN=D>Q#{5bL`^ z;)pZ2nF>zZ*`Y&hE8meE(hRbJxk(3RuFY27NEA}JtnKQ}7b*lQ2&HSEo{Qh(br*p~ zdV0f&Uf#%he@gEcugzXg&-;?cfDap^PbyI5*o#p+m+MA+j}KSUp{Hk5-vTM3XP z*n8~xs)x5Wl()@ad34X)yd@d17)5hJ;nu0C`Ry>~#s`_t(&o1X=vTj^=mp$1<4V4A z;v`LfwY2uqdn%-T?I}r5au^dDD`98sjG9mk03CLL%bTq$|puB~Nf^ue6?1wpm9Vj^v z=+Wh-Qi}n@mEG>%0{+kp55r8?$q#d*_aJZj%CPy_iU&v*7aFyj89pfD-vgU9-0JLm zFPhd#@@2(DsYA_}80xZ`O}TTQl+^23aS%RG*!Fro!h4@HSQKLjIa1~;z*yO6OB~#N z(K#5M|BL*{AM64&E5?^_0Guh0C&<0uGinwWmm>c&Y0XGPX9MyRET@iSx54(PTTdZU zeCj=!R}mI7$krWhSk+@~5y&c!6S%J#h;zvEuvBRf1pEmLb!HlQDYtS(O}=(}D1Mfx zoc0W2)J2ViK%6oxej>PAm~xFd2?B5+-y3yCcBP6+z6U!*VY%X<$09OA(;Gw^Z%V90nOp(N+GRE~wj5r%UG4l7_ki?2; zY{)}A^b)KoJ|k*L&5wcmNFdi88KOE2(kEd+(-q%&SuRc&^7gO$V+y`E^_!|#9bu0z z8P(pc0?lRyM?#COQA=b*e%UeU7DWgIj4}Mt1`HPk1(WSgb z2(Qy&4)F5UQ|g(s4Wp%mc^=ELCbP|$fISAFG+fdq@lv*gz}FCbu_hV#w+tx+JvpQJ zQr;P(0AxWgNEl71Tw^ohg+MYlKq9%T2*(qnK!zRx9Raiz z+WtN99*T_nuXjgyRL= zOw{*kE{l@K zsX7t8Nz^2{wmveUaegZtzXh$KQU~7huP%Wc zVaurmuHs?n^=%n#SkSZ%rx~w(F-VyoAhICJ#b`53= z%^&^vCKxtphy;aC0+fId*56ID(z_q>oRtIMJtU&}W}VsK_*9!FcLTJe0-$99xz~4z z*p$b%MQw*IZ-{+soo)LHH@1aE#W3eW=426=BKhjigUtKB_KkEPaepmA|Cw#0U5YlU zgBrqtXFe43*H%COS^ZnP=JOz9!B59iFJI=sxoL2Y+z&!IA6x+;Hab&!n+&?`zzVA(4KXtU&Y=3!0Xl`lu14~;=t$k%Jyd*d z^b}`ooXzZP>wGhQO6PvtMjyB;{^*)Yx!b;{#||v_yE&F{77IAto501}y&q~rG*Llk zR`_Wkj&MaxB(Wcs8cWkeYHRJEPRc3i8_5<#p3E15EWNb=m)R(AWQf|wTCHC`O8@w= z^=4o;V-3fCWAnwS@_fnFg|&_8t`}qpM#X3fEoygsH@7OV5g2r4g^m}pM7*&E+)FOS zwGV(#B`%H$NwY)>)E`fw`E!dtfaLB`7|13Dnb)ax@7DZMhN6&9j($u^O-riM{7f#- zdnOKOU1XQUJNNl`^QxQED26gggQ#hzPX!vGE_dZwD8=E4ulHTZYkhY>V0b$N#=q+VmvfpsYM~*1Kvm9k8nBiD_pMH;-62>d1?w1 za#CXivAEvylY(XYu$PTq_mxM=>LkFf`E?dUqXJC10gB9*8GdF1DnA7x;B?v)OhGa3 z3DpQ(dV|&P7RVz;$o3P0cqJrz*>u67*J;xCP_$8NHieDZ9zQ4!?HwJzlStFxb>&vY z7WkpcM8d!1TC?O#U(jaT=C&x+J;w#3eo4YS6xhOtPVaoer7wn&lD?F4U>na*qwPX8 zLtiMyKY{kmO-;uUfW}Ym?{h2D<2tF^u0zs5<0);@>L)XDZMRth-?#Tx5IvY~G-477 zqMel{do>g`zCPNk+TpN;&LPd0OD66GF=$|w7kAtZ( z>pR86z%?XvBYOw~O(!F2!?bKM8IOxuxgRm?q#p<)p^l0-U1kKmCpcr$8fz#RW2NaD z05B1dEpYBe<;VQO#)aG{$v;LT!q%wS2;0gk1s=f&rCKWK$B0AAY2zGS7i`{U7%qyR z1_uaabS|S{6jk#Zj`l((f-Wt*x#`P@Ra+NEz>;vJJjp+@bdiJP(hOWd>w7{MZ0F|e zL}2B`EYVJsU+a>aRpjX~n#^nd23}tQWC0$WRdxzx(>R8tE3O^~Y^Tu)EH5_C-zuQE zGK6W>^j&MG0`AYp9M`Ez&H^lBhbt zB^vAbY&{l()cvekdJKf}@R1+1H+hUH(5By^p><^YShW|l`aan^QbM2APj&8x-Ub*f zQQu}*N)Jc=j5L0QPeqiuQQMY`yezMr)5kA!OE(5H6p{_yL&9@0xCfs5NM)P^w7;Ze zG}03DSPRSpD+p$q=t)<#!ODz|q5K!hVxeTkvuJ1z$z`1Vxn!NnhD{}_mYBO)x%yjU^J&$RgZ= zFXVYirWC2SSSt=Z?O~FSVIzO}xs&hmkKxojr?5Zt2)jSrzpUSOFEl?yuo5~Am$Xgq z%+&aZJ;*FXsIadEuYPYPV?P~BtHnj%!ZI3_d%>89RpnW$riC#vJt_^O-eod)!D`96 z|Cztb!V=B0>GoY@@kLKzQ(V)0X1K)b44WR0He%ZG`wt!M**9lCn$oo^AMF+*Keunw z!Pk|wEq+VdG5&j=SfUvAHM7$S+ffJ1?P}ReBLzMvmL+d5p0Y!LfyXWm=`! z<(4^(vqg_VP9V79Yy40QRH!W`B;51>SmZ!&0*KvJw#oshpHS=HS-a2SWjlb>_lc5h z1~s1MV1G!tFl^V(uEJyAmb>)L;AF%A4fi^!ScqEuoGtk77L~v%S7ZV0*A!4JneJMN zm8syhtThWdT@+{=*|@FBsg<5lMA0dA$vMwi7hbt~~ZAQf#Ta)?2E?~|*d`jiT zbdK8Y-H^~K(_m^Qt*u$D2X6UA!1gX7JG!2Sl-(iyS;OJd9$e46KOfU7Ix3v5Y?}<%8I~+ZDgl}*=*NG?4(}tWLM{WIGgxo zyzG4*b?SQVI_LY_a7MWHOvMhvbArH1>7?WN%<84AQut6F0L6~ZoKj`@V&#s7lQE7& z!h#imc>#3965b52l-qP>m%wFx)Le2NgUIGzEbT72ro53eFqoPctro4K4lop#)!Yn_ z(g>ytcNpq^ogQL^KlB&MVs577``EB5;NkTz`Cv;aJQGxbP~WG?PSqqPd;^VTAO>Ou znfu_LY@nxo*(FuCxlUR7@7vY42X=x+<%Ys*K!Yc#AWSK7(2?|z)&=ZVhAztp(&|i2 z7L(2*GiOUsh&Cw{#a^2s=fwgKej3Id4-$9cZ&0JFR#W>eG!e`!FC}zl9Sj5f^1l)3 zZ_dFyyV@FS`CdZCK_7O{^{p1m&t5y@TfQZjYUCr8@7E!e=#XHw@ZzgVCGk`sUKmhd zNZ)&yB zz^JbLBAcg7-K7b?&OQ)XHGdFWZX9H(FMl|7))g~&QbxK&xQ%9In{|h7YUti9ty(&g zfvu3uJZktq^Fy5IhK}UFYB( zl4KV5441IsvhS9Mz=%ot^rPOZy}&XbZ7ThMNxCs39EJWb>=?HJ&n8lT3en|Mso!8k zKR0O@V}~|UPXy|bCEzdP#!6zWBy!y z(ufnUZ6)u=a_#Lm%u$q4K=R)c#23&%BPpvVsCq=qgcD9EP;;;(jA`VRnjtSTY{T1# zx{KYwkbdhubQ^F#mFGFFCUUx0E~wQFemLM8Ud>meq~G+Zkt56xykHh}02oT}l02^J z6dr&nSwijGpeJ!=T7u>Tk_Zha;6!i;A|z0x0Y zXiYygEX8GRu<9azO6(~0vAas$c0AM!d}g%0*$uq`v}Gim)K!q>2R+$NOnZ#i=Ixci zZcyvBskt%otdZ67M)$nee*6va_BVBVxy?_mOUxNXVSI0@XE3>na1FCBL8v5{nP?0O z-f^W*IZAbq`o=e`nmRLzDw0z%qY6^vK^Fo-XUqpqZQl4hj@nhuYHJN>fm;TOZiV?kQVSI!4L!6rn6xC>0or9(#v}df+$_Lmoqn z$V${6%z-HeNh1Ke%00|o@0T!Y?zBt+XZp@#@nZZaMMf!h$Z&td7`mbo86!b)H7M0H z;Y;|@2Y!?%nN|vjNSZ!)AhgZe4jW#)YZ3K3Pj0yqXotoVyAk{9SaJ#{6! zs*rZ`(h`2xv?i9Ba;!?Tg3_?_)oO;&EKlSG#k6YWcCiG`UP?t^>w*pjJ3Cyj7VaEm zzhs-|;O8f-7)b)?9ct@15C9u`KbVmbv%Znzu$BGxfW`fwW5Y0KH71;!0jFQs)abRDW`9OAPhSUWf{ zIhL_1kNr98)v?iC)o*9UxkU^A7m0qAiVx4D81^;tG!-oqXs-%X?3W=s$?~S5aDagR zDn-}wxQRTJr&3Y#II9aiW;YF{KQ|9->+BPG!Ima6Q(CZ3sZ^5JMojp3Ae(3g#f4ec z5w=!${SnKrq)YqWh7B&hsRxD=cRAy8EF{~I+YMyBTph1ewM>xAmgDoABA$2%*0*Ze zv!GJUlMAEDH$l2O47A47ZPd%xe#*t`MP-^2PSNa3f3~$x=YBov#m|wn*}0CSr5xtA zSPY#B%W+%Qov#1((ca!d`L^o($VtkZyKgOq>=BaX{^&;=3S^zer!|G2BHqFaNN$^6 zg!2-_lhXK2v9Dd%63t-d!8Het>}2@t9pblFBX~(1#A;ZP>)aEjr4AW{S)R*F!uI%` zekVplZO+PvmmUt<|MMMcsgNyI!q(B+bRA$g|4UZxy{gnfveu%UicF%uOSprge8ynJ zQgbb&{O#QHg64fiI$s13JWfdT9)v}Ls@m>P4Fa&B9FT9K8yu&auM`(GZ~H;;UDAKx z*I~Kgk1M~hisf!B-rh;GlSHTJ084>3#}oAWt8U{4J#A|(bnX_=h}R>^(ntZ-z$gqM zuCp=aOj=-LP|_i!ri1wBqtYnyYAbFeO)YltzO$9gQp06ypZrNI-9m5B6}897zs|hCGonNJ{@J( z`Lg#>T)_*9sWfOo^b;O62Dz`h_9Smh>7b3t(S#RoH%0qp82Qk?C&z}YTSeWZiP`c& z>Dlhe}1Qu1AUD z*~zxxc^wFCw%O9kvl95Kp+d7)0iWQm?=pqQ{P5^7^=h;63Z;cQWU!upPyD2rM+eZW z^Be{cGTU5wx)@_fQCGwZ^1w=${tlzX!j+RL@Vn0=PefdssFz@B zRWBzp?C{dje8tQScufPu;53ikEJ9Ib_QF zp5IEdd`;E@nI%;t)>C7_BBitWk8hu0qi5DHroW^($>D_0l# zio3X)dv;e!&#LLbq4lXBXhvO5*Q?XG-KISx_b==Ldc{@^>PQ}`X9|3g=CUVkK2Qa;+uCp()Wl}LNfkzfB+5%8B0~fF=)-+TihLRPlzFfDX z;#)1)m&OhY#2sI-$J={yRa?yatYk-_p>ypVbo90b>roX%-$;xiON9yMR$hix?rgAv z8~(A{w_@|*ak-H;yQWH*tca#J#zIDU97GNrO>Bk1DXS%AX0`ce{mEKZ=k)k^s5bvQW%%{o8SKNeE~kO*w6Ypj6IFG55gTar7S5C}ACc3M(6l zh_4neWH%BWt~%Wb%Ohv{q9C43vzSTdI1lCryVN(U!Qi5M|6hoMxJUDkAJc|5Xd|iP zpP$=~`w-r`?v;>Aschx>U-)#sLZo+3DyWB5rYub3jP2uQWdZ?mm{drhovl8K3q@PO z;{%O-Y3!kbsc4>-CPJI%st-g#E@dJIuyjqAA+!ep?G4+}O*y#j_RGD6tyJ!V#DKvo33cz#q%!MyU-w<=V`T6{KRCjOak&&gJ zuU97ZEnI_i+`|Vx?`H6Iyx+x)d%-h!_?a_pn&h*}l6t(=#aG%GEaF`oZZ{9^-V!^2 z%)VD-T)tg`I=&9q)FUXtEAn-0d?aeMsmq`zwfV)-77v}iJ2sAseu_|sg&u)F|;bJGv@F0C`#go-!WNR#oQ)X4oGU60H3bt-+`;_~}_s4_p2ZGGMb2$TL4V2GL z!lC`v57Fsbc6T-IrOemUqP_e zj2trMf$9UwkAV$ype<1>F5|6KUjMF|+@qUp6Y|4zHafEpaQi{_NP8);1kP5-DH1P*1j zXDi}d>r{!T6G`(XI}+sh9J#T|gC)a-6X|%lh};BZ7YzP_F~%QQq#QXE2f6~%iTSDa z^X>P*b$TW07^cPju@D&-o7=P{opMLEN9a*VU_HU~z{z*E$X3wQ_z-o&s)QnN6JZAM zz&pzJFAy6jfQl{It#ZUB^r`YM(hCF}?*r*~b0Q4uNDx}!PHO|qw2rqB>jv51G>t^f z;Rd&gYX!zJGbpYpCl>MalEYOkR3F}y z`?}%Ov8P0zzPe$L*}X(gmp`euszB6{VUj=lGeCj{r2ZXd5d~6&>d4D}f~%*rh=IFJ zbCQg|0_*|}0jy0>UXf6%TZpsJh_2=%PkRff0WH6TJ8U{>($S}N?3I_V#1jn{7U32) zxbKRUXO?KpOBOFNQ$9NNd8!1%vaU6Ww<>;IvaNw14M)00ev`~iP_us(TF-Q*ca^Y; z+LiCp+kDR}@$1z#@3TE5nBa<7F|Btf*=ao5r@4=BJ^45$0N^A%+rZG>QUaSPP#e$c zHA8~&Rs*?IGXO`B??WkQxU>!_LNDfnTRJX1IFzPY9$4E$o1mviW4K|{Cxx^NBUfj$ zJ57ys+n%Gj)K=Cv2JqXK3daz;m&$mC$!utGpDqih2m)0RXf-28=y=?Ty~zc$Jja*V z;9m8*kz2=|D1Ei;mnJ!W$xjp9-^pzg=BP&vwPvFbpUC$RXIl0ThxD13`a?L$9EX-UIUeA0RhWk~x?H#f4=@~Mav9_!{w#!3Vn@g9Qoy~2)Z2FO z`D$AVsj5I-H^5|d&Z5NSzo}ak=JaVJYe^mG?y?U0>YN?X#zMqLdrOe$Xd8?1YSKM* z3NUj9>k*Z&UY5E$IZFeF>^}^lCm)zD&W##C_C=HL9gsXcg2{m4qm?!KO|(t_^jYhl zu7D#Cm6QT~ZG64s*-|UcS(k+*wSV@7O0oMepPPmGD2D0;qt9$_%7N)XA_gsmwjq8H zNT8hn()KBYm#)FH7u3jpwyC%*TqdNBjSDYQC}wbukY5Ii_8U#`Lyylu*U&wK>Q+Lpw7movt*hJcM+k2!N#cVHy+7r~EyuM)JM zu?fh>z^}H$1cfBqE3j3%XiayO4=_iQ=BeYpoHyLx#bY)PhNT4Jn=iO2@vu-#0+)o^ z0xilX^}{9)@4S@AOqx{-d5rsZn$4rdlRMrb=6q8*mQ0tOmBm+SWyVuE4-(q1bkB^{ z0Gos-tVf*38~T_W@ip14F^s}g);-7}R{9sED$j;G4@HLPR8BOcv64hcRV?iJaJ z=lxWVUau8z9E(PWo#F7YRt9@G`pR1EFwQH4kUQ_`IIB~{>Ia_~s7XdLR$p7c?N4S;?&IU*!R1YVm{&HbwVfQgD;eX0-?7OZ=`I@Q8{1 zV3OxFlK*T%7Mgbw23zZ!dNycJuXUx2{pkBuUjE= zDRa*WUtk}Sp7a@|c1m9I>eEQjd|6}{;6?E)`8x3J>0){Us^w@j+R$0e?oV@A{GynP*4v#lLcuU$C0|WxLs2uiM=&L{+_2wBvf9BA8#Q6|w!5M$g9T0C zk+_1p^6}Ex`c}0|$_$z{aO*|?Jbje_@kCtLs(-KU1AM<^wP9vUKdIidyh~C9d$n!G z3%iF8lm}-jU#Z{kU{m^^Lpa|Cf#rhoQ2-2R_UKPa4n{R^=eEmqLg5%ZinVOd!26xXdW3@iv{?r7S z(k7B$=+KcgNMJ+gxA)-Kp8|W#cmr(yTEs21Mu@;og)O`OL&(CdXfy|-)?SpIVKHKt zRvfcR+Eu4eA&3WP4RhzKE4ZK6lJ(H5c zb6CrPg;Mg*Yc2qpI1)PL%t>68Uwsf?s+)h$AkQynM zR9CS&nOyBM`{4nWHHf0zn8MAwFr)OhmOloee@1c47mFl={ zrp+y~vSRFw)PD&$%>6h~WUWxVO|0-l-cJ-4Rrq5FIp-T37 zs*iT}y1gG)Pwk;;8)%Gk+-6`!hvHTov-`mzY!H9BwaXf3}+fA{f$O9OtxHw$J zCpnYT=B0T|oUjvK-yv5>88e!Jn-;aH**4$%G3I0y#6EM`@q`q#HT91m6gm=# zCU%uV#Ej-&%?hmZbZGAIdDNK+sAOC&nn6(hGeV%S0@S$vsFxt>XZ3ZQO2%0&`5wT5 z$Wlt5$j4AjPEA9)mNShm6dUtZ3+P<|FqjGGq6@S1RN2zbNd&^5893{Qf!IEPpcb2b zqK0I39c+JE`S2~i=PP(88{(?WCZrej%(>^TTP!XRbMjh#?QXHkGQi+#pFLZ>@5vPy z>)1R=xuqWO09o2-AGzgq!_WXQD;yYRC#K(rjF3~R$#Q)pIG?k z2Raf3RSmE$%UmJf5Gq1uJ#kP`rBq$!w=g742$eplLXrBTdr@HRth z;tEHwWl-c>3!*&$xfT8iRJy-|!)+?Y(^xC3F-X~~9J875)a|qv`?AiT;@ojfoX{9> zZbgs24oi?N=&YlOkcLU}iFDzl!zv8m(mv5D3KGQ5ENuTwMbxmgMPZ6eWvcaJrkUC# zFJ!VI9ONb&Z0@o{P%h{`0lWGnzS!^x)p1J#=RwEj*}y?9*UtXsttvq@amkudQ3D3> z6`#abau3bVhu?MP-F0cua-)LAYwU8WBB0xHWu=~S4K3QN@no0PQ3|{ev$X-w`+MR# zvZtqwXa8F%HpGpx!_q0G;^LE5#pn2Zz+-Lt!?kPYd{d>?`#dkdmRQB>qfq9--Gk79 z{;uy@gsjEJzV-$&5Yfhasr60iLtF!Y(wl5oBNIrUifU zDFhcsBkC4$r@fQY@@197amm@Ui+?f4Cj0AT&E|Ni1UQp<<>Z)@w|DH-`LcRw=d+4q zKUQ-40k7ER{p>(jm0}xC_?K`w;;Yl~?*o9*t6GaRnfw1_t5vX>*m|9Nzcx0nUv7R3rA`PanCksV;xI= z%NHBYmq#pRelKi42o9zmRh6yI708@7Iv4!fC^?)($68uMo_mMxD1woNgs#@ah>q95 z8Q;k_LR&tbN9kvxL<9wAsP=4&4yT?sTw4dImV7s}&E9n@k@)IE(hsbDhAl4wsMo23 z3vc0aL#&}mRS>~mg+QxOI_HR&Wp_~$oEP9J?MOwH2PW}Y6ZiWmGNl~V_e45X5IHQ7 zaRNO*YTlrqyEX%{2RlbBtZuzqb{J2M?f`nR>{}L|eAK!_9ng^x$7GzaDUcnlpd3wV z9(Kqn9+T+yEQzA5r(1$qH(jTq(dadzN zm@On?^ETW2l$ZH*Qpb{v6GWNhEe=vS8N)ue6<40@FF-e{5BEScF(Me>#yrXbOb_uy zhWSJV9|MQ3%4MQ!kRQa$DH^U3`+m&%*$F-j_gURM+d9iFDIpX5%`d!KoR6rMkFj3} zz1?m+fCD}6R1AYxlVgFSyAuW8HK#)hpeE&@(vqC9ez&@ay&vKPJp)xsIMYj8uJJY! zC0(cGY{Ydrkh zdq~3^x?U=2~cWdt8yMipc-VwPKH6N9jtUM(t+%lf-Qoh- z5Gk9g^{%iHd9F(qJ-l6SIJ5A$3K4;jv7-gtSH;m5_OW+)A$_Popv`{Am#xK@28auP zwkgazE1Vs3jW>B+bTfkq+^l|5FuXJq&~LR#37+bHX(m?7mTg(&Y&)`oSS6QC zp7}D}k5}WCXQS~ruZTAOAm-ujsAM1o5j-Y#D6jGg0Oxz{&d1Gz!>h;Z&=6AdO5`Wb zWm2kgZoY?T2m8_aXoF?qDow|lyli47j*I%!?7XtqmE&5qL4Tw#jM(z$O$jtz!JOEr z^C&~+iFq@C8A9>kCO|O=@M~zzaATuybHH?4%gpuZN67cVF*!&7%rQl7;;VcuMpiQE z69|d~^pEU6dqWBBF&YT(=g3~o6!PKIWgFnu_af6{y zCMGs45M_fSo_9!(`2tt8l*YfLL>#;l?XutY{=}rf{?TuY(1W^86Ig~~1+Jazf0XwQ zx|C)9_ETi$#D6Z=ig3=4CnryP7D(+EydsiqHHu1~m(Bdr3(Y$eW!vZ%H7f8e5pT$i z!6kcE+S>1@g_Pq|QU#C|)FX$O?n(($JR902N|;enO>RDLP1IwR?a#K)452!*n4VojBhRgJ!7)YWW$TC7-g6@uF zL$fgp%4oX0em6Rz@Ceo3I?_;5t|r-(4sJAC{!Hy^D2NaH9Rf!-A1s~@XP3`yC+;#$TIwhC*b%BX;hNOMO_dt~F z8q({yQf!-8;q^ibNb;HrfOfd)A&EgkM`4WP6_9SR8P?SGLZKwWH&;}YTzj+wLa(fO z{-Ag|{Yg@zWrgu;@poy6@`b>;_)}vs-ydMXIHiD`^}Vy=lKn?CxtOhRzzmfXSgmAG z>vtYetW47!J&_UBCJD~tYrcQh6mr6I1mhN;hrOL`Zc*$@hQ4ki*n3rHdkBnj4H#$( zl0dTAWS_8Z_EnTv#9Fmv2HivBbpo^QhuT6q?~~#Uk*z zY}|e9%XY!FWV9Tr7`ip2Ba2W|=o9>%#DhvP4~mqaMtYwCufC8Zt?RI1nO#zGtBP)v}MfJOq{ zn8UZ)=+9Ebh>%Iyu)MFub8a?_mtQ8L*<~Cq>As=|E3DUi@k&$c^F~Crxf&If- zPlJts!ptG&&S`{T-w)e~MuY)25tuF@TS{xVK!2`5QC?XjkZ9!bME~w>spD#G?K#pM zvCCHm;x-_=chd|kyAz{HQb?L`{JW@2BKd&Ie>bbfbtI0M=ds(CIM5$hw^jryv=^O$ z$vIF2$Pn(!gUQ29ftPtXA<%11K0#|iQpp%?4)I0!HG;n9y17BVXbJ8ZzFrbPL7{Hq z$&^-7B9A~gI`Z1{6AaF9kjKE( z=sen?3t$fe?hB5JNWyGZfr^U3H2L(lYG#)9*2XP`mN^vl<|=ftA`Q?j6Aw3AZwiOk zBw%dT%_!?L43u%`yE*`i7>LOm2(LQbTKCpAfWthNFg?)uLqWUs=87~9w!*AWAsuk| zB>Nel&t3FqI{?u5!zCeVZ zQxr5*11ERo*HjD`HQiN#bbkGqrUF4$!DzaK>3m zT4^g8M0QIc`pGK0>$^<(OW;OW(0o13TGmR23E(5Y<~MRP4Iz99>?wro#s0`e_v#UI zKVB_K7T<0R{+Tx@Hh3jR#ntEBjiaH6d9aa0`8r(!zz`4j{Kh3>_g8!vpblma#f*x{ zEnqwmM)5%H6B0yMDHXF0aM`kTNsv-%$-rY4O2iK%Fpw$pSP0>O=0D$@ISC-$(yq~6 zCYoGSfObf&v378V{U8cNsCrVwu%t{7pk(EeJQ3T1q!JAP;GdN&Tfk^X1P;3XjM79v zA+l-2y?29vfFVX80NedkNk{}X!oS}HV z#GH2&nN;aMr+%|n17fHF#*o1WCK~~v!{}-p*)gWdRys1;w8*gDQK3) z0Gg4sF|ap*-{DjyO4fCR94PL0K2mO7DS73K-_pMUy!ug2S+>(a^)By!I{eJ>^-ARH zTRIOFM4P4&P?g(aTm?Tx?o8-|oNIm5CwQF6l_5g|JJ}`@En~eYR*vhI$z6rU)R#;s zPyM_bQ1MI@2y(3X!C9LXfLJWYlE)y#t=58gkoIp)!4$u{miUDO)DvD;#sMC8fAXP`(r#yJkvxe*)IEoWvMU*+kyAPv>!twa+0d?!>-T=FiU~c~HpjfHEfPbAsoHGMGzVac*0z?^ ziOEiOwiJI?M;WI21>T#!spoC3ox7uv)|9NWi%7b7u=z~M^_LO1D9<2^QL-61T@2D> zP_Z$UL+jjR_xg;&;{5~Z_h?cBO}!Exiy)Cm9&Oz+d`b^WJ3XCPT<>1w8NT>l$&SxT zP*UYrrco*diIUnICJqJ%kyP-f-_(79jd!Qz%f}1)6>YIEEahPfW+YggkM&-Vnty3d zPD#eW$X%`lGEPju{o)fxMMUyPk*7$iBns;)7;AyTiQDh5-?%n#1RF=f*np508Cq(G z%aB*Q3h5!r`y(+a>2LO z?)f}gX6_hRlE8L_Fh`A*;7lJfa_{yL92b8#<*Cs&P@nrD4; z7t3z>Jd>3xot9nSX4}s#QEb27-TxRYTRKv6(fWpD0LaSF_5Tk^9*+M-l8578Ngj^> zJCf&LyOw`zS2+KxBoD`bPV)S91ph7|`QO>D{9i~O7G_pDmVawk*xBe<|2*U1q~rKs zV|m!w|70)!S-bL&PvHNAcIB_J|L1mvk&WTM$nq%Fjk;_8zhrr4<5MzLLh499+0pij z##F7Z;mqFdVwV%e${zN{zbu{F-aK91KF$X(IJUFnzrL>*mASHINGy224BnoX#=csC z-#^dFYu;RMI*%NcR-8Tabk6^0mM1Jz`{LhNp3;f8fq3OSntx$=V(b5~JVyUuc`*M6 z%Tw=_Kw@$57t2$9QA+u4qE=cH_D?KNaQYC1nq%~)%)hZbDd!SZC`C5i&k!f(|HASt zHR15*j5G9Py}m_#Z|aF2cXuay zw$Iz*o;eEtStk6;G5cDTT{e8D{5+l16 zvr@gAGp&4NlyvYGl?;lj)5GcWEf+8!V^f)U_UadfAqRM`; zLHjvVd%1qA&5PtnIZ8K54a=@PUOcWSFK7P%1wr&74Lr*&VPo6*KwGt zG+vsN_bXnbXXeu~*ixIEun6k~8%7hCp{;0{O4L~fyS2o?u)%-d_joF1J~cKaNiyT} z-cd9IPEA>}9HCf2*)}$Vp`4K}Sa$iAQ)Uf(Niz1dx8jgy>(KX@zCJzhQ<3--_&z1{ zrNC{@K-2Hg(f3GBz=xR7SC4_c*qp961-sR-Ns8DvRbA_f5&whbp;apMD8w@FVtx`+ zoMMm#33;y!HN+X>5d8T)Bl{WLtd0MLeJZ(}PuD2qA^sQ3QxW_L1YX}fSn5&v`XhQs zOkU;in!9sH`if*uqW_;+o}s^39!Wb({op?=&-j05d6NH?x49x(@JXGUXF_W}c9)71}# zaflugU4xN2L0u-~OSIvvN~6va$^1Vo4-PogUo21kAC@PO{eNP4mJ!PmG})sU5TCQ( zL3vxH9*q!ph%&=Sf2jBSRU0BswqfrZ7DoKuUs>D0jURIl7D7-{QjBc(fIc)&(FP%F5;v) zJ$nx8AtN+Xd(b*+ShUw+!#W)1Rj9mF^7TFuXqr-UNVwAlc)L>u_u)P~-R!E|vcuXi zuLcEr49G+%VvQeQBM|Ke!Gwg4j)D{luUih9mFAES62^wy z+bEDgULFmA0ksUnumxEdQO23;ah~ByUZn}4mWU{`yBxCx+36!uEuYP>u2EJR!el>C zs80J)l--$vp6A7{V=o6Ct;~3V~L69zw9Z$vhDeY zJqMC%sYL1)Z5xB72srn(+3C&qLqS*`m-B1DPF*pN%5tX-Me9bFQ$+O3X~_J2x= zZFeW#0LmM{%e%AdxgiKAbDzM3NK$hoCdFmsCcwYJ{W@tys9s0dFCD{cKp9&A#l1IAQyNpnaR<r0R^F#$JSFY z+m+3%O?4xIif@R4$(3Wj063-d6$vuN_8zwbvHqQ0Aq@ri(-Pc#H#He6cJ5Qpw60OB z_jIirXK2Uk$$^`vgo^~gupl9!NM6?pk9J$hMR)NXPRlmMW>h!JOmU!lt>CY7Y6#~JY7&R7wN57*J=&F}& z1Sx90nr7BpI^8ag5>#Jj84BF3ego@B)%syJwQvWDyj6P|XT^YOJ3S)ZXkP36pZ+3V z>ohv+j^6oazlh&U&-9w~S>RzNQ;mn}hLgnxWprq8JAgg>$c0J6`YEMN9wzdGoEl(q zBDK-aa~8nB+OZO5@V5ANlP?s3O~`5*iM+NGUc9(tK=hR*K#(VGzt7*x=P7h)VMWUW-6!!Z$s;(l!1-pX7!J~mNrk)#Zh=2@yn5^a z_T0Kb3K!KsLDv4k@(>cB{}anYGsW75al0 zmDdrC<3iM2$*V=ikYD)jgiIz8mZ$%p?K^wE@8%yZm);ZHtGY@J-=v;ZH^d- z?&A`r=eiam`m&BNNi+|uahFl&_=>@pQ2xd8oczu5{LS+G&GP)s^8C&6{LS+G&GP)s z^8C&6{LS+G&GP)s^8C&6{LS+G&GP)s^8C&6{LS+G&GP)s^8BB~@?@%L#2~LBdcV|g z#B3q5d0m$jP#_5bTu}{3V?^-e@?doEx~`;zU8y;Ld3Bd_c|G*PK|yS4X`RTo)O6SD z8yPu(U)ZyZdn&2K7bu_P&5U-;$X**VkcyA)YD)&sEe_yDPTw91rep|a6;xDBN`|@P zY@_d0lZDn0)P<;hnSOdF?_ zT!&ln|$cJUB-QBTte$CRB zF0)&mOZ9OCj>VEswyN-ei})t+6OXX$4?!s*(|}BqK~JvW9(cVnR?W4#EJH%=;I||kToa_gI@@HAD znv4hZ?Rcjh{6%_r6K&fZpQVuCm-da!pR+iUQL?Bd_xukzW%lY!Fn>owoJG-;TrQ@xcsA(Y0`u-tJSu5(Odemn6>;1TmLKL3&TsFt)dt+Fq-@Z7~s8y2tto^Z}u_1w0&4HK(W0@p~{ zFcSiMd^q0`gpN|iD2^)$T_cRBFOEj{u${=644xbqZ8;l^7ZZuthLN<;?C?ud=h|N} zzJQSi*PtAk6HBwYw3k-rP-jaXjct{_wk6DKBJI1>({Z^Ii6^gi7`@-bX)U8kb zm_%(HX_i0|E0U{b-3WYe%eJ}Ht^$DDG^QCuzfImUszB!&_0gD-a<2zWFWO&%UanFK zG9n2R15urtpL!5BOU_3$I?dN1;K4lv&GZ;SxTtctqSh{x@@;;=t)_-3Z8sS$f({l` zqSK_F9bGN4@ZMwEO;B$F4+s$qN#H)GayGe7Mdi-VOo&;skktq6)!7vd=QAR5jeNkO z7c=D>`>`i@3yHW>s9iDHz}7jp>u-1;{VFJYEr%*uSMK#&SYJv8dAiiVCEq>@P6$D!z=xH2?1cx1HWXjg#jvT5LPOLgfCtB@eGLq3Zrvw-Si6Tfse6wK6Q{FiIFl5 zE$7CgR1Nx)7Z@Xl2-bK~`g>8O!Yty9i^bn6V7`05cIQN)$Cg0q1`g(prQx~PW+`S|BI!@{lm^I?}s#NlOcK^2P1?j2zin*yzk*&RO6 zb1mH22rEY50z$S@E=LLkfe5y{SaLgP;$LJyShhUj&wN!>h7Qx?u`=j2SkWmnb?m$B z(Fw9e)IbiUqdz5UC3UPfoy2=9uigm5zrXG^D42V?FO2A^TvgIVzG@r^EL1UZAJnFh z+%`l9a%wtCp}&MkcXBU|FT$mouET<&HOf1Cj zvZ{M+(^D<)4oIph-AWEgdoIZG33D2{J|Bop>60wtV@yYiRRg9J$%X})C0aC8EjM3_ ze_UYXQK=)LI*d?sXE<@4#3!$qstzSRM-zgfMkB=Udx_c zh3q~INGay+|gu_SwgyGT%nI86d zk9ZYq8;^u89hkCqZWgceLePt&fpTn*YoHZl+1!XraIwaN+5%%I(GA2y5Wqh!D}kxF zk9Cwd@GN0`Gnb?I?&P40yar(yTT?w?hJ+2NDj%c=Hv?yQTmoaw0wv3sW4t8=^4cR| zEZKEdQLOcdcWw<%ZI-QSuEL^+g7)E80~#RJqGnELkAf{yZASmC4^(`uW0^iB{%BkY zN1I~96*=x_Wq#MCDsRd|)q1a8F>9fgRX+Vy9OOr}Pae#Q*&KMz;- zE9xf}Z+O$90&c(=e$9vxuJDOrw#S-OYYxJ($RTscnhN1MKXM|NRDj^l46S86sY{In zMd20&DWQJJs$sP(o4S*7>MOqbJVFnS2aM^mWNXr+9y!|E&!@*X2`!%QjW?~oulZr& z!0w^3N*0Q~fufkPrHT}*#{ib93dkT_N>thi4S4t$>GG_pIo@SyPiA*?B5WJGq+@1u zDArv;5Uqb{?K~B-k4VGYSxKVvvMk(D*X#F_(KY3pmx`tmD!#{NDa?o^dv_UnbWrK_ zbm41Q(t#q8GC3#OFkordr?qf26Y3J34Zzbmj=p#cNh|hOYb?6>Qe5!&_E&wtGq}zC zh+zWUSqdM_KWO3bV`9*r06Mcls~!Q+N7xCr*gHF7bVsk3iG}pZ^Q-(J`z>c0{J2jL z%F0^Yey(k+M3+ZAri;&qw!8i;Qnp0p{Iw!DJ5BaaroTHhYWmUr&3O6?F(ZVB`0Nv< z3LO%W*qd2dHNL?r)`@(4OsUZ^!cfnLG*>L}*ypJu8tzE8XF}$DbAWI$=O(&9a9xIe zqX#T*^L9PBE`Rhbob*e9F8HN|tNt4JJ*EYmLYkEg|1i!FlX)uhbRxR#*x zU#BKPxUn)Frj$*zvnyqC_+eYS7QOe6nxlBX2HmcC5n`4n{qUYJ4gXPnN^!azebHN# zw(WOJEO?MpupSE3{#saAULN^QH=)f1N22B&BkHLcEev^O38L6*VDo}h%kz(?aC09- zi~E<+8{3PR^E43Ni%V#WAq;W|iKkWR!}Ah4Cz2@DkGAFpB1m#!CeIq!-$gOusqA*< z{HRo5P|EM7<}+Qf--`(1<}cq5SX}PqxlHG%IorWL~tJ*?jDq&WK$ywszgf65bVON);85w6PVgkYg6b$|h zg^dsgwWcO+EXm(!x>JC9-3+fK&Ij))7qg zFn&GJ{()&IRNHe+H+su^NGJc%e6x@a!3A3o}wh< zmQlO3?AyA}jrcl>G-38FE_^JZFfdTP_<88WmEX23FEg+$!%MV%=ukDfouY^_L!!nK4s~_IOBfmT7&SDuQo@LGyIheFtd&^)Q8Q4` zp5jL>W+%61h{3lA2_yM@*&i$$_JJyx3@x~b;0fFwb5L%Z6JEmNWm7N*Jm3Y4sV_^< zXh!$-SS&v$Vn)+PD_|j_+PRM!Y$PFD)#y5`ECuvvGs_x!YPc5`7^S;EV+B9G zRWOBIQAKGz6w`6XV@Cmxy(p2$t)NJ@YDlnDQ3ZM-mlC$k8&VZ_-=Qob0L+>v2Oqx& zpqpk&B8BNf$JR|rHA6?Kxp9|~da}3Z68OtD*@yAhEGi#;qrN*?D+sBLs5^bMhTd2F z+&Z`(ZBO zWnv^``B(Z0A0LCfqn(kGiL(}iys#*PvWdI14ugohvzXGq9T6TL1~H|7X8hN|5mRC& zWMThjM!rA4|9%$!d)vyt2V-yoSlR#PV$^OTCi@!ssU96C-)P`29S37De82I2-;=cX zwD;yP(QQorU(CfATe)N~dta-ei}+=w>B!y>r33o&dvBZl<#B|7a`Te7ES7QBG9P6e;V#f)BveL=3(@=`WJHfK|A~ZTBs0vW zzos?($-8%4m-LwZvL^JOyr~4kB?brNocZvtj6Ie&duqb6r%mJC>`E zYU&deRY<@+`Z7$W=)|v?o|)UqOeJI`x&oypkZYwUS>FSvI5mw1R;EORmY>L_p*}8` zdjaN>f2J6-kg8c_=56H~*pg|v#gs`~0&H4$43B0inl(=yjpD&?b?|Lrwp~^(Ak)C_ zwbO#S&@(#Jg@bJ-nVdxi{T^d=?$|Ht z;rQsfW#6aF<`;&Q;90bsQ%+x=-dFr_UMWVMZ6kccR|g%(*-TC&G2T9o;iU2#=4`yC z&`v!wiiz<_RW!{{PJ>tM&in`L*J=xMT#NSmPpP$cJ^3HfdNxZFm0lOvtYmbt3$Bm9 zG!mWzi&o+pyaq~e?-5E0M|NF(Jh@wvikHfkoHkp3yelJc4c@bN45bM_dl2mWb&IF&HJRnt;kZ(1%z?9At^1*)K-HJQ*`z)??oCF8IF0lr zZ_v{{HicE8#aH)pzO7}D<3vn3VI*YqNl;Jla)hC!98o;sn|&zX^aYl zJ27{AN!gQ7k2B;aMA0K^R^8R@pZ0(RZkz0-*ru_7eD4X3pgT1%Y60Xj^nxT!_E;_P z8dkGoUihlkbby)u3s`}()!K4% z0rYcGu7zf(3d&)_0(t*VL7rFW*4G6Tq5CDf!wY8>o4EKhDP_G*cHg2XmGngoHR!S) ziZk*8-rExn>VPEL+Y4d5AEY(BJR=^>s>W`HmxudkM!3$L6|NJ@0#F(`)H5ZVI#t{X z1m8S>%3VFaE7agi*SMC=B{@(EiPixZ2hbDCda=LJ@3Wa*LDh^<^UJx9V%lVwJ74oJ zcw**ZF}E|?ui3{PW6H1VxalKj6V8_&Fg1gC{Ein787@^K*v%>lv|&{xz#m@s!IoEe zCT{?ve#()VZ%R%DhMvj&7D^Ok8i;qci=GW^ms;QLGH(%l3zwnt zZC(*TPdZ529PqO?YC}nY*=S+5oMs7&vp|kYxLu(<{>BESI00%bVw`v;Ld^Yps~Ua1 zwi-y8l|XJy6@>@)SPXDF*ltX)&3Bf?t*#`yuPO=vee@$guug(7Z_|=b^}ax+g^y%$ zaGzkZYl`LStG6bT#B-@=X;_&)>(H&rs``_lf;PGCE)8_}$h`;w!Z}V^?cEsm zCuzPTtsM`tR_Kw!U|e~LkAR4aj>VN0M-VZ|4hy{VoATiyy@9AII- zAp@z$;2MNmAiry+$k#6Dsg;I;Nn7JXrdWw_Km&D$cPyrUZ>DYhG`Pk9$UG|ADyTMGrMio$3)xrrvFW00|Da2fjA zAF66)=|{V0g~C8Y5kN`)bdNY7OXYIQb%`9W2JZUpA3LXivj+naCRSGBtC9&xF zs?zzmT>zZXXL3CYs4eO4Z8X=W;dC zWVLlxI#^^+`WKrkY4lnx`YF-KgreyJ(X=K6sw!G!Pae1SmIewDq~{8r!Z$huhZO5? zBDWl2+~i{iEpfk7mO(Tpb4A+GbT5BR%36o5xK3Xk%$w*5Gxd|>d_w=T) zwsB}uH-YBCy3)M_E(CAw`&(oEuns#5%hTlfQ=8RoJ|%C%WV?{vUuw=OyW!63EV&ht zeDBH^@P(>qZHwp3`WfFCS|O;42$8H%)o^ZcY52w)<0#5dAT;8xS>d}xup`FC_#BMDnB&qO&$`6I4P9ItN=>h)@|jCgDNwyhW#j1RILHt ztMSZk&apX@mdVM!^@f_2msw*eCX)L!4}DJE+wmNNrcWC3$M;PR4xrDcrRrTEvjp-E z$`*(3<>Q0Y9C)K7t%Zb9g;pL}*{SNEFZO}Tt02$b?2{TSfsC@WYWWbzit7}IS$*aJ z*~f`7{CNM9K)o&v@LUD<%5Kz?7hssL#oWZEi00NEawjo$Ah(-#>P@lHF`(nxL1Q1k z$a|{`tJFs-*d5=u+F-yGWv3}fg>#619MFwyFtB2 zCIv9apa$(w*2idTi|gOTRfrOt=J*o+_J$KLP7eE%#y$lc$eKPFesa=uc=9BAYdF=b zQ$Dvp5!}7|Y8asPUNM#c(@s4rRfb`VA?HxhabP~o8&4M;>w^Xsl z_yf&fY1<#ekY=8ksanh@2QLfkU(*6NVyU90iuLDatC5v3PgWf~`g z9ml*%Ep1cWoNq6RrAByCqyA15O(CAlaC2&+apgrBjIul!dG%Ghn6p{{Mb1n#GY7FPR zI=SQse4GxE7D+fB+{CglObFPmMJ`1Zx~>~8v^;)wcXv^JZn?jFrx7YTa*#ps4a;|Z z4xx*Lw#xplIgg(y*2xP&=af@{_ZrBP+4`U`++%2mXR!F>T!=`1Hh%Ge06L@>v@Ql? zHLfaf>5J0Uj10q~EZ`+>ee%V2kf5%*Z0XIziUu1T@q|_>VZ)fRcd|X-3>+=;QBe4# zrSL0Ne@$6MI@#DO%2h!*Z!C7By%AC6e&uCV2XoBnU1tl(*C zsjToEPqWosr+X*L%Eqao;B%8rMuy@vDGTm>k6#J(V@TT9V@7UtH$iAbJipMCC(^CM z0!!n^(VC5TzjFc;BR@fR))z5CMRZ5HHsBu)8Fa``6k{nNE^N0Ls^HV1g4T2#E-b%v zg(5M;he5uL7Q3a#bYtLiKyTn?w%JXhCg@l|peh!PBRYRo%J{TXK=|CZA`C%*cy2LOhE_?Twl0o|(liP2 zM(lvZQ$Q0*irZ~VwWFiQO4Wb49&nme`ig2S4_g-ZNSS^<>&es4 z&~p_-F@EIxeOKDMu6fqdZ2h?EVkZ0|`&@qG$B~~Ag2I}K+o`LQ$TpXow3%$?uG>;< zKC%NfY_)W6F~g2St*XJmlWfJ}Tu;!l5ws@HQf1v`6+-<)sr8$vPhdZAjlxrLOhSxW zz0FLm(rPm@+z^-_S;o?nEBMW2Arlz6bs-yDf+2#G7sfS3Xr0$cuhDAh*7-cd(^olz z`hpN)On>vi{N=!eO9yFDP7g( zVc8m_j3)Ww76GmYI*C)4LRK23%(ME*LDy? z(p#o2q)&`XW!{)8d++`xyBI7U%U&Ez8cYKu+2Cj~kkLc%LOqoN1HljTYVZAmPln)6 zL!hf9k7C@b#+5!2RmtR#dyBC>c4sAE)Q=WzqdcT4u2LPWV2-2kRC)*ovjPYfN==W* zL?%|!tkm|^?W+A#7H$b5RBMfw69^1nM&SLt{+rSr7c9iPcH|`Oq?|X2* z4T1EnR5&t>Sa5FD)i~AeW?Q(?A@xCZyU)MZ+gJ*k8U$%8Xa^H5q!p)OgQ%dchPnep2}pdhdTwN2z4AQ5O05t_>6>`SZMvdR%M4+&J0D zIb}&P0HTOlnH0v|;j6q8AM3j9$R?8>Kr6I8)y_2L{F21v^UgLZK9p;5&HadQctk3)%RWAiOCOXSUzFvCoC zkLx!42%v-cB}C}7#0R1dQ+}s@L$^cm1|Rj6F^Z8=KSfkqn&gsk7xpD@0R?3klH$sJLs2`|6s$B)0DkPQ+nJOPrt3-hJ(VV9hVa9Dt zXiW(Bol$0Hw|m4L(}D*La}jH_vAqgq?tRK*MdEDR2f(iMmA!%JWBBM^Q5BHxC}f_* zlqPTgQP58f>^9Kyl)=?xN(utno0UBL*ytZchwxgjb5+9pN0oK;BF3NCWn!v%*myej zkbuQeX+0IO=;zl$u6ps7=#HZIU8mkdMf&WmT|4}N4GPwhS(7bQlHOe7;>9$Y{=iX5 zFt;Uiu`I!6@@mka`UNdwr~#{@G{ba=1E>k0?ODnjGHPu*F)ljc%@VAI05LU?_4g>( zU3U#uhU~t>>guf|%8AknywWzepE5PMRT|5(6&oz{FCHWAnnB3iI~}5(Y9Z@3jfj&m zShtv85`}4Mw(k-V>9;2zg)jCXpZAQM*V^SeUlTfLTcf#&qRBwOI{pr~7juLV2GftN~j zw!~Kqun`=C7_=e}j+XkPkSoCTQ));0^wf;6guqyR8cFH=WR%-kbp^rVdi5GE%wUq! zWmK3XTpX{`mY&(OjB6WZu>05NyHgdSmKsg-7_;RCmlBun52K1G$4^HYTc$7{uN{~V zkAk=!R$@NdJBk!nrzC67~;*-&?UY-=|Zc_A)4 zDAE41Ov=8}TgjJZJrfsG>=DS3Rg7k~2JlYFAs8wd7p!0KA;_(evYVpPtlPkSTrys? z`Tr>NT`@)WfyTmk7YGi1lcftMq@b9FyxETy5SQq#!_gd|wLH-Hg*BP6!k7Z=^uz5_ zCTaJ0LS7)T{hFJe3X2 zanxo=FpfAkP!*5mXt=c_*$rIATTlZYr71~b@KKj^>I2t`1pvGJQ&EQs%@Fgh2SPMf? z{9wTmrRB$$O$D@6D@ZGqpcECOV(y_6zUUDG8_gR|)4ZJQ?U%WL^@h{|#GCv@+D+Kk z%hmiWZ0E^jyqSlN-*cj6rQ4lN<*yL*_YIPW;_`&6&bxygLeUr@bst>?GbtOnCpaba z!f4Pqx~9=68&yH=p2zYV{Z)0Ssie|}>glSamM)!Z`aHUA2>Z2=5UY*^yruxq;Qc8dq;@uuK|N*JfSvVU81&Hlf)2~ z5_SXNQ52E(bn2rCJFklFC{meLp_){4&xa3)!lnC9;B%x*0_O#4rn)31S0t_YEz-|Df5_I8b)i z7jqKCwf_o9+tb#pcRS1cfznF9p|VNT2XJxD8%BfN&?Sj=WsbBSz>3$~+XxQF2*-9P zmWinzL0g!`-kGuJ)|Z7@;tIAjhQ~Gc;g5h82o1Dy3LE-t6n&Zp;eoKP!d4|*=WPTa zJ3U1&%zdxe2|(r-707UhIuV11>voN*m!K!1D@!Vyc=5AC%>1(?EUK(@<2k7 z6s;M!)I6{{nhf7HRdqkBa60kk(mdM{#qplaaalmSkN03*wQKCVHZMREwK^S5`rW9-<-JHO z-G=QBv>d1GEKh$3Ce|x_sM*97MG1bLXlBb!IV@GWI7>Py>q(bIwmsmJGO zh9zAKY1mA0CM^qnTQwMlN?SbH(ymsVgxw~?y3DdzhxP$qPz^vqBkg?E4ub}k7Y2jv zugV2Rvku+7Xkz44In8A!@*4t*BD3<9Vj9i(%ra_VJ=fw|zO_WFjKLEUin_py<+TpUoL+ zSytb#+dDyB2^4PUYjA(?Goub`n@|WP6KIb{$q07|gqn{y1Z|uO+EdK-1FmOy|831* zQe4kb#g`x@osjQmPi%8J65F5@#&NL2Ly&;$wm0mimeH_FF-weO{y6w9?RZ@VQQd$e>%Pg|!QSprT5j zqyVf+rL|9k?oC&0vDOoAJ%uLGBGTpSvpswgoJwOE(nU$BB4CZ0 zMJb~E^J4^NlHjF;@@nBsAk>Z^&fLRd5$sCS#sUOnBHJt@Qv*zyL zf>7QK2g@C2C-+Rji-h$d$ z#hpAD2HD^uNkUXGv8&LF*z2Lag8*f4Q{$gTa>{3gkdb?A>AFb*_(9QZdMeW?g}H#} zV=XfHs0k!uo|AMs*?p2F2RzZk86i?xY8INtB(R4B`$X_)H_8{;6i$?(pAm|GDDkwi z=p^WDIeYsm?;cXUuo^xQZ1QIkr>~nwcDC~ajetO=0F4AA#A@Lab)n<=J?H%qrOs&G zp}aK}D8Lkrw4v1CuPh=~C0YD4HKaxNDWF|h8vNnPWfz$A)vx{cW`sK$DV4xo6ks(c z9<5<|SHNPyvQpa1m0nDqfQDoS!-1LQ@%Oo_i%VYzdzLt;4TFW5MydPLr%i7^>?gS( zhu`;Kxg{0d{m3j|&Z*MBSn%68W;%HhW(K@3y2e~ToYmoMcJX%JubU9{;!#XL-BpwF zl;B_TTTOBj1O&Fgu8LXHk-$-SMH)J)6mVPJnwB#S_Dv~?wrt;m9GVvKv&A|$AgZ1t zBpz?Z}WK5UBqVG&W;A|gk-Oin=X}Z-+Pemd-I4Wn; z&SjkO>3-W=v=4}y=JS1=yR6j6=oOkC6M^j1&ze0f^-G=#l`-P;?R&BAW!DJ`7pSZA zi-lMtOTRT{)Wtc-@jN0Zy@Uhz4y!9*1zDzRHR>hAGp;pJx17q~d(w}ypK;v2#$3G` zoaUG@uG{uBtZPrt>u%cMWu?ikxr}r)n4WA;EPPO9YDN~iPlplP;ZK`_WKC6Rx0ps5Zh1fp1MHGzxudw8spZc4gTKo%>SiPgBM6IbSrqg~-tfTPQg<*$;-_>36iBDn9BI4oP;YM{+DNb1-+50#T6S~{Zfk`(SB z+A1#*u7tnFkYqR!7oly0Kg+QIZJ#ooGQvDX^sC57gh3fkeMlgn5_|HV9v)Mh97uMT&>1D*(@r zH!uO6-K%*Nv>2)7wMP6~A`k4`-bj@vXY$>mZ?m6AAnM%G(9%hJB{n~|9-il}<_1>5 zNB2hpj)8vKX~zpLTw3MWxXdMx0Hwh$g@XFcTkBZV>F95THy#9Du(<*37&@*l8R(b& zHODJ2c-5xeW=j~Ggy)u7ydo=@z)_5;GnRdmS$5kMqdhO4mG&J6h(_UfG?mDUoo&|BB z@5wg-05}}Xy7wfimvM59pPytxnvBJ=5f}>NUi$0L)2VhoEe(FN>o;jv@_;{ zorF7}1dptk4Bd&0lSUaq;j&rgt z5@sE1i25pqWM{8_=7fRjOucy0L;P40N52ZjGsDeS0}rOg~LH zgy}LfmzMi00%y`VrPJUHu38%WVRujdvRunxSIfbv3?2m+QNm^P9b9B@oxy+{-qI|>@Pa5=0&?E2g_CJ!|N55y`j-FtmjC*e z|N55y`j-FtmjC*e|N55y`j-FtmjC*e|N55y`j-FtmjC*e|N55y`j-FtmjA!xTb@?i zvfUO%_E}SVAn6#FURPtshyp((Mff(1Gk{!=D1kqUOeW(>Kln%G>F@oXtF%HYM#B9> zU*E6ED8A~frlz8n!*#SWHJXONer#TKud-$y>!}sWSGL>0?=e4+oT%L2vjlgrOoA6} zCM?RBY&xH^)uQ{WWEwy08nY#&qmcyiLx*A`oE1v)MN5yJb#jgcDHgg6MR31xxFdBvW&N390N|y?!?615woJ_tSsa6*{k)a zTJp|HSW{lrRG3Ikr4DbC`N@hwLq&r4O7$qQmdCrZ?wr3#%FEN;VD~AJx?Kl$OqJ(g z+Dm=)z!SB439h|+GPm+p4ZK9V@)kB=r(&7p8C+G^g1oi%tl z&o$CEzCjgrvU3M!EZm`^%_A;=lot4(5a?;BSF0t0f?U|Z8h(av_ z;!y=`yNy?rh`Zksi|z6gX)b`7%9vm1;7C-Sc*GMZP~DFHOvC@0TQf+$HtoICCHnKu zhgWD-`2&9u=C-H8jAy&wJzC@jwdq_c|%S)`l6@^wdl zG!>R)rwp`dAP5TyvPFr00F5KF)&2IqLlkO&GySqg^e40hP>?@{%AB@P{BK@Cf=U%X zpA);@!LBeRQ#ywzz$f$?i2~g|DAp`CAHLPp4o3%7hR+PBe)0*Au4#;al z>$tip@X&}=_b+Pgjt*nYgk;t!m8czq0q~B^>GzJ$V9oioC?;gXX$#WLf=xh6gv`gQ zjvqM@kgRn zE&K_10_N=N&dAhE)e1?vQC?GBVioV=G`PQ(CLtFl7ZJg>03M;o1#NL^E6f;l$7i_) zffC1_T?SNz)7LlRDni7gtoYtOfIE@SqvcZN6(XRAAXX+3~l#`SrcpfH>Iit`|dt zfJ*(wM(-P$&?pdq6AI_a>Q~IIB@X6nfU;n%-HZs4HCT#N%XL>79&&ue+Z&T}2OB4V zG|Bb2<3A-`!V9X@QUI^_A@SO}J!!#AB{SYrImqa*CiEyLhyPg;KGbe=V+F8(_MLMY z6MCXx5{r2S@Dqamet}Ap%!0oeesJHi@&eAsoji|)jv6#Ot}nSjI7XxCS}ETzE7-lA zQUi0<@u;ep1+q92%=!j#=`BM>Yaq&_M>D35zdqjmgCs4llhesu3+VuqkwjyDbYO>J zoZ5jijvg^4MaNd|q#Gz0+O*^Byb}p+6Nff!eX=2leDLL+99;}&f%cd^P{i_;L0FZ|Jt1@iX3x2e) ztPlf~!wH3_EcP69$P%tY8daFp!02T<1LF{iBsN)vAEm0+DYD<$2$B>EVl??C&dW&( zc#l2*pZ5zbGCOAKL#D{iH7vJa6GD2UeDCbO$D~`C!ha!u#WY(G8fGL;Keg_Pyl zp1O4$&Ta8}Y9|O0GCpG8A?LObN%NuDl z>URh&KyD5~CZ+AJV<0d_k^ssaCKn!i+;jGe+^0lKyJ;nwT@?itvcIwt9Rgv2|4-j` z)M2#cEnHqMM*hriAfw!*9{njUP*xB3=rUlrhszf6a%s}Qc%)*$Ba{hPRR&A21I=QC zFU*ldz~DUCn6LdjEya6&_#=`$A}9hsAQ9pAf&*ye3A+};oT;k`cTE2h3z zI#0|v*~*wBg@qRjC7Q`gv;#e-mS@vz7SqW{ibi{x%Q?3EO?rpQ#uGclo}=(bP$DHzbEtqmp6Ln zov7#Rd>;7~KO>iZ>Cb{_=80)~t`&SSW`S&Sj7BRoawWEdh@(>lyjjgVU~eovcMPoq z#LGYD0s-q@#XyG(z*V;oy14e)%Jx}Ku<0psb0iLRH%6Ly2`4!nd@584Los4Kra#<0 z;7OT*3xNCtY(fl}tql64IqI1FoAEq<#obM>nD9=?Jnk%;j|Q9CfpUTk2wMT|58uV} z+w1FaG-oe~oHJ}ZL5Djc@e@EPxI@c4=z%@-KSQ_e=Jo-Pi8-MmQ%dKLMr*7C?PN)* z!A3X3lM|0|X+u*pwYEn|G-9uTDQ7KN&gi4)f*pz}{ZvrAqX|&!Bu!*Jj%-~_R4bQg z4{T`_QV0x3Ok5$|uRl-R!z_fX_M*4g=wPaDQI`UI3l0Utxb~b^h0DqGX zY#8I)3dXZgoZtpy`N8FUAzSaKe!?!6Cp?pxj0Se2)Xv4Mb)!nk6F)Zama6RZx zgf5%O^wcUAR-}G>rO{0ZT*kSH%CkuGOfnMu$4FK*BovR#nc&P$a2+^$7Ur|2_hO6O@;^@_hc}A7U-6NLYub%&O=hkoH=Fpc{}m$ z3Pg9lq~NP3dt%=VQ4Fl={ z*MG=N^2Z&qL|n!uSfY;Nie@vyks3!?bpkg!D1;p%2iIa!(ZD#R4n%X?=3}a+5tWAK zhM^&)ay)~>$w(&slH`g|D`Ix3#LEiyias&5@D%pI@1f}}Zf9E3>!K_pg?_-0uNl)&X(!SgGItPacifEZ zd!$N>X$uX2YE0m&RYI(&Or(anN#jNcL#}mlrH|LO1NQ%oy?2VzC0Z9O)3$Bfwr$(CojYysv~AnAZQJ(F%-ZLi z>h9a4ZjF0uRCU!$KmLC_M6C72TdX;!=oWmk80U2?b?fQv&2Cq_f0DQ&Z3Tz^O!=Z4 z(HF+{>bKUCq7~mB-WCnBIa}m2gLDzgG1q}okX^O|Bh3tquz~Fs>_b=Q(?+zqOvaZz zxrW5(Yn*PbEpPd#V8|8LiUfxRyU|-FSad>)1aIhR+%QW^IPcoG5-*C;AwWV36tD=- z21LGR(hcJz!LniAu!&c+w2WZ>Xxk9*TsAKluADF=IfM}&!yCCNShPeko;7Iik#bzb zaIzal{qAFUK7?g8oNxrlqA-w}Yz)FguRnNL2N<`&9*E)*p7OR?)E-+59s?YE`oOP# zNbXHz0taKT=;Fe|I#X&ZCIbbqsbc|@XdP!925Q$PxC@T9xka|XsA4DYmrL*FXSP@p z1!EK&q;zAhvgwYHtXFhgZU|O%r)mjJ>v`fCQ|D?Hn4QwDRkHhYB6+l8`DC8Ph(t~b zH8M}V@nR9vSZAhFscsKD$2fJpEi)`M8784YLZ$A3!O_D>daP|95f#FWs~lvtfP#E+ zhd6l!RTc`18htYK+`WO>yho!*^uEI%Z& zm0qND)ZBz@Sy6>HonmZOq=|&ZhYT4;Sh!6$c&U}JPhGul83xl$pI1sb^4*G`A+Dlz zDTRvrU8(1Am#jge`A1la3&g;oO7$U$+Cw-eLgUX1iC0adZ76f4h=a6gcua#3f3JYB zJpd0yeq9nW9vhYqirmyII7O`mEf9yo$qq7uf5&e2A5t+N^&eJn7*N37jylzcDNwYF zvOJ)8!zf3z0FSg=;cAHp_5p|Dt=f$dha_$NLcGCvNb`t)IAc)gQnc)|pfc_|dq)Vf z4h2KMog<0{d5jNTFP1^H7Fja+b!LUjrKV9e7)lP-Z}ms5hg)ByDfv3sI#4xZa}TB( zA}-oo)8n8(?d$av_pRPH&R_BUp4RkSlvnYd6J0`3Lw8#`_r6I|;plwz#3ztW(X_?` z$U?u}chH+s#=t*;fv_5jev(OxK?b7{VJj5 z$wKFNWm>B-;bfR>Zz+RhPr_8#MqVl+>~oLb2h>DX`FUk?2#m9QSDlg6SRIs2H&rl< z&WaIe0hvDfbxI0yuxV$CQ~NV#$to%ZVnT`E*NifZbkP{0JnTpPlO+X6v;)h-gz(1E z?8IUc#LpO`uxQB^h*4&6vsrL{cm!yC(85?AvT@y!x_ES9?7!X45gf8wy!!6M9Q)2R zre&fGYNx{Uub$(@yNYwYqYvJ<;>F9Y%&Z!X?9rGPiG-?&#njoAiKjZtdvT(=_qo8r zN2Z?;ph!aqp+gFu02r4iZQ4u}=3<|YIv+(vCar3{FXjPv3LAZBDG@b&1Y@$aMC|mF zg)(l(eG}8OFE;nL`AIYqG7h- zDXsE#w7&_bT8+*I8Ghq4t#{9j+8Vzf+|V(dG;Tx&lWv;@%E>3RpceQ1Ie;jru3Z}xu z{i%Bn+w-)GvOJw471q-|%^#T^h>uWKq4%;woUM_KC#!MatDeDW6`Pw3iW{KZVa-1j2D2+q|Ld%hmhgwI++Z(2TK&j4DG-Lsf{=9P(qo~@(eYey@MVLq zxsb!A$+rE+8cF{V5Kh?M$PfqFVxu(O&}9B`=C{zpd-A!9?b$9j-t*-oo{?D-*-`o| z>E`zCL!CW6!ReRiC|sh$5+yy-E~7cEx}4k&Lrw=%M;uLrJi6z@uz$sodd&{#$#nX+ zBi}r$-Ku(}Pv`NsqdniJS}H}rmZ?5XESpstVrd^u8&5PY-bs53d1-%+bCM?!P_nbX zaN@c9Y*$D6QLZ}wmOMOvZ|CQ}{_Sq}&nqzdx5JO&$IHpvb>sQh<~gu_FK_qH>C@rh z(-%MAR&RO_^6bweb4sJ6<5y3X3S9RebtxAy<`DP8fi0tYgyOCMb^2UR*T;_*I9ORt z!wv*=(xgP{fP>;6;y!?yNW1la4|8H>_=gStKgOJx8UAIKXZ~wB|0@pvx0utv9N_;n z%m0&6`hQ_g|AS_EW`=)x>i-?g>0eLqZ&;)MeVEg~7X5E-&B)G7$NmqqJUbm52LTf! z3mwPbU#tvttp7RGiJg;`lj+}AzW-|n{_8;gXO!>%=TWEs#{SO8#LB_(AEQq8IODKA zuYXY|i13YJuXxqfXEHFfH68*$P7Lq0sR?X*F*PIZhmYN`qtTo51XN;y_`YA_Ch7_J zyH$U^*cRXKgHO}fPY3RvCGRiOEGI=jBRbHuLyb+0yF2oh-<}(WBbe7ot>}zem)-j{3ju`er;cN zAJ^AK9i?jKdSK)k+E&t;&iYC@ySuXXzar@r&mDOgKR`=#aFF+Wyca3-bW{~tQF@UG z&#vx8hX3v7?(=zaeLos!kH1qL&TdXatBgEqLT70zsrooXP;AYHgQXOm$Gy`W!Zm?srg?5P4+QJ6F|v0lg}hL1TX^`9V}wpwTGt;KMJe7ipDP za-fwfpJaizn1j|V+=5+NN+bs*FrgG!*l^QIY);taa7y1i6tjp7N#{&c3>Lq$gx{^C zdYC!`(&(+|i zPj-M+cS&pHpR+3~{8jT@?{(y7jKLD~V~M5ucpS(`6HxSAOqmz6W5dYH^2RxH@;bkK zYdlLK-PkiMIvl+V78f_bWIPe-1G!0aIridN+#`rD=eP(yI)?eaLelbd&eLZyTXDbE!`9w}LA@&ZI(X_%B z#$2L0-%cYvf{E!#bp-W3i@_IGSHTO`cZG!|mSxNHr`Y^mt8~fU#TBX}FYX)bV9)p}faPybhV+l}^o`kSy^CMufGrc(= zc6a$22cS_>U0_$;0f(={AX5JqN{S`aM7p!n5#{2@Ux&Opk5hZz@(+WnDFZaz+muQX zYROBE;HPIy602gdHFQ98P>FPgM-^6ofPmXKr6yjSG3`m4vf#nxCh+l=Mt`k{bA&ry}&J2g-;L4r>U&vjKQs`JpUSB|(4eeH5c&c)Mlj^BiZs{jiE=!m7fnZBua8O-j%D@Le!gStZ^2g<9tS!ozy@(ah zvN&6t3g4-756C8%+rCijZ58z1Oise6srn{cpiJANiM+`<6kD@;lvrsRVri&&JaaRU zFn(4;xq=754$E+^C>C2DKmUDn^L@?QBL)j^((4p%7*Sj|A4EiC`BoS?;#K&u zBH!~j!Mec^zi{r98Z-}4kB~A7Nj7VgQhB1D4GwoEM0a*(EDq*}d&Q>bVvkF()NR%pg z%7l^se9|ayaQxR$#a@A_k-Q+4^>{k*?;8i{hw(for%fvqH58D7&m_r3^si{jnn|i& zF-y^;Qwr2vEGaV@CDj(l%S?yJcA}mVPcWnp!^a+D?&pep*R|iAUR8^l^+SK0@lCE5 zDpNCV`!&fC76xB2i#q{Kq=TwcOn^`t1Q%d^C9 z?@&smtYoM3JNBol9V&>uod~B?s|b89 zRU1KCU2U$3M)GEGyS|W4t=6iO5`#n_o+1!SX@IY+s7Cti^5AH$D-%h4rr;%dtAT$& zzWOS7%N)c_I=tUl4ZpPHnboQagdAQsN{dK!pC78eESQ!!PJ8O9)^eB#w*;RZ>uB*r zZv<@}jU@FDWD%k#+l}jp^WD0;Hr@+qyRon`L!L9WUD@ne@I6Sp1>X6g?x?UA;kv?{ zRT0Jaqj~{Ttc=vQ{1${thMA4Upx~WQ{ZgdV0%>S^$EvNjq^Kr2lQ69$H5+m#Aauig zZ* z5>GM8vbAcViTH_MWVknuD>38=#HfN)-Qhf#N{Ac+u!rK~{LMirqt0&YG;r3h1uR|+ zp)zEY66Z{>cZ}g{DzR}A6c6JvLvy|)p?>h=eChNuK*YaR!b9O5)(+Unl0EB~k9@_I zDxh5&AM7UV>l5i|oXl(A$Z7d$wdO)1dGE7eSJYjdKLbzkmz@U{N6$ckLssu{`wV@3!Ag)Mf!?FGO#lI~p$~wWnlc-ix{TNw zP4ene$Qz6U@#wi5Wzl{w9ZG=epq>^lLN`E@@vtLo68v2JvVpL@wik$4aV=NY|2|@T`9nQ8@_UanG1pqU9l66; z!Pmp(T0_?y$#NyJpgHQ9hhSs7jy)SH)heYZreX`EyVF>AT+3doVqHWr(J&^!(VS_8|BBg>rZZS{`^B*31qLZ z0{5Q*wBcaZ8GKp`_-W#8yr7i!nI$-1K|ERQ4~hf5`qo$mOK+}uaAa4L7r(H8{aV2* z;t*Do$~?E;2wg465X_1^UJ|yapNxA68fq(6KD>-b&;gN8sO2KIG${ucJBtl~k%Dgp z#n0+8XX!fY3Mw+GhHlYLii%m|Q5&80u!{E!pG%t0Rk;E&K=1@%@dpqVDXJPrl58Y^ zMYW&;bA!+XjRMt#m<5MF1V5z%hXI{7n}N7Wi)&b3W|AFUG`q=kD$cMJX!ATFZ!-0p zx9I8H>*4cvfTq0OF*c^ksK%xd2nk(HspoP6n?ushVYQvaB2TJg$ZLJLIgM0TbfV{l zla)QCLs`kYY@f_!!5^IMLHLr*DooH|Ukq9}DP!%RJ zEy9Az5=T%Q^*Om&0Em#EAi8Uc7{MZXqMaJ>5BqgGWhM*JdZe2o{lr^I%k0|Aq{ELtY$4_7$NjxZCxd7_pH%$sNZi-v zdAvLA?x0z~f5=O+ovjChr^U_Ph-0-huWwdY>v{ue%_7U6eBv2E!&%sv`kH8XJxGQ6P-Y6ja*|j1HiVJ&gI$nfYPNKFUiH^`T z4f0KBgUFdf6-9#6YyEvwL!W`N=XTZiB&qBR#Z(ruDEnQAr4P2b{%fAoClD^R445cwe z)%IcWGKh>8`TQC_mM1EqUEEhNt-6mB8Fpm(c%gFk7QD6*Vrj;5SjtIZ?xlmMC7yHD zbJP>fDMo_osXyR%T&k}@WCdjE=YGIyi&Aa&BAE?U6V`K6;S!~rl~4tBgX}6UE0uGK zKw~?xz~h_HAcXR^l%=8(^Xm1bk;)#f&c5Td>WfAOaCk%7A2ic$*PFE&+#ZWwl80CJ zAj1;dMlB@ov~y*?Xe$T5zJ}YVbe@au%(SYsJ;a&7$PwU?{m^{92(H-_@C7|SwCT(N9M5@kW9V{Tu!_eRKVGO4PVNK=h zUJ>yPtYlcI9BMk$d&f>V+$ho3KiM`Q1n9vNBgwXqr-|thxtPebsho3pizF8@| zJh|6TOLDcH!8*~S@owwz{{43+kmb*+y!(%PNay#_x>gh=cx8dUy}wkQ9(6hNlpeoi zI@iv|tJ&Gc7eBRvSl4OOETYX%7t(_NtS(KKJN2yYBY(O_1XjcwY7rj6b7(#DIQS#f z9eOXbbyHbSEmf(~gQoAURmg*}Fn1B^0$lu*B_5=|gJg=Pyh5!_N$Q-2WoCkkcj5M} zL%&-8#KA=9!(fQjPabEmg0b59X(V*O#t}N5kk&)JiWlO>LTpc)60_!pM+Bzmn`uF+ z*^D0dO{h_pPWqpL{HF!JAa$5ByEU6y9ZC;)DBmC#S7X<(RB@%#a<2SBsDpDWXZAkp#NUihS4 zW?>kP5@m6>B9Wd6nXy`yve9S9mlkOI1wh}V)LA_p;PP3@eV%@3vM8o z89e>T5!()#o*1TXT$54(ZYInG9{fbvkpZ!X0;t?p*sefqMxU*0{TZyPI&!lwzcR+&1 zq=B85QH8RETF5H_f@^2Ah{1c!^U{nmL5{&D0CwgmZ%C*$t;E@AMAr+^XMKg#fHvQv zo%UTc8R#?mPHHRHlD|zBm*5sRx$jHVW|wKKN|&xMQ~z`s@l*>&WZ&ozZ&wOkv8{t2 zk3@S!|B%d2QnP;-+0AxkbeFPTrF%_m zKl{5R0pKLP*u&7>Q36{kQ=82hwm^dM)&RNJFaSr9A3!N@7VR&NGCx>;2BG=@wyH1byI9#B)*Htw%1@SwSiN+ComC5@=$ZzU$pRI_d z3IbIV=(Zq7>3iQxyeo#Xyd;*|<6if9lG`Pms(!Z~lqI`-E6or*+$-)7=4!CJVIkt9y(dX^wvWg8HXEF|23fj+^@=OitjOMgLBYliKdjUW37a_*^@=U@+YRGI zTfkni*NQo!Cpa4Vn?Pv5w-ikzJ_-2*_|0L2ponB=6}H*{t@*y{5$1TxDsAEy=Pmb7 z$+-QaNg09U)+=smA}kb>z!jmMK&#qm!-)CgCod&3)8F=bKI4I-PRm%y)UKbH72kBO z4bxRuRmpXFx!H8?qmt>oMoarV(Z_Wgzp44=z#nniSu7&umq7C%@4A z$#nUFwq0Cg)RU+85y98vg9=;8LV(8c+l|VtOYzu<8yr5?>QFC7e|eiD#zmzta@PYL zXHBX^!_YGWHOXk^+FNUaz2HUcStY<>^1^s3A5C%lZXm7ruRxG4C8sG|;^(E@7l#Rk z=t0m~{Jn57LW?YAolT`T&qi~es0AGXw@{}wXFOPxW=t>Tlv+4>wKUrU7oO^ z=Gb0L3T`sm?2b@;sgL?W@3{CsOiG-lN?*;$!V9jVVC((UFUB1ibsm)QfBIz_s-X#s z?k(CQv~GV|mV!yO0XI?di%~Er8AABCX5uM$L*mL%MD-|yuR3P%X5_-e}DuG!KW_s0nL!yi`0GtZ9OeC6&F@PL{_vwrku|XVL6{+b#zd=&J>YClk8Y0{aXe z;RmE^OtM-BNDXI{+>@i&YaB9P*}eTCw^o1Rc%=6yxp^ylkAnz2#+jvWU4YYvjceXt zHkt-8=|1p;N|merr9q#kd`E0)=VOU4joN{1U8I*_W+LlC9uzoH^>&KOWaCpiU{0X z)Vdcqj4axQMsql3=S$fY5hrnF%Q2^_SA7N*hIok9IDfIWiW~p6w%^6A;ZQXGpfC7d zdGNH^>yN7F_f?1A>-RI;J0&eTkF^q9Bs&1HgHEi_l#|a)81pN8*U-xE7WKNyk&36T zkdqLu?PoyRfsS^K+g0`!QakmE>N;LOi>pKaATr3N7EzoVQ?z9dW{m#MMra6HB!*+5 zL~P|C(!xCE=7dF$fjq<#SBSL%46cbUZzz~RxWBo5(9mC#*xLdS54cSgmKxy-PZKAC z(|hFXGj<4T-}!%%(wB*9Dk}8C+b4&Ubq z=2SJr0dx7uq%5=@wNMBO9f?#kyLu5~W{XUVGV1~zniqUNbygB88JD|G2vp##Fet15 zHEtm46^Pb(Lp`UeS$1oIH*hertm+r?2^5oS^RR)~DVCaqizJuY<)GCZ!d_JTsz+G}VW2_}0Dt^KM)X{H{ZsJzIP`!h@FKYqP zBKmf!-Z&{41pIqFajm6rto>qW=K+q7egba09?SA#Y96jmbhC!&OuwV+4b z58a%l72ctVZnWeAMPY`oE~`t=OXX^-6Uv!fre#qgEia71lMt(fWGcbVrNor(8*PWI zTrE)b3C&ov*w}$^{#)fujLQobff&THqISRRRvjr68HQtPT`3@4yYg+iSLh>++f|UL zIhRLpVQZTZ*m}Y_Y|FVim_l0bD~eyNfqbzutudj+Q)h@GRReNlcnk}a(7O?=O8Z?hV3=T-R|NsJRubHdwfg3J6EfbCmIN9WN9iA$=rZcXcUX-6RtG~ zS^nJG02Y5x8v-L4md&wf@6Bv2gR-=0Q!p67UUjhb3me=>_1qikY}fzT?+5QlU5^zs zha70eyfCFIHDw%LTFOMT+pOii1b9RtEzv9r(VsBiOkXUw;arywOvCKam(Puj`@|T< zlI^r`qQzMEqtPc?k(${vxjS9&=+Ml_^j>h+oii}Y){P1((Pj+KBrc5ap=(l&Q)L03 zW!)CeV`6I9uUT{0zQ%7c&h4bs8`UMcqnMOLC~Q)0mR9{8qhWB zY3jCpbdGjBxA51db+nYEucVj-6%5__<dR>U-2}p1ymAYrEENJ=;_<8DIyszGgm6 zA;tQr))Fh>DU5L#6nmBi5qWgtAIGyPmeR^n6d2=2sX(-DHna)&x+C@V`F;9iRHGEl z-}T`iFoh%}bl#xJz|JRqu44;5?b^2dr!K)Znb&mP65yoyE7@Y+h`Vm9^HYnF0`7z= zfkuLY85D+9-qlje7u;s2TQ*#f7z03`G)U+#0)QUu?hg?-YJzcx*MBVVZVADqCHw9C z^B7>^nG918VqQsW-A=4%&E2E)r;jz^J`#Kx+`Uoj#wlf;1aFuV)8{9-%QL$>wh|#( zO7YMaKh_wnEwT8=em_)LO84e(4%mKl#ft4*zlb~g8+6=Bsc1J)6;=9%rc%_{IfJG$ zQx=3{0GzJt0BA^(|58$-LW|L~oqn>Lsc;2Cs!HT!1al8yJemH@_`Dthh^5K$VB^?% zu&ES@wyTA6dzcw}42sXFUo?}l-Lg5W$f&cr4uU6!V+IkVY6 z72%F*RSiZt^ITHn8nQ9A=DG%R_OLpVUxZ$~I@haR%C?ju>0%RGa&}os$0u&C+RcSI z@7=ki42L`Y+FL`E3eQ<4enjd7o$4{0C74MY=*$s`(##l;!^JoAoxmvKUSU1JGY2A( zXN>PO$>#<7BVf^Qj;aJhJw76HqJ;z)k$G}Jyip1)j7leOIsT2IJLu_GVh_t%?%4vJ z3HfdHbF5zX81%V9gQh6|C2L#Z%*--oqb9B^QIcvIaWUw8B@{_kpU!PeFKS5dM`s$6 zHg+HK8h@HjAjkd_dEUnwJC?hmZG`KjAC0^?4ORA;$#UlSFC~ThK>8s%dWPc7a}HX1 z!*O{J1oqn`27D6fdhV<97?KMy5yD3PvF(nZCovT3Axjg|^Gtvnr9O34sZHv2x=-uz zny3CIvM-D)Zl3!NVqaS$&ILz$Z;pm)#{o>TG1F29(zFWx*DChC;gGrCWWWTu%mLQV zSbE#gDG9KQx$A0AN3ixQ2YK_)959Oyt%4Kx=U?xKC z897OvmDO1HK{GS^&G^@*#lF{mqdKu zu>gUq-pnJdD7t=G9{YGm8b;@u>gEyv-)JLa436Dbw6O07@5|E9t^uvN1D++6&pX!+ z1u0bR0*V_~1eAUel6k5qoG+CAU}yW5ah!G)H6i&|_`$JJQHdgp_)^)4UYA1kHd3}# zI~l$A15)LQLZ}|ali%Nb+9DDKc&366BadonouuGmM?~HMzH{jcBkBtzX~v=vKgqV- z9xy&~`!ReN>&#VShl7fIw81DYUpPV+B*GzWhW2$Qu#s(eW}-Zsa=Qq%JRE=Z1j)D8 zt?S1`BpN#hq3wJoZMgbgf9x%IPy`X)CRKRSE;LH074diHzx81PPUCM~`&7gDwvI9W z?zmFN^lSonshAM1a&7^0?t8MX_-K-UjlZbGKqd4&nY{3@ZX!CSDk->_ zpC-(qv2Gty9igKRr}7TQW1L(rDV&o5u7y2p=EATY*j^B~ zvm}Ru1s>6On!XLF=3_WouRE8kk%yJF^~Roe7t>Bw{IoH@ z2OE}yn*7^^HL(hi0`OY};kCsL*{P-QK{VFnT{8M8O{)AT? z?zcM||IN!8_ov+*c@EZB?~;bGK4S*bW1G5jw`2f+QnA7T1P2Sa0;%`+#1khI9KDna7k_N&qU@1{FBjP+6SbyuQ4uoJso1A9?-4d zIVX+OzJV+Pif#=oYGk0$Kq?wmzS|+M^wP z8W2Lk3)bac8|9gj$JUV1nJj>)a|SZ5*XMEo+Kn(%%AA+;)B4|W1Emc6-a&;7y@?q% z*yvw3bDE1YA12_Q2AUczumad(2vrsF+5m=4M@@qF{zp@K{m6g>c`@jq*yLvGk@11d zX%!5iu-0|DLzTUXfPsM2QRYg+LE(NF1^E6>B~}1x3{gke{R3k4@Jr z0N|Ij#_p*ApnvJ3x*d5b8pD!v1L%AJfQ=WpIvv&{B?|01(b-->1NssZ#N@Fy-t#x5 zO4{p)!vx=cqjFIJR(0)k&bA~9yGa899igoQ0!Qu2qE(p-8!f!EdbvRvnHzS6sqGS`kyNJdW`dO|(C^AKcSeZ-2j| ziPz5H?qqX`2Y9*H*CI*ZijVTHnOuTS?)JZbxHHv!;~r~`?{l0Q>mr&0&NSo2fDj!( zYLnkG@%IbruE6rNs@?JzpB?WYN826k8p+yRpFYa6p$L`~to?1%Ti_Gp(^bFc+!Rn; zw(;n5#=o2STRH!DArHrQW5EV6wblvjUGJeo6za=Ci?Fy$3bUr2XqbVZ>GKa)5zCe`Fl0Eo z^$WyWjswe%v>C9SIZA92=sOVVKpu~=1dzGx} zO!q@B?wmNJyg8(lYVXh|bu~o5^gwu;C$0wRXe}|Km;%OGwGUC-+fk1qK{Ej2V4H3r zC3bguzg`z*#+@a5tilE%B2_EYDDc6f>#R^$p|5PN*6aq;^#3mIsM$JMl9O5Gq(KALyV!-YJ`B+X#jJJ zh7M!e71FG86*C_mQ%^=84!h$>Jpy~l&{2$16%?pIR<;OLKgc;X#+Ef0eiZJk!O-Nh zffgNIFwHq7fi+_&mNwsl+PIH{24gJb4##{D=A4!gQ>w}ETgn}dyFdfQTHIl@F&q)S zF60!Y(!Din=OELjocOdrgL~}$p@17SpsGcSDt|0TG{d<(nTg=b5zgEb-rO?7z&Cx1 zevUeaDcz$YMShjKF1JV>6Lw^aBU;N~WH7a4?Fp*lJzNja*GMZ%_>1|+7JxDEdBXOQeH17YOp?+mBRqIS0lKf#B)p3*w-AXO#E$-jyOIY^G*E9D%+ZX% z8mR7s1sLRN%m9RvxMNW_h#K9;CL;*{#=+AMaVH~3Y;cyy0@QI9Y5;;$8_x>Ftb`_V z`6C*PVm)sEcjNPe8y1Zh5m>Q9(6j(2MK>}3PVJTfNT=#<9%d{1!?%SrcyLGxKp5q+ zQiM>v48LqY@aQ(2XhQhJ$5l(fw$0(WZB|pXbTLX?wy`l%&jYw-ds+fL#W|Mk$Q`Yy zSWiYqkL%~-1>N%MwkHl@;cHj^E@V2ZkR)9)*a>saWPQ*dzh-Cq`|jlBqSgP~7E@;O zZYaz#pHv|aElH7WmuYD^h?^YW%Vfa`a@!2oyw5qiea7<;il_TGb^eU>xX|LlODD@S zn0T{KwLFjWwUJl#bkS&u0s^{t`(-Zvci9H<2&9&fkH?=S@L6{LFA9DlGleu17P+;Q zkHt-W{F_-imldU^;Cqpr>tqr{~_u+x@3vh9R}@AmL(A zhLK|5@Z4yc&&QANZFav-zcyU3u{?rI2S6Fj7@VyFTh8FWn;xD#(5O^n)UNobOQNM` zBV5a_O{^4}FLtNGij=Nts6rTDEsE6HBu%m#uBs5#X1kszMbpw*78m8xmgtsn_T*;5 zRX0;PCDanyfBWP(Pm=lfAE|mddZPI1o!G;YVT_76@%r<9|0=^sguQH%QI@PMYT*3;Q>>W?^Tg<7E2F?=by?=3!-I|645jXSj&@KS}ej zv$Os8Xr6!W!2b!FhlPNd^`Aqg7yG{(Mt_q>41e=S{~*4U{ulL({`pG$YkmJ$&xrZ& zTlF79KL3Buh(gmPR;V;H7Z0ctv-+nYQ`)syEpmZf-#gg3d6Paamp}JHD>hf&G;LSz z&z}*0yZgtN)8{`ob|XPzTJ0VEzU9;3>SB0{ouyN!8CP4z=nuaT_4TFsbS>LrT{;Tb zSWx}B<~QO_<8HrSp!9b((I;hBkj_RyZf>Kk%L@&PPEzdh=NhBTvMsoBK)8PXe2bJE zY{P45e7)U1ikIDtTC3m5n^!(GOx*twn+%Mt-OcL#DHA*&Wml7O@_Bt(U4~56r$P2f zRH3a(RUKG}wkxQ3(q8zyP}OBVoC^ATpfuu3sP!8PezjITm3=aRyH?4>QuWC}_XcjO zNm@(oylOuGSdH^Slipj7-g^CJi!afsQmk%-CWb?GoMcv+o3p9msnlh-dQ;p<$8EKj z`4CTgkBd5;m7kRujK@RPl-qFQbHdkn%Ptz6zA1Qe5l0cOlU4bOcmxG{If$;RvROyt zwU#z)gQ__>eTaJ62rFl#m(E@_@q}oZmd_m?r;)K8TiBZUmNT(Qi20m0gk!>c<|Ms; zvh0v@CIwp3^!l&>Nd?`tQ}n`R-Pz5Y&bB4IsM$<$eZ}0ALYcZ=)lM%$rx`ZWgF<8| z>uF8HK_ysBIHW>|krqm9nb}yJ%9BXx+)rd!1E+~v>xEg>km?m$ZXOMzExFM-qkut} zX*5A4>XMG7Sc7e_Yf~I7GtB>8pO0$BYhz=gI6W@M17-8qi5Yu_QzRQGhn6;Q@L?@gI(&Gq{QtTYVHh03C>~{`6*Njyh^amJLz5|6=oO|frGwkB22MHSp)??=4F1u z+O_aMuur7cis&2p-6WTVe)G?&gMI-N#T;ym!o4+wrZzZ*tRHH@l#@A2GFk9{+keP>hb;x~7L!3f zb8dpGa2K7(kyJ|VNMzlX9tM4Zlm=KH=L7F|{kKg!zr=O6Xmugq0-P_i*d|68JD_2t zyRR*_DP2x^@c(A88gebN4Xp-Zs197JAH|saSmof-gYP6ei>AZo5VLT0WH+>SEz{yR z+z2sDgY}W>>JQfl7}6o#pbzC%n06LP6(O>5Vu3-4ssj<6)-7}-2Cz?G0-2}NBt56n zCEvNJ8blQ?!aI%!&scVv8wWSr?&07iK9mb?)EP%4D^?I7_ucDk44TfhH0)$2gZ7hV{2@GRQ z22T{Kq?1pAacjxq^7!VO!1GGp0g=iix#Dhgk0cm|Rv_4m1d2k3b#LR#F#aAfcgs(z zeu`5i%hZs*7PH#SupW*MXXLnrQr9nu*wCG*B3}rdHOknXJE# zn`k-L6EIY>u>?d*|8^9A`F4Eco_#3|)Itp_4y{45cpS&tOmtR95g;rt>v?rxm#*js z6$SH#LJbpZnL=r>?Un~A#{x1E``w9Gfbx29%I?fMo^YbcZ0FFyV$`hhIU_lbG&Il) zc?&xr1$X$KiLq&U1z=rcScS>g*iGvq5sJ(3@h~6o5@#IC6hSK$fNT>IM3#( z3KJSQ-e1+DL;(e@K!NDxF?HoE4is`4Q#?qZ8XI&5Pd56o2e&;R?YKG0xJUpE^W)=6lyz)zXt(rk39z&E zVgzBoQX=7M_|9VvU3YxE9g=tuQsr`T(`?veF~rCt3(g@8Efj%h7}uA`o_q}363jg? z$-@Jz>-4e~p#(a~f5+PGGqQ`(Xc}kI40HZg zu;E1Gt`J;pV?bmW#bb9Q5-jAiO`*5t;-7aZN$_2Is?%c300%RZX*|#{mL@qUrB8|7 z4(RSnDo7OBM=5Q5H=Q5o&v6M@hr_p$e-BiRlsdx_Z#ep4qbF7M2gQ3HL-oEjK9x=dhNWv!%J5V{W2e>=#a8Bn_lXT)KSP{YXD(Af#EF|Ff^EB}!dND6y&#uNizx zl4w>9aPFyE+PzF;A|XG*Tfp~h&o0NWJ05)?C97(`KpTbfUqcA-QT{8xHa(xK2#2RISWx|4h444w| z${oLnMyZH7vf>DF%#V>KMkIpgKJ6lE6w%gt2vwsV5bg7`#^~m-p6DJHL0XP`0lY86 z5Q9YXuqt~gS@th+NFy?!bhYUBqwiTwfKj^*cFQcq4@g1+c|<}?yfW-whB`;&)PQo& zcO1adcJFtiowp(luRJn@pQ{uUYZP6}n~S~znn|2~x9*h1MVPd=;mkv{XtShJ;7V7?<3h;Q$Aq25SfB*nQwMe4dk~! z%x6o2Sds@Xzpw={E~??c()|aQNH(EyS_toDTiH>x{O1i0o-Kh-@j?ZF^x!G#By;$1 zd-$C6p*i$|n=7P01x$*ao>HP?NSLldf7sei`EKb*Ld-nCt`%`G2ZpfKhW?j;I9Yvw zNTzd%TOg220&xp=%UgS^e!FQOao%+*=zs!lPlw>UND2E$KvUt^dwej( z1$#m{&STwRHY0yeKh<`Se5xAe-(G9T$V9QYK6tj}+acQ)hh^6A{2-{a@G7|h+`c`z z&jrd}9Z3jgv7{xWKDW$Xw^eA-H;yRz;y4&g>oR@bl$s$e5&K-z$W(B|Mb$U_{HwQ{ ztSg6@i58frQA&h0m|;bK5J*9PUn}XhXQWG{UGP!~cSg{N0z!_ccsY3Kk&@W{i)IVu z#LHb>HH!1dUcBM(s3)C&UMa+kB!2WotriF2D7i-NyB|RZ4Q}QiSy>tW^yP`3Anv+D z=2f=I!l4Yk(5@t^G*63S$5Gyhf+kTJJwXDw@Y8{IeH9<5RIYSeWn(h(m=bu_i7J&M z5ec#6Ye+6(s44N#APSB)_MX1BG(-BKbut-l1)Rodti_}dN6>96Zs9?v@XetXl#Z8e zeTnOpY7Yv(hIdD@=wku$e`oCSuSoTo(#;d-MgTdr`gXFhKtERVO7tvaE{JXp`)wl3 zm}63-OF;HN+I&4O5f`BKu=hEx*}vQy8!OQB@Ga2S#v<@wn^;Oxx{0|q(I==_JohzC z8GsEh+|tDn5=jwA&|ES#AgtB|Q!QvU8MH(Fwkngu(NA2~p<1j*+;00GuCjVg_M+lW z^3S80(Jto^vhl#X7fA$GJfD|vbdKkm_9U@5t-0{N(#5ar@j9fd_afi-Lg~5WC_w9_ z){cvjkOy<{)mq5Sp*^ZZ#xS$U{b01tL$QL?imUbT1|*rTcj2WeQY3irNmHlcvc$tG zUH56E!hj(Ir5(#VkQHvx*g(}+q}c7*Gyi}v0-J*4 z9)cEAzn_q-6o`(4tYs$Czb1@wauYetd*j8xYFdnr8>d9v860PKEH23F4pP)-E@mg)v-)ds-2WXxp4uPolw>lr+dRsFQgfoOu zd<_Guyb!kFbho1L>FZ~(&P{Rtgzw7F3j=a4-1xXS^I=+#E`WuO>t9A5z$eIr6a3gX zZuQ_9W!rx(!rGo5KEIxy?h`lw)1Zs2jpoT~=QA+YJe2M0IcC&-L4uJY+tetj8iXRP zmhOg^(=BXL8r3pPmot0VNjcshr`7le0;|$$rHaNh)tY!kS%Ma7pXR zX-V~y=IZb%m8jI8Z6EL~%2U?{dg^11MvHW&H~G2c7Ph}e$3%;}22y>=bM_EI?J07z z>$|GS6b-eWn8M##0VsPnfD4umRX2E~N#6Crfv0j-bkN&1qBGB<#M`*amnRN1Y6P>j zKr8|6Ww5*`cRCzzj3VRtD9KYBTGKd}feD{ucX97#%09RdR--sP%|> zUlL!m`6z~v(11Dhiz`=KG`Ac}$Chv)xgl3cV6!f3^_Vwl`c#Uhc3<)fqNM1+`qS?Z z@sh%$+kRjis%%;c14Q$U<3zp`L)B~nNF@GuVl}*2c7ioy+0(okDZ*@J46@%=EK>h0 z9lc0T&@ky*V(|!+Z;C23%fLnjIie-zANu20F@|Plm0f@y;$-aseff|k14lO|q`Y8= znQ4txi-Y?BfN?ybKtvzUk4p|W7UWmTEu(jgyss?0oRpc)LMQ+dDU?YW7ru`lm|?wz z2hbr6!AZ5^o?rJ^{i3IvCf4DcW2S)mos&0OJL;a3_R=$U7G+N7!Zs`)f-Di~^XiVI ze%?m|x(MGOeZ~#fD_3z*lEan3^xTv7;h3hT>b(p=m=#%!q6$b~uc_;YO4q3yTVlJS z2A~<+4DR@B^43n%uSMMC;fU!-b1SiHfekL8H02B;9b~P%HB60)z@L!_TTiZ!^2r#pc+kh9EWvjvv&iCNndIL$1-Xfum z9g1Csj$#~F+%+=^ss>KA_2P~RUV>)CCKgBL7O84>j3=ub`sV9}bkd75$`a97`1ZL% z{?cQ73b-@ZJB&gJ|2?h#u9O#`0e2ts{+(q-^Hz$`XbcuK&f59r-bY|5VB^M72jd-_ zNLVDf{k@fj*S*^oKbjL?JvT>y_uuiF4B{yTtbhIJ2QUOE)*z?oxJ!bxs`K&|Zwg7z zkA(>ZE@+Og5eI1y-n|G}I=(Tcl70(+{n82~di@>l5Fo|E?mH4ZW&?OmJ3i~F3f~hh zq#sZaNlzsp*qbn)!g3N+6+{gtUKK=0NH=ZwlS_bG3@OkV7_4Z({o8kt zD(+x)4EJx6F@qC4QxR>$9m9urOB#F5%J>#8M`^Is#q{R2eu<_I>Mk{?`E39-q%ou3 zx*Ryq1A2|WXiiWT@zC5@w_@sT_MsrNPAm+W-1(+-a6`6Mll`qjnn1|S!qopoWJ}Fa0Om60wJw5DA?=e#SOO}x z|KSLb`1^+n+LZqBv|h(NT+2=CMCx*JV5PZnXKsn=U;Y{efeLbJ>-`lMRq0Ghumk8f zdYv#Ue&u6?LR8?E5FArH=x$SVu|p|7RKM+6z%*My2*7L}(gSh#Tmuf$QYT>2Iu$zU zq;(Az^tlqd^ZweyB?_RMuKZiWSEe{ZT1nuUdPuw`qPnHMXp`ZjcBww>fZ^;OI!b+O z9>rFdizNO+fNa~gi@E(WT)?@wlgQ7TB}{h*W++l>ab=B&tWv9D6BE5!b(+W?TJpM& z4>~WOGpH!;{yK*GGBd^HjYo|R;)i{Df=m0K<^?rMAIsmo2P=-KtFW`IOr#Of3iU@|-^Sxm>@Ty9pj>CGKMh{~YH9R9oBTVf0G%&=`%jrB5%}jTYL~4p z;oXOSJ5GxMrwa2z8H#3b?)?V+5kBPxmjjq&pzogzVIq-Lfr5y2n6+3SYjnAny~ zG7>SjuTH11vDMJ((W@m)7d#DlBYl@1gIUDcTrG9wcP~uzDk-ht6kWnr=R*tXtXim( zYLcVvh9p#`SoM4ZJ(rJI-FjY5Y+oOr)7Pev-9$&F*%<&w>ZNAw+vKjQMtEpejKb6< zF>zV=2|Oc;NT5)f)((}H3TG_7VThIrj?RhXxAQ5#HhA1IsQPhoHW(DAstVW%aD8IIze}|>g6`!D6}x0MF1lv> zis5gJn#vjlna)!{Yu+q$wla1KHUS^XM+x=pP#+|x^{T6*GzmR-M<$>@CBh|G6l-xw zBWEL6fwTEMuBt0z0^)7MoDV0g?NJOi3}gf-9bFK9f`gB?^}Wi~Ynr&;`qZV_p?9={H1~OB0?mIYq-&z8 zAxx@kkpqQH1G2s!JT9jC+2pm3Opo4?fw({^jl~zVk|#t#CJ!Pz%4bwx+01O?27En1 zK4754jsgz9-Qi`Fbw_=d(aTto{acuax5=FZzDa=@VAe3Gtj2LZ<4jlaXHwtpsbApX zI69ZMi>h&(Aq(f3Q-YTzy1BWwk+F2X-2E=B+eG zT~7NXxC(3he*i_~(f-BXb(uHVv16;|;rh*X283VeZT)LEsZaKqWT9S`Hz-}-?~fmj z!$V;ZsAM9i22F{=GW)NsYVdBjim>htwIEhwecMk|+b?y0f7FlPA49+2-gAGy3tf~+ z?xrLGm#Mto0s8^*x}ivpg$D$8O?w+vG#n1K!JYQahy3*$?gBDEcvVqvI0=2>Cs6h( z2Y8xMbgn95Y8Iek^cU5|`}VsKD1fPD*(u39Naoj}W<|gh0}H8x%1N|v9NGGV0+Y}P z5C;f?f;rp`j>iCC8tzGJ%r8}M?UGn<-3#bI`0@V{35YN`ZVgxU4QbmUerT|!1sc-G z(a9+zMFX)xki?#W_Ev2UU)feXW;Rbm@Am>9&F-$>0ph(^Dsru-A*X1#?X0%s=3_4> zL$uR5kLqs*uMz#bI-x^#AzrmNtTU%%C1zT_^&v-3C0HpPndr@`YhJX5nb>PD2R}8; z%>ISfOzwW8TzArLUImue8C*NISgI)uKiKmP%@BrE1oe-{g9d-NRWX=`eda{# zT)AWG>5sLtsCu|d4%s2dbQ3K zly~XaKYLv|(brZUgScQo+0db`byO@WHIWl2`hj|*i)2go*sGL~tIjvT3xXX3h5lj{4RzFxY`18fcS#yTU>JG9Bk?wUSQrC!7MMIUK`tf;dQj# zk_A#7P`!3zI)9-VHCCkw6pm1J2~1*%CuRLzC6q?+=COqf(GZ z=JRS(Eg;DeYJ*f21f2+`i;01h5><)ifINaRTnboAR01bunVU|#2T z8&ba%L3KwDIJ*!VpB=xUyhDyJJ;D3Uj1yPKJo;`_*0fqvh4);lZizY|P;})IM-Bt2 zB!bdptkf+{3oe2UmsmHa7>hYA0DR+odcJ0*OG>nC5^L+}6BE+ij!tbcna;R9H$1cl zM59+X_gYX5m$kN^JAU9YC4*T@aU?Ldw?@#r@F?`Vi5LM3(t=v|XkRw#TBse~;TERQ zr>ZjZ_ga!+0S4wJ^uD*~B!8&tQg|)}A%Bvnt*f`ppqV};3eo>A{cVl5!RZh^&!%_mR6~sZ)?_a>v*zNpbcwAROkk%3XQP#wmQ>di{B?% z=^!{oCbc%v;`GZJk?wBg{Sk6#w6OG-mLa20Jkl;Lt;fY%=4EA`)upLw-;h0-gcwMc z3g&ayE0ot<$WgZ;KSB!T?jL_TW|r`Hk)hXf=S_b=nAh@2`_iJmQR(~_TD@AJyDjrA z&Jk=yHx)Tt?!Il^@&(>P#hc=j0{N)Ft(&>H?a_F%ddsC)axnV&bJIiTtM0>Q-$%+7 zH$kmC<{oCx8@ohun%;P0p$&>%e|x`(x?MAL?p*InT4++w&h*P*>m=i=Eg+7(>6vXg zBcn4p*p=l`Rc}m<2u*!rtCORD%Cp1Ob9!#D>_l%FA%(APe3%!euT^X^-Fc~Oe*XT+ z;&sw-y6fg7I4LRBKEPKca_*u<0|+du?|y$>Op)3v<7U71VMmrSF;`^LhN_NqEX3_c ze6*JPf^&F1e2t_ilP?yz_-yUtp?P)Re)i#jxp(u3Bkd&os<*UNZM&Od(y8cbjV}q- z4a~C)H@e`(qau6#z`^ci<5q<`M#mGo=kFkjE%l~yFU)(K?9qb#1)tPoQw|OX2+tk$ zQ;E}c6e6nf^rv++(LRjErUNmef&KRRH9*neeyYlb4xDo+n$PsZdH30*CU&**wS!{7 zUY(H}s8-F24FkFj4QwSRfh_(W16oX*g@$>Z^{=(fVwX|hF1$@XzzBf%6=p=VTDf>0 z(cJ)IF9uhO!X3UlBFQcRnaC1K>t@axhQG))fChGHh?U~g`wn~oF+l@nfrg-y|9sFM zKH+zBOh&L#pLX~mlo9(G@Vj=%feU2p1&})}#)H6Vwu5ykK||6(S<-T$kUtK?;ET4a z{rtFaSLb@p??@AK=R!PLnh*PfMfYvz_D)u<&3SPMF7edBJ^K@pxY9h#XXYml^q(<0 z6BBnDcA6W|4TP+*Ih8RmQ=)B)9BKUHM&s@&4VYcW5IG2ZG*PhawA3j%hP|BBi^zW; z8?Tz&?&HxGH|K%BS~WY@E2p!1n6TQ{98Ep*JU6f3Hp`0_N?PdKZ>Vqf zf7BQaH{&bytqnKH#N;-65G1s8w+Ay^NQ1!MAqBk>uEkXShsk+?v>BKnTl4HPLC4~g zz7C-v95!Z$;WiT}U?=hB2$CuSp}&NldJ0}AFQ0W7=esV`l8?xSh+_duMetOos@1HR zd7-s^yDvCgJ=1TY(rT{|@Ch~^!)aBMLCWE+ikRy+0523qn)2=!nI3N$AM~dmz3MMJ zcT4Zhmg1?{(UXjGeBNF*)nkGd#hN5sN0jC6qs3)>7}rDNuf3*{96Ew&q{NVy!#pBe zBX|deplIL{0<0gFHJlKD>M!Wsx`M7W3!x);CXKUU0yf5wqrjlna{p2ogdR(WtGuNZ`+I5jqWuWhdzcj=0UQH8 zyFzm%!I=p+N4ZWygAb$7VTT4_YBQ)!)}!(x^`w~&P77K#H$l{Lw>Vu8dR7hri=$3` zt?iNi6!%vkz%ztU5%f9N_8Mp=Se_l7;K&OyWf=yf@a?)+eKU@cZdFIgB}c<2!kKSo1TK(6scm+^mNM(k&)B>v^E)-c-=29+fItRl2Ou= zm&x)|3y{o&!0Pzzr2*=^yr2vuk?nk7rJ$PKaUr6*ZK~b8@Wa2&CX4-z-IH^~NrH#$ z!)Ej-r$j5fS5Iw8id8Salv&~%(5u4*Tphb-a%OlAI)?=dR#J#4nM{`6f-qcJa43GV z*1;m0Y4?rBt`ggN9Lsa)4mp`n*tfMv5-hY`}D%3od&qhG21E5pbW%s-I* ziXD5byaFsR-SFYvu;r*-9AB`cQ9P~Ou71FQ`!g$Y0O*IyX z8>%!ZC!<{6XRDgtZ8Q`IucMPYsJ0I)-*ZS!H|*T{(6VxyHKUI^DYH-SgB`shUK&c=9_KEoND8E_^KU&{mOmEVcMnc`J*srs+_M<&?e z_$qJ-ofxV-I2=_PQYVvtM42Z5rFy>3E;6X(5GqVeHp>Q_OuVCEfqG{~G<*!rhDQw* zG74v@wMoJW=#diM%ygVvam4BI9;@3OUlc_gysm@23gyH9hbk<$a$vF+lDmt_l?h{ z#}8^ZJ-jyl({6p)XGO>WGM(wM>|=23->v?#m?rTc%!FqBAWM}RDg=uPVTa&wZ%XWN zq_{41e(E%&E6JQErsM&%c@$-TF0dnDnd2jusSU>4@C!7B)wz5d%7frn@t$Ygzu)Iq zT~r7lu$^@q%;UiFCriyOHHSdY?opxydjxEzfzn2l<8b~c z^X4?YCl~{5@ez>cn#>;pKEUgjAEF^FF|g&sqp#3Qo)c4Ec%K`@V@bM&E=wP4-YhVe zQA4*z&QtgN=y$ox(ky$}lgKqf{|Tt-?<^FzIhoh?Ku#|Cru#fz&>XcF+V}Pu)=mH# zdispl#)ncTmdDnG0Ef3Vh=>8%q5?@l?EB@_U7mV!f}>+eqBc$rmacc}DDAAg$Wqi0 zaB4iO7HyS20(o-%&|U-mZLh&E-Ltv9u2}azJNZ`E4hYG%lMtFR&;TlBk(&?YJRd~V zOgtuM-bxCjh~v~|T~4J|l#fXJdrtelt!^xnR#x5C-CCaWz4NYtM03jJGQCYNxeP@$ zS}ZLvr%|JSgH%ouSMKBuMEhvdx+#4cI>iCv-lqlR5}&*5FAu~}MOvC@Fy`sk0J+WOAuxm1#R7`wmJauOo@ASu4Zh}AOBKKJ*=!OAD!1ml zSl;H4jIL1#AHSnwP)>mXCXJe!(IC&!`W%)2L0FA5%1H>F0XYb?=h zC}yliQaQ#pYcxk)y=QCu>=AGs;I5+8pzo1Jt@e{y$&20Ivac=aUZ<(+n(IO*ikWCY z?j3d$$j{vAXqgnc6}ai!hl!@M$zdRZ&H~jGrlm_0OP@vaQ=&?O*kC)eU~O>*{9r&_ zVh<7DX?gx|>Edj9be620GnY)r(qH^T;$!OqkOk%=ouXlw*Oto51;JS_+WR2Nlezg8 zOdmaE>OmluNKtNa<$0xYc=5{e#ONgXDaY{>@wh57yUs^`#U)i|~xY@86{)OPUMAG9Oae7Ja5XGY3 zd;b|RcHYJr8Gkzki(1D?};sF*2GxswhXaYQ-M{5r)iU?T8O)O~8kL7p2x}yLM zAJ?ivSV$F#B4RP_le2T~3u4ZU%=t^|SYMpPzsyOQ)SG=PT;=*v1Sht+b>iSj6+IL* zqFEtvtiHkeAA*%b$ngOw4N%lu{vDxi#U%yvH1^Nz>yc8=PpOTUU{>Ftwt3V3G5E>S ziist%$V|0lS%lWV#-MDM794l`0--mNSc8S-Mf@K-Y8m%bVVTd&&P$ELV<8G?k!;B+ z-)SBFGH%Y5J1uIRv$LAck-23y<=ptTl?Esb%S+Hme=a7A+Y~X7EulDW={A%r5{w_h zC;lpLoG>L@$OzxbDJaY65;hfPwzUc86@^D?4#l6a>lvMH7#0Cc`|X?J;!hzN9~_|a z%WVPl7ZxK7RH1N%wM{E{hSf%CAfdH_N3+}CBkmJaUh|PG3T0#4N77pc0}e7HO!)P4 zE^!-B!zg(|{}5yD8Y;BKLqDUps)djUh<4y2%qKDu-g)$d?h$0+;1kfsAxl3Xnt>S+MCj)F54GwP9gpa)eHHka`lW7XRQ zNr~ZPV$&&RqZBg~54cm?%XB|1KcfAC&?3#3I6g!raDlfQ9gOBiIyrh=pE6%#f=2dBz?_bCRXkFFGpCt&>8|- z7okTJ6|G6fTq~b0U-SLN^!s!u+i$ze{e?{JNH&InYmF8Il>=VruO$1 ztRZ*T@p^)~2!3-JwJp?A7e>afR~ zgu{rxPg2)9G{9nIPO&)X{-oDiR~{QS3#Esv)?Jyf8e>Agy;7smkaj~Z>OA3Vbn%yF z#xB}KrTUCocRhJ<6YADrN^I`cGFwXZ$MEP4LwYp`)Oaw&QuW)SxgPc)y0=9C{&~nn zpi|t`l}Oh{i}z=1e@J67?gzn<9BdLw_A`6CJUDtD6yPWf;FdMzDMw7Bfmc`IYjdhL zNI@4gO2cM(ejQ}dCPsQRR835cBkz@CG$WwCqP7TeV`g=lUWeljNCG%J<%at->W6AT zWF-4g+Wt*$Jy2mA81dI3*kDGTXiaiSo=`ozlbzvb@m?gVR4Az706u@m0$n8^F{@yc zL!9;FFswsy%kb|)BQ2s!Q$Alzts2=Po@fcI<^eqaLEx>5IPs+>HJ4;j$`5=000@O{ zSeO@T;d6MPvyn-(lLK!sKANOOg5o5fV&`#o;&OSP1kczlM>+K5)uPJS<)MR3YvxR~ zb9+WHwO2p;Wb%4_8ANJm!~?bC(Gnr!eo56SWAIm}bdjEvF(hIjWnezUqf%1E&&{#k zW5njO_y+D};#B^PIC=IvfxACBybZ#_6JbH@l*Yr6HQ=Gm-^bXh!*F{e9$-=`7c^{}RNHU|{z1G(jY^6~- z*%{Yb{AM(PYe>POtw(xEec-{PA^#PWCM}vvChE)>o4^CwX5Smi282(@DkbdMRm!-O z$r4Z7^7tjyy{>aRA|<92-rD{_otEA>wePMSQQR2&!u>xzbS~!FE!YbmzBZp9TOv3+ zQlMrxhp1}bYslvfIEW4@Cr+jax);1@>1#m8AoK4F$C=}7e~DT%c}ksTfhKY5i)8dO z`P5Kc`kBM6(>NLoRHChG0&+!o_Uq5jQjk>-prhnTizIEI?Zb8UA6Cny+x(J#u{oN< zno?aAXJ-tUL{DnDr{0<^iXoAbs#b%D1?p%R>)oXU$hiIL!V66belmu&1y0^3TlQ39 zmCs+CBM)tVsQLgX$eaGh4l0KKNe30f|KXrw_)iDbKOXHrWl{fQ7Zt;Q!cYI*MaA$R zY@7m}f~}LjlQBL$owTu$xxRp{o92H&YOMdpz{c^9_fjx+v~_kcH2x3V?SE(C{zopV z{~XDGL&*LY(rf=Y`Ttg$g`S=Ezu+}y4qB%FckCKF8^iyFiRwQe?|-Yr#KA<%^v`p} z!0{iP95WL$E%U!CCI;I7X-@9{rq+MSq6Gh!TCZ5}nOOgGIsJdk$^93Y`G0b9?2PpP z&z#)Y-wbXE6?E}~TbHLZrYWF-iDErIp!z{Z@ zf@f3nB*pvnee)m9)nP2&E^n76g6)i&MhR)mxYoi%Ld8iqzr>`OAmBp7#{7ybO+AL! z&w>7|->$s~xO8j$#D$7} z-O(KR6cg{r$O1gBk@q1I1;@V{X&E^!j1+>FBFo^a{5h7o5_R3s3X@YPz@>@=D0vB- z>S|-MIak2Wc^3-N^C=pYre2mV0nHf}n+zG$#egQYCom``BAIiPQAqB8Ef3-6XWC?B z{WJ7^KRV1Q3p}DioY`2WlSrAQQ6HgKi#^0L%2hfnRYu>B(5a`f(9?mQW%_&*ICQS* zY)^b6pH7Z%oA-x^@*f7@x;E~c{^2yynY3?`I5 z(P!c`1h?xLk&KPbDx;_lIP^a-JMx||KdQ{lu+7^ZeTfb zlB+o7S78)oIqlx37&_4Yd8{_(HOf66*|Rx1bm?XO2DAW9pGbpzE@*mFa4~U}lq)cgtLJEOHb> z7^MK>O32w-RPw;r^BPwVd{70Z-| zjnNdlV`}x_m!$JIId-b{b_0mFhVM&f{Xp!omqEi;^!NZ$YihOe#8t7PVV~~1g5tke zsVOt#N4*r`oNodzCm%A%m-Fio;QoMU`Itu%d|b3Wx^hysj*YvJRMPEW^(l;0PFqk{ zg(&SNyCBWyxj$p0^iQO|zY@aPA+F}(9(He1F>*DyKH5hy#CGB+cNt&e2UpLgoG#|j zuH=%(gLelgb8+XBuf~f3D<^p$W+SHBKyes6-KOH?ugvnpp)8cWBKU zQ%?Skv>ur9CHtRwJShbbVmb#tgdor)0Ow*CH4D%-rLN0)&OGSYxw$K}7b?Cm0!0@J zI$arlPJx}4c!0Rc|DYyvU6G&BaDJwYY7v7YUzS3sO};Gd&KjvG9(*)>jBq+!)Qzu2 zm9|bx6{ysbKc~8q%$;jA8ZZrbH#*3gk7;4EGtu_9l8l`;>iI9AcDxWzah?OUEjOZO$g%uDY+124zpqFq=BGHKwH z+{i^W@fyJ%j-^%JGpdEDce}i1n9ni%AflvxA(*hx!ks8e^z*;4 zgj_G#e}}WXj;mxVMIQJ|b`w?W9VYsswfsBY^b|X%&}6T;eK^i4_J?6A)s18*`^MwJ zw)E9+ety$YThWocln1Orq=AT=FbMH17{NLbT3 z4Sej5R5Ua7qMS8DAVDMXz$Fgc!VgJOI9+p`BgU!#J74``W|gwf2k(yks=PJmbwg&E zrwy>>hSFGZA4A?PB!T5)su(h#V1&Zj)D7?>TN!5kjmVO4*N75j@ivqGKB{q*3`Hn` zr;i)>ETj(q4NU|LSMC>{9Lfn$T1j9MCEwmpIZEU|K5AJeuObH*dL>INq5Hs4)Jj$M ziC%~xot3BLWl5S+E2^+UT4CEqb`ed{QfIGzfn`54eQs>w#lVUs=Uk^QOuFN95vn zb}J@PS98$>9tO}=kK{*W9h2Mk%N#o!-0Qq{jloWM`)H8l=Bl@Fl=K*DBq1nPDS=$d zR!5Q4)LLp|ki6(!Z7iqKYBuX7Mir13{l8{?@cYm&UU-`iQ}%ZC$R%6kaiY2zJ{ zt$hmLvxRbzjvhAGBCIaDZ_h7Hk>*WqRJD2+e-4rCf_43>Iw|jlIju3} zlt=J>DP6%7sGzhid<7y?V&vd3$ay4HeiSM+fg71WvgjBt%4vwt#ZRb6PKDk66}VzN zaqRLSKD5_Mho@@+r6|NlutZkHy2qyC9czdsD@6iTkG*AaCD3kOzdAMS3I@W@uq0CjmZdK;&M_Nn3%wYjdj6{ zns)3U%%WDTtLs#`d-45uL5MpZ>(l)8`G&79ITt4$eFnr)fS?{IWKb%my|v2Z^0;f#KHe0AEY4cw0YDNJ@X_M z0A7JvVlX|tykHvrpX9_fV2~;hO2f;rVF5cZ|L^forwew~~JNI|I%?_r;xSaD*cPzO|Y$shn$v_UWv6DC6wXHlC& zDV`m2IeiHrZe2G+?3!QYqw$b!lrxg0XhvvKZZ`NW{6EXT{GKiL5UYY`p>$D9D08-p z<*W2ND1M4terN_%bA&`!=g>}Ypfb!;b#6yXmK)QP2b#~<1_kA-z_-akwJPuL6L_`_ zvW&GIGpHXbRIE3Vhbc;yktl$m!J6fFN<^uG6c_TbON5&%gC-9xMn8v<`v%A4p3oJk z9CWs9bILW;jj^*nJxCVnVTloDO#~hF{UAh2o7r-KkI|zmzp5e86#b6Ge5)xAWKN@b zA9rUP^&KZ8=eBm#M|El-nUZTt_<+ns=I%8p8{S2QfM31v1o! zvOgT1v-!Wz2Z;;C9S-kenCQm&ZC4`}BMV&C4Cb4kf4jOmDSkHH-ub8m3yISZf zLRyZg@L&5Nbv7YFGAZ$UidmigvK+>%sVrG|aWkVp1%m2yX8*4rB3lpmMhSJYo>vxTC8U)r2~RzN)pZpOJFAQ%tMljLHdS8Jj#v;) zRrQt)=b-AfekpbOdlahkY7?vg`QhNM6w}IT&MExHR0mkqR?`Mp7!Ru8c(1%C2*6ERCC;rB@<6-@o%to&zB{HCtZ z{l#T_56ukrM^=jKbR`5LGj`@m1iQImgF{HzbZPzklUpCY1-(26a89P~EQ=aelvE&2UNDitr_5+U+mn^_re^#)wa>UX2Y{rAT zkFNVBnr!UYhi_NPtF~#z!gTGV@@hKlD(g~iedpNM5LSNG*!A4SQFx2fRmxN*W7l;t zCJ)h`5~@n7r-*LbzD7mA|3#*JVYWMP$q+(=d$FSSx)Qc-yu|8L#5dUnuOG&Oj>!HTg$YgN z#!=}in6w7z!X_TJJ1UW5>_-5-roSU8W>n>5v1;xvoQ^3%S@vQ?@=;#iwX?7lfn&{M z%p>&?X1vl_;2#P+y3amjWhC0y!9TUu#X4N2(rZd)EEndY<%(BJK}xDdnKfJ%N+;C* zCXS+hC-=c22qhiK3k5?aHJhu$Wqn+21BV-xSM|(b@Wu@9G!q_|TlLvoUh_WUN4K`1 zgHoF&&BQPCi=|%Z%X?pb#=B@t?n|C*4C)O1L|Gsx(O^-7FoN9`{QW^sbE;o`0xt$2 zFN1$ph@M5cR*WjV#VeCY!S)tnx@|9tfhnKOTSvHwm0cv;nSmWfU?_B9^=J5D%@rG; z5ebYfrI;!0s@hcgCe2;rg(+4WuE$~Nehtn1qxWH*f^IK-0p_+;4UQ%DjcTT3@JItB zIsqFNVEs0v+Q%wHkn`fS)|(HNyy`@Uvsn=#xROebxd$%q8_EPf)osbuwC>!(&%gGd zed+^fTPU!k=`o;PtE#Z7+)OvIqk`)KYj@vX*IJqK8|wwA%c%zv%%v2jpkzU@MK|aj z@;WjWHrfXBE%hz0m`O-b9tfD(oq@RXyeD#u*sH|Mh72U7;F*$!_mY=W;8B0t3c5xh z864N*pTPT*>3r%jBYS}5H+GUxzimHBAH;f`4Ej^nC$4oQpxoIPannr+UrkO9=^r=4 zU?h)0(h0-3CW_DBv&VO+V;GV@KfBL|5Wf1J)Q~FZt(Ano`LqB+B@QlYDaSPD&5V)^ zost(7{6PyDm53qT?0?HjBs*b}L(K!3Y~cgxY2MamLfV$=PlO?F6{1J5v@F))G)KUc z^^t=df}>$zq|hKpr%{GTRoA)X{OCC$3pu1z0axr6L|LJs{r%;oF(dWoO%gJX5~F=5}eDCSh8 z^8Ic~sBzR^A-*@+Zy!B;q;LgU_^Hjk`MHI3{T^>@MpcAW5$@gjNz&_9Q9w)U_DNuL z?rOfBo@@T}RmqETn>EiRTKjP)Df-RnRAawY$>}`tWxPjZLA<9Du(L(z&}I zP#uswoD6du3bp*h?+BhhQnfIPf(Fz)PNx;rbYximMA%e}<85DT($I8|$P{rmDMUA& z)$OtcGtA!3_#P~HUhEB8i!Qfax31H!aEFWhK_5v^uNe*5Ie!)HE)g`MmO|BcV*`Pl z?b6%}Xd=7*2p@zP@!D&o%HLp32Alax`2*=g&x$_Mog|f*^Hrf_{8~?Kzk7L;&u#}m zG|4F1Vh_sLmDg&qd^#zazhch+kP6zVk)~WnKcnRK24(O5(-v}?(_R&%Ns#&2= z2@TOtb3xsYv8{!wbJhv5mwNHMcK$vCo#J($aW2Z0j95%97SP7sr0+uU2 zsln5C9C)CP+4`p}d0-`poD7fpZaEh>CTgef2uAZ`wo>TtaYb#Nu+a}@si;aWCXTip z82iGAl&-R9)Z0e^XPsDcRD0pqu4B)U!vDtJJq6d+1&W@JZ6`anlO5YTPIheDwr$&X zc5K_WZQJU7zH|E4>AvS&RNuZ`U6rR*^JT3$#+X&>VUGX!(Ppe|S>yJskTDfb8m=i3 zb!Hh9%qCNH`wfbNIM1PpqzlxO{sH!{o>3=+=rPYv)=L4~gBSr=pCrE~q13Pz;h+&( zEySGh6;TFSeh+ura#3ZX%jnww^Jgude59xpr>Mz!SE?ebTyRoIj%az&vw|UgALXY<5XJNTlua4z_t0)nB^sZQPtGx+!S z^4kS@8nD7`xhaGv3%x|xRstg7{TF0FQIj_FIDDNxB{n2aPK;7O!A}bw}A92Ze}2L~+WjXc^p!Io6ST+mAlq?aSfSm8t577|hN&#i@p2qLF&ttHA_?|^^yQMLJgGD;)grWsrc=74O4zBq?htxCP zlCtGvU85%79e&zxRGQL*-_A8I|+W_zkf zn4_r+^ofA>o6et7@mq%@GW>DPSDdsYKTr&OmId2=tSTlABc~3Z+~r6On$?O0_51gl zEn=loyFMc3J<@nr43=G0rPdhbC(?M265Fpe&-FC`n*}G$NA1U(1{lQUL5%;ra0t28 zBznYoW~-_@dk5rAq{|O9t>dDipWHnT^S>S)lv+p@0@sY*Y?N-Eh{r}=VDm6lhI-rj z$=mMH&npL$x*TZQY0$+Qgr4atiAJ&3+*lKB`OV|ZC<6{s6~@zfs)*ZnfvQIVfI_+y z?x%1HpO*4o>?G)71Vd->b|Xd#OtTd?Hx^#s8BMvM6}I@C!whuGQ}GbhunRum7`4o; zWmOKW34*eD6o~e|LKIq15_{QtyO)VC94V;c zsU>SHVfp(JMjkCM0yvtcZZOuc0X3evC8WiJGr$?W-=``{(zGTEFmspJdrG=3*(dXc(%*Fky7t2kc+oJIYU4FO911C-1UzB<8ue!WmAD`LYsmWn^%zq%o(gP6N>4bAkxCM;`umCx` z`sUv^sa93@6kK#f>;AqE9ybrbKdec7GaTGvD zi{v2BvqK}{%dx$rxQ}qdpc5=A?WE_8$6a5TWY{6w-7(wE^J{c*?=35}4e@Glv#Fu{ zNkNr-*Kcrq&lgFtWWEJ1`B8kDtmzayr_&QUDZ%hG>GBb)_f~i)E@su~~s8^*yeDG8-9{ zq{C%16e>t|Fcg-L5(fy?GGzU%p}u|DIEVGGCol-Iw6a&Saa4m-v+$nfEYmBQ#zOUC zIyZ1k22#4HqFikSuFP{v{;*e8_WBV>)=wa)#b*ELVM#q_%Tr}4ls3=vHN4YJVKsJh zlFJ6R{0sLTW(SCQX&vu&k60CXV3>`s-ksjh)GEw%d_m+ifNd&yee5|PN*=;M)L{xp zHxa83n2!FPwjj6Cp1Ram*Yd_l_ z%xRfzQ^^7y<$!rr>>CM1wNeW!&GJ+-o)szSI2jo(sANslTDki!_rY~)NysYLEc;$0 z;I*W@${9BQ{4&pYU(7Q3rO({?w99^Q(U>Yi~W6kAEG$>6A4F0$I_`X`S5l@ll?#oT=xLdtV(%!^4~|X zQ3W3IudFpjLx!c+28BjrFF`qdcv}XmDR!ogrEGs8EGIszBQJ7UhJkdprCj2P4>-lM zmQ8ZsgZwa+9)*)F6Su;^>KoTV3gm{L;;r{+HEN|FX+qkshiT8fu|w3-t+1WRMum5Y zrN|Xd;@iB7-Lhh*aIlW&4jssv*kQtnLMF)P^*^RBj{3N6e`Mfd$cH*-l+6BOEpROu zi9q=m3Tr8&EF`i1oH=5*0-=|X&29_#J{6_-f@txG;fljL&V8rAc+k`XsCyV%y3FSi z4s}a9ksBbDob{XG3n3{Rt6YpP^v$9x~458u2~eSy+1ByFJ0ICkX=j*HyUAg!mhvZ3yELF@HG?s zers!a%RBfv@%OKguitPO(zN1bT5hDg*d(#~4{0NZ#ehB?1P)UMPCvJQ>v-Ne+)Qj< z6a#YA2J39ov#vU|!qVHU^7|NuMjq@r(uY*M>{%IDQMuNv*m7?hWgo0?Eda_`ttDdO z+#itwpjd3|x*I(#=o|8kMF;yBH@$(klr5BxH>;ht3bQH(clTJXX^j4T4a54_RkY^n zolmU^>el;kF>RkD5kdZ!Xk+O_-sCykeH*lt0Jx zxr@JcNpX}IyO&A$}92K+*PFyhCIN(4P_}`xN#UT*a01pIcAvANFryKe1|`!ukQ@`PTW7 z_ppm5ySy=#GD}q8H8AedP55J2UydP`*nr;=pwreIyK#p zX)ub_big8U!ldYttuefmTLXxznhE1V@#XyzKhWvG9AV}+D*kk^)|annAnngdrL8zj zehzb+9zvCtNCoCPaKhtbx=z7Xu0QQ@!Vel+s`Ue{z@(gJoU!?hL_F+-qa%PG*^s$ewU)W%LIG$#-fs1Hc2Y;%D_o&96EQQP_mPNab_o0LSP~m^;UUZ-rk&=7d;+f?;2B9cce4cPE`XFG^8(#k*Pc7o2&3uXn+Fu#x<7SbD)Zt?IU?T<5Q+F>C+hVVkt*M z(qQiF*SC z;xrYPP2(5nSNT2u*R7r>|5DaB$A;3ziv(q69N$BFt#sPS%tqYgd5x-GpvZ*=+egVP zx{xk?Vmr=HrwZQBfD@-@;2R$|On}^e)v{mVrID}&H~25x0t>Kkeht)655Fqee1kiJ zp^2lkjGFIaga>4GP;ig}IDj|JJw8VIG9HHPo>l%#nTe7l^J zgZJ6X#)Qm31t{)(MMp0+5H^J$zJ4xp*BtjBt-%IbrSNS=Xz5*BI7Z&2Qi8GHuk@#8)!j@|=SrMf}Fwl@@z4!}WY z#E;KG>3Ui+dqgJ(zLUo0nf)sCO{;1w z25b?4Kp}l~FC|sKs$OpGnJAD{(Kb?20y4jB(@MXH0%!<4iBe4YrIM<7V2?8Cr{sc| zld9lcwjr4Opf0qttaMB4#szja4C37VD{qH?TR-pc;hKirCe2hl-Qk(gJbiIh`R+^F zwPfkF@9c>iBQow1+gkES=#J?uO;kb~Ahw>YM8L&NyjFOjP^dH0jSCeD$;M`YJhH-` z2~u`wP!n~@Lm>SDHtI-{t*%r0VL(;81k|i)-NWmt=F_!6e$hTZMb9 zsq+j=vVUHd{oNLgBz|f_9A$KBRA43Ckv^l{D)uNQ2h#T3c5h$i%UPT@8bMW7MPMWq z_^Myg0KX%EPIO{M@?&@~qRKj$_J>yora3S^o<5i!LtpJV-KeyY1ikO#BB>4y6i$03 zYI5@7KEmY}Y;ats!Y%8&u3)CP92Z_cNm>okKmtBnvK~kPogOi_&FxdyX$rK z7`qA28c!HK*?KRFlSDUyk$5~fcG2xhfQi%t$hXt?eTh$hKENYk1}xh{nqkFZK{NP7 z9wP3aG?_W)D`-xyZdb{vUpwIJmtXbDn${>IsGd(%B_cm6(qBK9o0!jji4W82@`ZxD z0qFk-af9i#P!fH)VSiFmbXI(V5rlvUwcYAe)O6&XWPf_^bNsoHfk+4~k1NPtc4dmL z0@#j#$X03}nP`tz_%XJ&^i?fMmGkfaAI zME3Q3%aXj!UQQps^{Ei^d^DkN`!f#M#8yHkSz2|kdMS!6RJecc_X>XVPdp><#bILC zQE;)*Yi;G-siqZBM%r*;DVb@6NbTO56xFwJVmWs<%Wa9}-cXaTBi@gr-6yD750tpV z`!O3SIgrw4hoWM!Cu}k5jsnjVczXM~lkiD7LwU&j<4Z3Dg|`^1m%iv1c?CWf_jKO( zHFrLwAk24f2|BJKfAr$7?YXXj2O5IMc&xxqJhXHiL&yjhqe^N5}y_Zcd2gd|*%Om~{ZC>4z zy}SR}7l~GNrF7;*wkYz)A>J^98ZB>Y+c`t2g66JlVq{lBoGvLub9|7C6?5B-_Ruc8J9x*M(JvirtcDt^!&5(QJ-fJ&lmw zX&XH$F0_a_5jtM0t(<2*?2U_vfayM!JR-x**$ae|OD75R^+~=8Vn)@$5j_J88MD25 z6T_4x?B*X8A%Omw(mEi#FcTAxfhp%?_9_#FPw zt>Tk0lpTK8d0d_#e&YB8u)La=rpgZTAQYtuLHoWEHsFMiG>C^#u@c1_$<%vp8^Dr) zvejCU@hCP^9+>pL^u{tk$~sISFE#B1Xm3xcPL6SfXRr~yi})GOJ~ziO735+wFf8Lq zwvYUu+|K2otSZNPl_C8QQlpz}jr&-21bT|w31Gq|w8^4zDPBYz$=4E`WGwJr+@xo1tNe9bzDhpj;R%C}$x z4eN>FXENI-)AI4n^f^i=7{}6;%X*l`&zyLj|2_D%xQTgtJDXI-O?b<7slr72d{%a}-Yg>GjjD^6p@ zu+7_6>xCFWP7Um8W6GYTu+oBmOb|LwL&+HWOKaum3iP^pK!WsI3Zup+x7Dtbz*kJ6 zg8Ye>!GJQnZx{HhG~KkJD3@P^%AcIO)keezw&MS)omVs;Yd@92bGH+smgGYy)swdVhMP*Vyg{d>lw?AQ9a%kZ!(Ilug?L*UzC6e2jL#_ebaqJor9`DSGDO;Bw(% zVc`MwhQ^@979ol$+=mE1{C9YB)gE8r!4wOrneV(&P|HzSe>MxNykZmBxpt9+2!-DzuGT29H2YPd(~kQQ_1u_1h8TbJd{6o z9q@j^SQZ{K;0%U1)m0ltyuBT*aRoWHVSp*hkDUO>75ZjMcneg)h36&2u zIYdQ)D}JpEnbEnI=N@cgnh*Yj5oeSna77|e^U~+iz^!5&^|VmqRjq!&Dgu_yJkwT6 zO*{;Q_LxC83!iRcCeaIHjR*)k#r58H$MT|ucJt^A)N64|7xI;$J&%%zh0iBu9 z0pZs6f#bOf?&v7iGSFN%lNW(|6YIKE*IH@`xjj{d;Znux_IGm|B+)=7LUJlDW%47v zhRz-{MYe#P_M)qX-rTL$J}SZ);pps9CZoGWG-WLXUF zg+iY8F!s0_3<~k^3Dh~ObNJ#a9V=Wdto*X%lyq~bMv)Qa03gA8=2YPNpbmM3BmVaR zuVB<$S3JMv2I!;3a#C~2>)Q5)Vr>CD&0L(5t=pO=> z-^gXktUQ@0?w4OpB*Gf*_`g;s!Q+!Cx)o^99OF6gK*cTREDSK>&OL~)X&m1~nU@iHe5j}RS~b)c<9m1{B# zdBsKP?Xz@QIA6xSL( zi)S40Ao)h((3;FZkVk`%(O;iXIU*pt1U)$K79vxMRfhtKycK22tNWPMmM;N6Q&`5c_#Kh)n6)pvg=qMk>q!T#JM&6xkUjZym$H>nt<5-WIQjurMP24Q$ zh>PH#3M&3g(5Fx8Yer8VH|g)Fu;*JkY6MmSHl1?LMVzB-(%>>(G zI$y5bE&!<|fT(B60Fw-wIn@xjHR#%u!QwD!iqr!5y(X+5z#;W-(>^jOR~6*j9iJ^b zlOXn+NKDgLLB7yBIi2B)V1OJITV-y4r~zh%cqV#*K^jdQZ|Td3g+Fd^y^P$E@+T}H zmVMozP+vStZ26qfaIOL_!Hvu_$mD`|+bUyrwC#1jfV0rPLlEB>(u`!1e?cDpQI63K zxInVPKIX;!t#WVHTNmGKNHMEBy_5)(VqQxE#1Hm8!>P;4f%vgtc-n!zrY2YLMCau@ zT@W7jFyaCX42o;Gb~m86u$Acw;51#i{I(GRR4nX)x0%#qOil?B)XXrXhdKz|{d#{$#3Pz3 z%Y@{4Hi?dHt$-daie&(cbMLci5O;V+;q*rvOJ_m7UY(?y+diE*y&d+otvP$I6U;j= z;SGe(O@uSvTnc;ipQYbyxZgZVw|l+Zyo$FI%08dKjLjSqLvMJfEB_kv9;uAO2GhlO z&U}LQA?3!p4pO$Ud)aGpA>n?OboevfJ&z!XxG+Josw zVYXAu4n2oGK|~-P=L0H<+l}yz;b>sRdCCnH;&G;Clhu906rL|o!xc5+(hy)k5DWUH zqo(Xdp>=-8IzQ|QNe@7Tf_wKL;(q@mjsM!?3EElP{8#BBzm=7>gS|TAzrua^j12$i zd;duu`A-b_@AF88e^|Kxi9C|wUp2u0FpvDd348w=^T>Y&{qLhiMh05uzkMVt8|~lu zV`OEdW%+x>{`0T#r%5O8sOR8nV@M}yYGqC*U~Ok$X!lPIO0P*LWN7a|F7lI}m6iSH ze}?=0GXwv&ApcvV#s4Du{V!7d{#pBf9mxM#Co(WFv;Oz%#Bas#UlhOIZ^iFh@%vW% zz7@Z3#c%Ih@%vW%z7@Z3#qV43`&RtE6~AxA?_2TvR{Xvdzi-9wTk-o={Js^xZ^iFh z@%vW%z7@Z3#qV43`&RtE6~AxA@BcrFpCxP5UP$L1)vN8`wcOlO7Cr>uN*rKcEyM+w zYs?2J)ABbL zbEi%?l*r*ZQsm+&o1fBjGu#UNZ+^t$!ZcvsGq*0DwJR*|RY%FHr<9Y4Pd{;t2p90n zjAnasPgC&H39*r8NJAv{F?ff`c`&e_7F+;Fl9!{7E10UTN*B=98;TVla-3Bsh?hF% zv+jGbA(|7>$o`(6v@*9`LhMe~^;S;DMbw(fA!mhXrR5-mtB2M7BdAAFQ&bQ{ILMYu zD9DJd1D(7~VdjM8eug?!=S<{2;~^pYB5|D-TT^w$vosjXlpR+==BE{Vnz&e~`U*L* zKMKpmN6y;T$g;fe08Aye*vcLG;j;L~HqG^BYC3VyH*>duxSl8(%;focIR!7d_;5i@XsL+{u*4MC(2_^9VTX-%4gN5A&3!|E^_Q7e__Ka^f=Q#Z-P2Ho zYhCC32fVsPaX9;8Sb5r$s+T#S=|fG~#xim`L*JW4gL?zdM*UFl1BP0rJYkKE&}Nl($$W->TI^=Ppc zCUBD>R#7lu6E*o9yW;yU3qwTazwnN$A+94`RrYdM>Y>Lung^MWWPEs3v~5JpI*;Hr z%FAvhz&U>W7{9I5#J@a z%83T(k3J1*)Prp8Xx2SO<;KF4jE4z@76@CGK_tW4IovTm+HDuTaMGT~Zl&yvZ=Y#o zRrO%15e%m-sdpCtn$QgZ_oC=jecCpsPV6@)5E0mdz;U<(Sq_skz1^|I0Q&cg%e1-1 z^ng2}d2ZIJ1<-QEN+`fkN~7%_LQ`ZhRxZAZ)){E;i&msB(xQ370Kggvd9TvjdVl*+ z6FS4-_Mhan734bU@wu%IrOd)#q$N^pE#3;&>2q)8=5Cz?XwSm9U;SwNXMI_y5IOcF zD*oaMhfr^&^}4XrtTAGv@b~vuos~x* zGoRs;j`8-nCE{hRtqA+4B#vDR-oK9z&bN6vO+c+tdcs-igi5nfK02U|CI4jVbP+w) zC6&~kyKSif87QVhaT;B9_HuqY|~YjkATiy@At5g8iQmmnt<^GhEMRUfBXP%FEUU#fvAkw8&D z6C-_Ip}44ZMu9c;brx;}Bumutz}EwmXvFrnA`Ry|TuH>mexU{!D7L@d3e*|^15u4W za2SF4@^5{@Dc+z7PKwL1W(3xQ7ukZG{g`qshl86VG48;%36pM4hJF` z!kjBe_}A)3OAOWmO&;_}Rt&gz(<8=wek2IPmj&RhuX5UVk;dX;G7NGcnZ66dkiP$N ziz8cxp+nP<1JJ=R>=5j$oq3KTs#tLU^8##a$CM2-(n7?(#p~jUVoOZq3pQeuAS4PU zTsPrzD#MVe7WW*Ds>oD1zVLdyFVx>a&-L(Y7z}F2n2GECl-@@hMvwzxJS5skCHTFp zl!W<#Ts2U7mf3i1&7I_NVa`UWd&r2Fdp7kcsC%Uj;}Z>p(68-r6D7g$biw{jos+u zftO;mS%EEs7}+f%wB{_E=_|SJ;wAXOn0gFAwH_-5IH9Z1l=dREvFYG-*g3`OjZK^- z9z@LiXTN2Ud~n>&eDWXvhoAYdK>uR_iu8dlS}B!+!wysjA_XIoFxit0T{b8Jo`xlwefiAX{y8oa)e}W*O9UA8t=jF_OujE-KRBsIB zD%?;#;lx?X$}Z%*Re}YnSU_GTDqH@KyDVaLaX!0knmnLm-y@2{(p`}426(~|$7tZu z792<$c%rL!l-ijq4Q!CnqG<4Jl0avY&A^q?ZNzB=BXsbs_~{8ATp6$H+sd4#k9UM& z?^}CL3JT6n2*6JAPsX?yu7n%_dp`6%VijqAxxYJNqlNFsA&kNK10jk)hDkg~tp?Jp z1)yN=UGNL{ObYp&uM&W8;$U00OX3beesBii^_Lq-4aE2EBz1vq3X$NS<*R;PpD7~^ z1ENxEGLcT(m36Jq659osOR&SG3HZo5Xp1>;;qAdZ?yV7=wl3XaVrAH~$^~oR?21)# zf<B57ln(^sG9ufb>x;9N5%?=2ATBy;_~y|{6C=_3pxv+MJ$1)464uzjmB+pZxp z@<*%OLa7=itD!+hFl4tpCJz%DBa2WG=QlmS9dFh0jYM1_dvW*qIEhQ#^E#)DzG(a8g%&C{oP9=nhgxIo}Q0)+vf;@0gqcwuGHK>vf>>?3{4r<53-0W zMA%c7arErM&;sPB00uCjR*Bzp{pd?qi|FWQ(6>U8fINLe;B!7Jn7~;bk{mg?e_~+Q z{|Zu8Uk@uId~B#xVj-exKw+a$Dp5s>p>l1eWdKE=!H;$)k!uis*W6uxA|pO9a7QB= zs06`W7Y#80Hzc+N%RWltgh$)n*;Pk9nVXv7wYwVi0(C_rsV9|XPDZJe>vzYbh8;iL zFA18;B_3>C`}K@%4T4;E0r<}nXqI5zC=(Pml2k(oM)UchyW8V~x<_RBW8kjbLK4nQ z+#(!R``sfvB75h3Yy0@%f0{-}a^wyv0I%sbPqUdvuqg!kaupzRj+1YgqXwPc$f61v zOkezzBMiyt#lUFb6$ply6xOwIw4cU!PL?>MM?4viAEe#gafwWA#t!7?E1>0&yjFE^ zlYGFJ0Dxy81-(g$FhMbL!hNYTR2uA1LQWwZ@ps zwT3GMLxLtS#pk(1s~L5u_ZTaaCLIAguf67kSF5RAe}YddA4#1?A$ntZKDMu?O3#(5 zn6ux(E*hV>!+0?H$L|Tp_Bv5?600epB!m)3JuL7}qcHI#lUZNox~ zM}7GaP`9u8x9XyCDh_yooX(4-%As9iE>fdQOEhZvvQ=7i|M055{l{kff0& z=zo31{dp*B@BCP&vH-V}VtihLz}DZp$lTqXqya9vd|d#b7y1f4c+QE;2~wHs4eI3R z^kGlgtExzdvDQ07)2*OL-U{Y1dbbSc8(b-!2TCruMr4DwH-FUq75Z_8K%aDF&xiCO zP4Ft48TgB?Ro&4+hrSb-UQ*#}8i*nFfr26T5yESC{fJ)v4vvPNj}34S#@@S^fI2{o>~Y^Pa;Dl=o^G%Z?x2TOi_Oj zrt8U(GMUD$B)3`!z!Qn~=t=@51N=yQKEV;Yk$;$&%F%LP3zb(KSKxgPPYWU_E3Lbb zHN(&O3g)F|GTE47!4RAh5JvU*%uCtORe!4`^eV9gLyVASqnj#}U3t*53maj{R~$y+ z{@xJ@kRr;0b;9|16jah4MgGhT6pNh$lHNAxpG~4d`W3xGOono zSIas=Nr1pJnng&GP)1{Ll{XqT^XA`T%$*B_^Sv+7Q6o-mn2gV33!2}tGmljZHFy1_ zfRWop4N?AY!e%NY$5BtA=Uu%7kDz`iI?A4~wPM;F{WB^qjiEdF_`JXC z{_BUxYgB9B$!tyUC%K1~ldCs#d;9b2yWaE7hUJJ1=hJZbFLN#r;~Izfj4*@Y@*e~= z*hCNXd*$iwE3}Bbp{0p^rtJqC37ih-ws~IC9D2OJ(Z;^$UPPkSBY}jBaFVZZ`_#5H zKQmTvt#?&ny~A&idExxCz2Btf6bT*}BWB3!)KQgOg9;gmn4>1r;>nq#J70Hdv+lID z=i4y2@cPL`4rcT3CsLX9Y57l&igzBLo%$E`Hw`#3R%IIPZ_7x%XSDUn(WETOhGhy} zwY0WuJpooK=~mD2--9G&^%CV1eww@SK%1ZAV-H}zicYxO#}p|H9gTFbSAOnp7w^j( zIaL}hq$(hThQ9oHwTgNu%2wn>7}%0&!ccXkCs;w2`Uv{rEsL-Bj0{GNTr)Bz0{AWv zw=*Wsn+0=ww6Ipb^VxTi-sHWtvH4*?CA+2Ffv1&ip_L8iywvB8tG%`U!QS46_xb$r zv2fLAt(U@+QJWEsGPXZPYS{Il{qtnrXjlr5(lR7BpzapE-OcrMFn%oDsO1w?2VikG zpXNVIP#FG2;QAj(P#FGA=wkS930?p2TK|^><$qS_V)*AP=>DSw<)1tFcOA(8&IILO z2L11Tnu-1&FzeqE6eebRT2?lE7B+TTw*SEdg`Jg=65)?Y&f3li? zvDN-p)hPe9mGzUEmFd4)Xouwz9shtZysp+sgX3vc9dXZ!7ED%KEmlzOAfpE9=|J`nIyZt*mb= z>;FY7OSfayX?-~IxUJJKdc&85^~wMN1jF4@AKm<_p9mkGAJ;%?EMH0@O%#js?umVX z@GbA=vcIR-M?{kvE&NZJd@-Rn<8RnbgOX99#j}iD(!PcX$iy9u8&UDN6q2-jqRfd% zYP)&^D+sRl*nV66+tP&|i7?dC~f#-2T^g|CQDw4qRd3G)z2F#J5cC= z&5x(5p@12Y@dLyK_tJ9~C7mLo{X;?8C-*GhP(k$$Try$q&;B}DRsQcqh11(t!`4kW4(sAC(?fLN&u$AH^(w)+QeEXCP5abs0=-U_Tw<@(&o*ZCY(kM^F5 zQ?_0tUd=6)Mg?bgoVa{N&W(aaxoomNxMyM6rcw>F*LY|!LNcxzK@b1mG9NL6Z? zr{1*QX&oNn;|OCDe|q|u^`y^XO`G0*Geh@bybv|TJKviT-~8DO@+)l=SoK&ELCU!OH@rVoV8ZEhrE z4Oi3RT?AZKVp`mfJV54Da*^auO)kL)W@`A%0324I1X$aLS;ntUa0t$1+iKV)szsDh z=@60IZ5*=XUOJJ|fsoEJT~J!1t2lNsRLn0cyL+wVUaa}lrFW13AR^Vm@Adk!e7 zQCka052yNq7R+((#kM#~LoQ$snj)7NB&$7OkRT3GEiEr@4LUt>WelJ;G7s&>(yrUR z)>JC_j6MsM*w9*WBHpydcv*MK#*$V92XOGq!XQ-2KPtnbs;%!;+radr`EnJR0rMOt zGy_+_q1?Vrn>X(rN8GPgz+;!Ph_CX6-qd6~E}2!-$KVdtX1Kj5Cma6#$tQ&nABNEb zxE(=FeW3z(0BkwnzOX^@wQD_9QK@OyW(!yOh{ht-!aN&giAu=Yxl1j3z*3?c3Gt)D z=P_uC`%+2N?Om<-j~P1VJc_@X0SH=ItdRZ8IYhN@w*$`H@w->6#`&ucjF5aluzfi3 zfU;m>Fm^Kj&j1PgOeGQ{Y@z(t%5;9VO@ZO_a^>?WyOTOWA=_puge5>=8+!eb!lK_z zT{)-c+R0sT+b~y_dqFAHM-GtCgb5mS+q9MNLnz=}*9#CIH?GSv`8jE}*X%vVM4o`A zmCYu`! zW&$B3lTMIWanC&Ep-}ieG6Fjo#id;EXCM!K z`vC^1bi67cwjp0)X#!zJg}@k#+Rf%zSf3f2;G4%RZ_`~L%ku-8opA0)-d0Rr9(ACDZwnYH$1xvG-bSg$=fq2Jg zt=6S_&rwkDu=o%YB+sd`Sx6T%1DGFwXm+PPqYieUYJ?_Nj*K zx{V==J(&-tnt)86xS8*=bU8OHQ!yD#1jx?`67(61gGz7jladlMsB#){EYZwg-#KpB z7q4k@WrPKJDoJms-7vK^$54{)pg(; z<$%4z&;dGl8Y3bH?84n+g6mncY}*Q|$F?ll!M|T$N5Qx9UvB-r&1=sIYn+svD3D*6 zRd(g;%L(fWqhgxLqPv#Z7~8%W!@g9Ll3>iV%&{ohp`%|ohPf6j1@BQVN;D{y<^axPBoqj+8?#xp{o#8@7{Lq;jci0r@rs zT(#q4Xsp_>f^^GICi7YK_4ZGCs)4&Mi+0RS=Yqhj;L0kZV~S^Py_{*WzqJ-M;pBa( zN%(-Q9Lx_cfr9?mP}#?8Ry}^6r%pB4_f{GpvwQ8M zgJD5fEq#ke8C_6I&f%0UNKOm4g`Pf%@)yUBp?QJn&_RL|YXzTbh3XWA>4S(to{Rvy z2T|70O0$lAyALNZS#&59^TnLd^g~rX>?bez`vPP*O`E(Hcc-(7f;aE{nOy43MgnFIWP|5P zrD=fK*~B~0ieJ<+jBT+Xr6=-E#(>q*YMe^^(z*JQ4lz~}LL$Mxs*!OJ?Aq^zL~>io zYNEni5Lg#`a?lSHz$?gJYv9U8F}N4`k%XBSWW$e(ITSi{|I9Um)DmTa%^9bh`pBNu zz#Twvr5M1*jID&iH5aBH<0GcA%|L<$GA;l{P_ZuF=n@>L3;-8hD1hEDBIAJD!0*Li z0VLeZ<47Xe<>>=fO$Y@;txGu~@Rbj8km9rH{_!_}%^$Q&&RGzX9YAy2_0GF6f6@v z{W5gtGBk4@>yvyBQfZd_7&>nJOTvAHdI+(X?^)49@?d+{*{AM8{u77d;Hq%XD;-;%8{u7k-24Uqn>M^0f4`%%+Y=JY$03QIQ-xH{KC8RgEIr;u)4etnCE5#*q{H{mU! zza{f4E~3!zc#4djXT}^#PXHKbPaEs&B_fxl={+}Ld(Hv|(=a*AA>t6T{xR`hX0OY; zsS<{NQfY4wiT;+6B#s1g(QI!-=yVt@Un+d%7accBId7B8TCE zqu$QNXBqjVZ^el@Iw+Q~6*Q47Bl~NnSD9ajHD90yY*-I~Nhup4jYM{cfIzKf^z!Kn z>oRO;p#+H)Fg@ZThRsq$EH_B$BE_pIP>5P-4b{}%wNGLobtq!o4^c|?n_EK(HdsZr ziu7-gmjC23kWH!HXw8{Kp3WBD;Pz{hejT@o2*>KXH*N1tv0`v5Knv{E;cQ>PnEP;# zR{5*F_9Objgb#-N8fP3NW6_PoiiTkpz8#APW*p&Zn&08?++tsS-^wB&Bckcp$4{Kfk2Lo-3s~r zl&#F+3W39v2-pSJEs5b}(fOA8C&VRhcpY6ZwUjJ_@ z6Ab@iJjmfQGXA4X{Qdkt*n5XyQMxxzciXmY+qP}nwr$(y*|zuDwr$(i*}SK|dpr6+ zsOqSS+tD@YN#-OY-nCY)!HPG3Pd@+XApYL}@ksm!)`|ZQ2N1Tmb1}7ZasK=CKcK*W z!8*bGZv=wUzaRPk@3T()vk3nZ`Df-}r(<9vU}a^aW8)xT`RkxyVIp8<KMVaYwOARM=-3I^m|6c`Sy}&*1uX1zoGkw-xyApo%)h?3`Y$>)mV-@Hb!o|gU^w8ffH)!eIE=>=o2W^Xh&+FMCH$&)@UFQS5&94c zG#jhlPQ+K5&{sYG+z;+oWe+y-r;yUK*TEZ0zT4BtNFO$AZQ(9F91_-0qL$8tQ-3s# zJ3aondw5%pTygB?CH?x@uBbWo;6v3rev3ceR44y9gu8kzuj)BD{Qa1=m|A`PCe%B2 zzkE)0ncn6Hd*Zw++a9i0-8kPr;rP=~k~)2An)chl&wH zSC=0S&zNRC;aLBrNn;bUSH_cUI;yW{aVO8aB9XJIaR6_n&07N2q8B4Tc z;~9>o96LWRh#Rxkk12cGn3h(J)UMAp;*3$7J|4e6-?&zMzPxM3uH5J6h`-(aNiqrd>sly}!O-ezj=VZntud!=DjN%`KUZoC{w)6P z*EMQScN=|Lb~Wi-Jml6c=Bko}i0t`T z)l@%eFa6(1tjIGwXHzYxt@>vx`epm?&leA7oC@Ws)bz3%@RLsN1!cMmjZ);Xa+-Fj z#d*)2r%#~+xeyqj}x?+hxv?T8WeaZLS2cT2Ri+2IhWKD)y$FV zSfVXGuzotbRVA~cNwj@#@Hotjo!G-x%yyhPEJII<+E5OpcAVK}Vf4ac$~m;@IF?)D zqoovdOE+tZpQrq+64E@DXi04=wIhS{1}=af~&Qzkw zHr%-;4xS$D{iMf7HRrXpE!~(Bm*x6CmgQ8<2EwVS0TlHbXVIoFu(B$z|52K% zqoWg#JYAin$MXC7jE5TEr7-w1xhn;JeH5B(o1DB|co^JYkG?bt{$O{x)*9?q&*miV z*idt4C_z1qSrWEIEb%6RY}v{5BB?aPpa>H7RTE)~Gr}P*`Z53IC#+ou{{#EPX|1^0 zDC{k_BK}o$DLUvE2&}1XG=@jrlVHqvu!P$20e9P`%q?k3D?04o2#H= zxGtITRJI=o2wfInxmOB(Itbpo=mm_Y=-TE&S^z#%8ixUN$N<<5k@ThyfJt2c_<{H#Ii!u2jgE{%ZUBx82U?O74 zF)jAcCFJ+1pCG)|qR*)X)iW7TfXXS(3;f2}@2@?b4SOwnd`&crRa?uUu7L3u(uYCTNKusb(B4!F0CN_m_bHDyEa*cH^( z@vxfG%B`l&1aOXFshKk%E@{1<=KHH?XH|pYnT0^15z4i>up0pxtnskvLalTvSxD|Y zlK29?xn}T!vOj?X3dt^b+g)Rc1`#!gb`qfyu+d#Rgo=!Fq*hl#>eewQL%D*vZ3AQwG!_MtK(C*wgg_OGr3#<@g74hEFlU zynQ^{g6UaK%I>-lnKrcFa?5w&c*=?im5`WC zP&Ag2PEcpZ+`1`|K;B=>fWZt2W3Yv|5wWH@77{;^s@@gI5!WXu3IrVs#Ccicv28zW zvG1@pTccz^6%poAr9=ovBbN}_Ee+KIK}JcKv&>N_w#K#&KwM6S3c$@=k}|PXG6==R zO1_SjzIc!QV*Z3x8LGw@r8%e!kR_lvrcXmlq9g`{?PxtM1K`pZ^PrB&xS>GX$6C5f z7i_BOQOZdOJ-pQTz$ajNCoFV9P6AUmZgHA(=xFt4!qA?Cgj=c>e21LS0bJblt7CTj ziChqnt_HOFv*YL;y97}hli@SwZooAh>aZM!AS(NkQ7Vx~ca}izszz$)cm`<0iw24E z8x^5j!Zt*ol_Dz-Aq9sd2C}qR+hZygQF>*KOxjgWlA;wSS~szPluia@ zs$o<%M`G@meD<+)_KZABt|bXRD{r-0Oj%$c=3+J1${JGS+j(@Uu{#0%UCFN@MULfj z8$ZqFdb^eV?C$Dpr@r6Ld3iFttvIb6>Io(cdO3a&7(t-D+aU_r;i zpuE9Swz*ES$qSZqC-0qWAY~)MSb@Dyiat78aZeqzgvx`rPWPW*DD5ZNm}f zX{oZez&`jV|Cg^3z>t$aSP4W#eno2qN`R3B1nNK4fNhT#n!*uX;ELxH^OwWCcX0D1 z@awHz6wyo$M!STs@&*c#k?<-4@XK-Al19Qbn7pRkbT2J5L3G9?RO0E+H1mi^YD?#m zqMjSjvXZ+wOLp2?EyWa3t^~`d^*4$0k|*RK!}C6xQr|PrrSeu;CGDE9;J_rGSb@_jo@4uI6`4mwg z0o-Pw*3FCk{XkK`QVU(WG)3Gt(c$}C2eq3_bToksuGyQGG@ zK)|7vN~rg56blq~Ju?#uZoMtFW+hN0z*!TFY);vu8)gaDGkBuA9^=VS#AFj(cW}DI zLx<2YsRq_jyPJ-OpxQuUu{E&P!}wH;9YF|Du~x{Ui;wmVe|QOy<5~i_Sqe#`_bj}M z7AdFe;3EC#6agjR`Q~65HHs`5J&@u@F@%Gmqu6auzgk&d84D5oNVg2f4W?iY%>B3( zNui#Bh1>dtnas^5Wl^+*5co{}(OLwOEl#PVSLxrakAyx;N79BGe|Ug^N_afY$1C26 zZHNMXA1cd4=Q-y&qxT}1Z7;=us3{=3P2MPXzYA9i+gU+ykF#dDq%Uv7K*?rFn4N{! zNV!vYpIT(=X$iK>gxP(z-kE`15a)a}_VKM?7e^Kq3hSuh<#<+)X3hVOc4BLOe*8!< zg;}ku%iLM?RB9c1llaqaT&101O%;0u*5$e8O_6>n*{upvW08_?kcmSue;WPshDwpN zFhg*znK!Yd5HWLcuE=FAb$Gu6o07>f{R)znOlHhfIUi?{GVrvP0(O;-8{-7Pk@#|E z@=L4<8rL}5?H)~FFgQa92P1UO-us)IFe_}9Hq8<#+8Mbpdt?!#DGXw7Nf2VgZ{=S3SW?_#z@BvpC}*aqwdTRM&?Gq{&{(!hnR_tMD-uZ?PMd!F zRp&GdGX?QOTMH_SLDHhz49H<+yuRN;?~)Z9W1+1j6CX+8wAUOdRRqtC!+EU2{eyJ7 zVTu{r*c<)yFtMr9$pZ*nt9PUJ?anJ4(Z%7g7g4o}LwEy+3ZF~VeTLF7tWxPKC<7ij zectPEk{{f03T4UgSawy00+|gXI${n5ma&=8sLPrkghjU>cX>BX2~+KGFJm;Qn{Xp4 z!I01*!GZR&ov&!uX!}qVvYsrEv86;j@kvUEa^n>V1J`Xfswvm|hT7B@G5y4&QSq;O zK!WnfIq3pe%laKoB5{h%e2;*_F4{b7;CXpDK}=PtzL1^I|<- zQm1jgD593}IelS51xT}@&V$vTXmsvOyOk4i3OKTaHYu7l60s=>RGX-7QD_;-aFEJQ z4vs#7j*O!Q5l!+r9wl66+3aPMu%|Gc>mE^I=SXdlw$v`yU4tnbHQLWg0H%+pa#)k0 z3VK?BLhG^vW=xAD`Z2&R?E$~J*Jw4E$LQ@iMjX@yvn6R zs$VZExVt9`E&J2iTsGYJ-k6jB_OV>DwffN?`{51U3Y1`sGV3R$$tl8k1?z1T7cibQ zViQ<76alc?7vR|;8l<%Q`9jjoHhKxOlxR}D1Z3#4@i~$a)Ncp0GY}wAz_L%2Tq#R- z=oKX|q-Gv1igJLxsZ?m-OFfZgAW65jLl4fg`$%MgYY*^jRa7vbvq! zQ9#mP47g!i3?BdSjjz1YE_Odw_uct&8QG#{p=t3~6JpzGPG z4R1)}T|LCkiaz*oa9fw+k|*nhYMn;vjioBbcC@Ju@&s1)r+~ec(QwRt+xqiZdc~^+ zTdaZwv8Nd`e)+C78}GJp41l)Hkw-$;2H9Uu?0#&R2_YFHD8EHRR$qzQaC_NP`wa}R z*cN2Eej@i47excPlx%-pUHGwV#FfIsB@eEk4-=B)A_;$PpS1h(k8vHomE-Qrj9%U@ z&JGG6L1;0=)W-`JcM2Js=o~8!_MfmA{y~M4q1e)?s2zeMua)mbR@N_RQytSY&Qi8~ z+RHdOm}Jxi28XOSI__)WCf?M%njG<6=9%s*MD7|LzMG?@C_^^7{$U}T{q*aWS;61;qhpz)Es-wRmRWsis3Ovx1Nt^rhd z9L9snhHDx+(WdJC;v!JHC_C!w8P{77P~~f07cQ3g`+Gk4oh1?(SU=;HCFS#xWOGb; zuP0f-hKPoig>)A*cLiE372YVTd+3kEZ_@Z=QN4-_JSDwnoX3jfvh62n}Iz{pp$-aSsklWpg|+Q+%1%I8Z7h{mdr|FMS!QiNqwUi?tE#b?g;`(@|FTtTWdz7Af_T3(VaN8)XHr z8B@0qQS2YwiR7Iu^#)<V!q|B$7cIR!Mf+|0oa5&3(Y-3$uK#Lgh3?hxzd$j6VD*5BAp#nDlR8X;uOM zibdUhaeGou-!5LswD*?7|7m>S@b&)jDjZWIuW6$e@z!>*94L>MKK$x@aKO5a^!V*+ zdN51$MiDg2ghOo&5XBGK{hhW0a;;stE(YuR0PSx)oc81=8QJ_>xyRT=n)`;Qem+Ib z#I?Rr+BMZz$cog$`ozK}Q_GR*Y<QcUAdiW*b~qkY~8V5O4YM{)ud6GR?oL7SNxzcXGG0DOf|2AYD5xI9}I z(h|R=TM*pkZ41`qIYqmzIpNcs?LBO7;V42FA#E@=c=ez69iH#12aL{?@Rz_@|LbG}bD4r&Qp6b7Um19)p=v7J(o9)6%?l=KeQELUHt->u< zua({Nz;x7618>4uLMzN6G?i3UWfUj_@tF$Pm&2=(4Je|l0+l&|JAtGIfT>~37@W)+ z|6V}qxND!vTqzH&u{Qr*SfTzvy{IfyO+jaWxbChdmrDzM4D-%t5N#u%c8Xex0p1ab zXGR3uV~H(&EGLK-v^xiyZ7%`~m?ubnBX6p~B%}py7Cx z8tAU4=+5*HdomG&EckpA3~?JtrNQ!4d>)MF&T|`p5uGFHAT>6qp~y7CcIN@ zWY5;OQF~J9xOo7HL9G##wRbRbj@n=Q%`n%TvwzOoaZu9zjL4zAJ0=xB7$$EGS!9b3 zseP^PELdkPW{%=m$YSDEnoc0U%_iYF-{xH+`NgAXztSJ$B2+&7(HvQ@neAu6@??)we zuMR`bsRGH6gHqvXJ zM0%b3evUFj@I#0__m1Z8n2!iJR_w18{A5+<(Oubdi|gp{ zoMMb6q>56U;azQFYRUl2h>M@yV=I9AMZQgC)}&u4J)v@sj8 z>SWEAyiEjS16QBJI3>BYbAD9RNt&qSB|g3aL$FaW@XRylR6j;w?Ct?#eRv7FNzm+ z3ah@gct0?y1#$DV7?)*eiaHAMeBvR#%Xc+H92Sd}d*n7Rd*%m96K;)LD4K_xEzrX1 z+%I>xv;G!s13prY7wy}lIZDs!*V4vp6M5;0O~rgkMM||Q)8myv%SW|^;PQW3(^kg? zCEi8297)|gpc-l(&IwUDxgz?6h92)4d{b)DvGlx)WuZ285YK6D!Q9CviRY&L4mYdD zp_djk^kpI{JK(VFEbseQvK}#I0%@} z3teg=IwZVj*3Z1A`DmmI;cQ?r0(j75ACwi^rg}P5Y)Z2=>drcKrw-g;` zu&gO5V9=9T2|^>!K}GIKs;CJ)FBYy0Ok5K}S-PF;#4ZRDoRVIMBt#ej+WBE;aiwl&pTe5wK|~)aNC2tEjRcG5-eld_l(7pIkRE47q$P_2i<&l8G87*YRpJHg zaLwWPjeFf|ZtG0^;V|^c`tjx+G}&*xroe6%c9x#U$#zF!A>n#DQa6kDwCQg61~s_% zH(aD4>>s^t+niZ>nfdl_BiQkCS#}y%c1EkZ`ae1&Y@GGi!(Y0V7C_>g<_`ez?t9sH zZz8K4EbiUAY_&ATpPWUe*2p7jLPn>P;lp4awM=GFUj^|7g{}0Fw;p)Lh7%ne>YroC zPRw2w6!pKFxTd3ZDlLA_P}8s=pa5yhI~lO_a_1@AyrT9>{W=y(D|_@EUi`1!80%|J zA>FZH>=@8CepfH4wNa2L2Z8!wOXkb;J8D!=6pYBxwF?XDbd6|2?C9dx8sJU|+TU^v ziRqst04_%gyE%aJg8D%i+g|e(9&H&MUtvF(!mX^F-UdPT*D@?>VzLfwi^TyhpUpkpy~nbv5u;W#WD z@sl+T!u@y_(r`Id_}?%hpCd@&=fm{k<1$JbF)G!53V9(Iz;6v{;2qcFNHUsy^3l4{oye14AdkZ&& zwagSbv)}uE6&>nRGp7wOdyfWnf{IB2-QI$!Ku;rBX!6YGzA$?jJF6LL|FN4xWP2*} zp)6*vXzX;jLpIOGV*YtYB7)s$QX+}gMW-IG)*agz7>tA+q)}2p6ZY*?D4ee{ zg`5s&N=$%~6W2)Ofj)sZS_$1qQG=x5Cfts z87)nH5W-fTQBm8%7RBhoGi2CNTnG+L6f~ZP?Rb%9<%BzIRDqhb5!XF)zc!KXD^M8c zpS2aPeD5|3CEjZmW`LFcT%x+KII>7y0FSV!ts_thZA_6Pp-*WHso>1BZcqHWEkF zR~$mlkkO?p%E@nV1oA`s`?=pC`a^y9tIbZr3~=ds)CA1n4;!RH(6>3z-uwD!{LP~! zPmb7Y%eKpS{4l@%ehUMGYqbBGBqsc^{uph}dAu=qd)wD{*=A1I@!Io;apT);75lAo zGg>q@PQXxs?gRLAc#%nL$e)VMF~z%NTr4J5mqeC;id$qIEf03OE?fR~LP!9{m^{Mh z&$7d9$Sj!KB+cUwV7t-!2X8y-ula3*#kLX={ev!&(R4!_|A$OzZY$T#*lQ~uUfw@% zT041yT_7Yq-Fns5WZc0U=OZ6GxSO;ydy~3dI@>*I*6?2pQJU#?9s1;;SBZsF`oBj+ zijl3P_O;#Xd*3s4_@iHEGY$Z%G!vH@YfD3)YX==u_1mmhueUum$xL~^u21W3x4?7P z+8`A2Ri1)VaNc#sPvT7|}YZK(X-uNUBkw-Sq@@NX)Wp5<2$Lps) z7dvOc1#0@LI!TO0UMFptfB|?4M&L`q_pahWQcBe!zD?HWTiXT`6KZ}p=?_soI&{%p zVs>oZ(wlsI=;5zj!?|g9S--k16uS?9n(xFij+ATd&Rw(9jZjd+x{0R)IG)(tdP!y% zU)pm7Q&e|#+4*YO<#s|<%VY9%K!u>#k3Xkf(r)Ga@q4cN@cIL(apsmg6$3!G=e>%@ znDeokmHkOn@roHZ$;*@BJ-+n~wj1Q0zoE;|v!;CgekxE|1_ZcFrRI|u98U7=_7U=h9h3Py&(YUK8fyM=Ym{)F<7BhU{7Gk)={dF>&1Z3pC<+yz> z4mEO@-Hl6c?K*I6%O1m^Xt3aQDZe25EoBR5qKcGeygDTayj;UW?JJ>=Jc%w6-2XSe|D!w5m ztaUY9@2z~WFJ zlv&qOTa8^LUD`M_FMX0u#u;tI49$$iIL1)SO~wZ{h##E+oPnlT!ujBVAmTo>SMy7S zjv!GMY62%G$GfLsf(4@d!&E_u%AduP7t#+Ww!{w3fG*#}#oKPOoMcN7p)70!owm~4 zmfgG(s`w|#!|>8~YnyZI>~2yrY!6(Ra!M+0@Q-SdaG1IMKo8JgcMxQ1(glqf=Vcy< z4(&wgmseotd7cd>b152eFBPjq-TZnO?@Xdg(NQG|`3CAzjF^R-`46qXio_6m6bl#b z8lK#$5I!oV_<+%%e-zRDM3M|Nyv!zO6vlYQG%)t`R$O4%tTEfRi98dw^uc~xq$fOZ zf30kfub}17s+!l3L?uI(s@a?SO1pnsD3Wxn=07WO77WB&~H1ckK6EA;cN$Cd*)G2Gb5$;GH|k6~s2 z6!4SE7Biw9x;<=u_E&;?=V0RQBJ6fXFU|c;>n~@u!&p|gV!0ExE`X7=CJlkrkWr$s zqWmI)B1)AA$J>%~NYVOo?NwlWu|z~~aUXx&Z>YjY#*8pDKMrl572<$?Gq<5inQc;0 zOi>llBC@(0_rXdOzZQP>8r&Eemv59#;#s6Z!Ac0 z=tE1?F8q7c`CMT;pQql$oL*wJURO+74=uo{R3~eQE91cuQ}lDYRUTBM#|qmnlQsW! z(~;tuM%vZ@*4-c;{?8KQUpb9pVCbe zoSxqi2D!jc5-k%XtAdScFgh)F9(Mt298<2PS)z%6RAZeMNn-}RDQtA#x+uyQI$4HJ zZ_{=ZIP!1bW+(-cn`$Qn?HqJx<^q}`vMCIn>LRilfiTL9G1+LiyS$BRi_ocvCmERn z(A1HxrtE|Q#Cb3D19T%VGgU~l$V)+|F{^1cC?HTcM25+}q&kNtcE(a;!^ld|E|DmC zaQ2brC-}gpMAM;Br$?Kwet)XuT!2D*f``%-M;Iu5zAoIY9WitlzU$a;d7@-mLYbre zQn@X)sO{zm9Cy*pGTe5Fxw<^9ncG%2YHZ$P%W=rcFk$&kM~MX~f5i0HgXp!?04A$1 z`7Nc)wqD7fr9psq(K4i>6p@m~$D5=J1HDul@(!q&gj1IjbP$6qo?W43R`Bge-ss^i zn9dAyE94&tiapY1b{Hx0=1HTyfE<<;NS* zn&qVR*rX46TGL!eO=ZMp)c~P7{5gNi9jA>F2?;&IzXrx>I2* zWp+p-+o)_Z(<>L2R^Ui>`yLj=HyafkW)kH*l9vpqMnMkA43u&YKM4wOZM71nZ>uF} zmXzn0d1=W=z7~1;?uO6Keg2nVhP?y@WDmf(kILHkt*YvqeyJu)eZ5vZ8Zs(HNzHuC zA84Rj#KFHkRi|eGwB`CJo(Eb|+}w915yV*1Sa2xP{>V}PvXt?8OG2r5G3a(i&>cMy zc+a}kP*V0ql2FL+Jbsjn69PJ`tGzaLC=Skma|%YmU_F)dL_W~q1armW$Y<7Vg6U1h z&mNCY3qx6qugExx6$qme{>s)AKk3n5P?(f=#fs8G9wae0Lxx-9d+;D*iWU74pS$)_ zJm%<3lW>6BP=k=`J>xpU7`_jL#Uk1o|D`VJzl6Mdo!4=dSd@qbSe7?=p-Qu*4q5lahX0&aRZgTtH@S2?p7m^HDb`!sz z>{}ufUp?bx9Tx!$6!WU!@WoXL|LTU=;XR%RP)QK~@5u*-<%Y8T#cyiZva**(ldIme z8K8@5y`3I!d6;gzMAcGXm{p_%^nZ?x6(;&fN=4K}3`S(omFhs6|1ta+%sl64HSwh%l8?#9~ zAbuf|7OymvSW4CkTN0IVq@ZBOqq2MRK<1GbEel1d%j@sh=^LjR14)TDuNG<;F)ctt z-V*jpW!6czt~`B0>7@s*DzcB;CABxhWtwg_$C1ijE?p8StOwy}L@&%bHUxaEZqLI5 zFrhN(#hC>a# zu|nbtElASgXb%M!hjArYBjsBI{KNbLnY$N>?I9B>p6N7Lf-v81w}ywgo%`GCE^wuR z4EZ=_G}@5d2ykF(0kNRmx@M;KD&3VtdepE5uPR4yb8ggT*(oio_`+FdWKIcc5R~jy z76B1s7z_hAz)HUyhbvMrfo0E|y^V9dwI4nU>=4C_R3^?0!Lea>}~3oP!n zm+JJlBXQ(TiAL@e5tB*P$XQXOFtYIH%kG34z8bVsl^dy1$;7DKD zX8Q&*0U#8L@`Mliuivo_ae4cGv|aQHZg7xInzJPR7jlz9L`aF8s7 zxDpI2GG{FWk_XOBF)9?0Rv%sx0y5s=A$KUy#*qd(gsw@`;HR$G&Ch(|bwE5q2FHdh zkgM%jhEd5fa^?uC@u-bd7;CYaAueXhSS4~^G`RuBt6YhF9g-?2zCcdjf%j-Mgfk~klDn{<|~u6r3I z75Y1qWimsZ`ork&sWY#Tdbd>y(>bHnD$I93K{SP8gVUh z8L$GuQJ$5^zrb-vxe}#<7CTgwBAlkyAfz$D1WfhGrdyPC_J4+cBfpi?UaSrh%Kn;L z!%RIoF=WuSSfL^2HI)!_w-v{+33=o3Sof5+5UrP$Yu_gn$S1=p)Kg8Yc7Ks zNFBZof&>qFr`Hu|ZolvMH(bJ-he?+U>5?WKjU*0P$)AqRHU}gLKpV3w0hGH*%umub z14zq|*be}}9s=+X7;%G1+C|n-2D4;m#XfG9Riw%$YgT+8$slLu(j@v}@jm7#_H6-l zWSBLDqgR_hb3eC;lpAb*k38!u97q1TG0%V-Z}+ zzO8Pz*Zbq9m;G&L`uSSH)~C!L0u(C=b=m*&whG?+jArvq!Q5FMu5c~@!R=)3PmplN zZ#KgqHsmfbBfIgTo&}FAI6uaaO-XLGWx8Mi}iLmeV0k(+k%In+)Iy?Nmjrj}e zq`Hj)2$N21R=Cn+HOjYOwo(p=DFE4J+Ii>XJF#KI{GO%*RN)&+LW(w+BV$5fEeY&1 z;NOJ$V!j{!~+LSo{9 z>6&wLpZ$G2HoCU`0sc79w;uW+m7unwNKsv2=c*I2L_36eg*^fNQfx$4an`4(}|q~+0U+75wPe-bHCjXP5!G8G0+X1E$GS?Bhw|pY ze1Y=e^3F)dYNdcZ%{Eyj*-}+SyY07Vc4dx4of{E>(IoKz;*5>-h!UCIXOB~ru!$fd z1fF1qJa?%J^<^0bp1k^+^T!3D8q!-Z?Vh+}lK)XS(ds#h9PdnF_S>US8D$Wbn;CzI z$#?LghT4KVi%1WgboPvMa~iqLzyi8@oJBYJp`C{_i06E+vrf8kRaMgu?>LC5s*7Gv zZ=|g2H%KXt+P*BnyDxj^N+|;+d{HGna+0G=Uv;Dxk!lmq&ws=Q2LX~@6gW?5zhRM_ zN%df*8iCAEBA-WOp@NFdSf~6vjK*|VtKkg`RvarrH8bAc7$RGf1-i+ErTtoJdsYu` zHm+m1gNzyIs9$PBw^+$w?s^=)xMx2_m#oRl)1PZw7L!XCdc@}&!49iZcQ*vJ(3p|n zUzu|~f*Y`x>gDh4z{}I+^K{|-ygQ-Q{@FLyo!UC)x}JXqxnitM^7xcRzpc&7<__`BNe@B91Z{&@UZ996G}nFjW=z?=7il2r}QmDv5Ux%bj~!TU=yD2S&TCda_8tV5Gtcag=lXJ8PlOHej*|o$PTdTFKLbZ z^LAy0ziOWwypH^gF<4@MEU{D{kAoO#0!yAtDGOqEY#4c2-Z*DYUgwu@jb|yOn|g*t z=i_k?eGZT+I0HrtA5Aw^>jX zdBp}hv$M>kk~7JoJ;N@QcuA&JsJB_G4t<R!^>AA3c;9v@ye z?s^tmAEQ|bT}R40W_D-m{3Rac7o*kL*TdF+w$iYlPvkTcMlF&viy51kFC4Bnl zt;W)S?$&fSn)IA1*HwAHrvqXNg>_srhfmuBRbFTq`qQp^2y8CF4# z+wOCMu^r8^jeTuVqw?D`X9j1R9)p}fa5KQ{u?(n0PeNF<hk5fm%@(+WnDFZaz+muQPYS~Mk;D={y zGOJ>#HFRK0aG7+LM>STCvhRxS9Oz7Gh(k>Cjs~Z0R(2^xx9kwr2LH~ z6>maaj*w&UyjRq;`m4vf#nxCZ+l=Mt`k{bA&r!ADA8Mdff=K1)IZ0aVQCgC>OzqzV zrRf4qPMs@!JON{D5PLG%zL2{d<MG3y(XPa~ z7Fr=HC`Jtm6au=0cwZpeUuThpf6m#R+&U{;#U-9eE9rHyd*_F#WX`LrLKb(BU6beX zJf5&q`y|sm+=}4rlUDHZ4tTVv8@U_Y9`2$T;W~3vx=bzzLaOCbPZn}&mveu?NALis zbam(dQiCs3>smY==SU$eUIkbXKu0X+&Gt>d%VKs9SusK_Am=fTX_aB=bT6>rjhThP z)WK-CZWnikp}4B$W`LAKuvomu&WRZH@f13{YBxEtO11j zF++ByDK!}oVkQqUm>|g95BF>jJrlq#t+B&(#xn5GskJk>8#<;q990JzHbn(tL5YKo zWQepO;J7;c*K(ZMU}3(LdLENASB^roMWG_`)(W{G5ppPEl6W#i+>O6UjjmBk9k|#+ zFuSsx+?{(k0w5D~D>l%Yk9mH*J>K@SjEsXm;#Ck(D^ZlUe$KaYM=;&YN2)ZSPbk$T z#cJ)#SCc{FqfERwsLYVH|3+m^<6THWhg^4?3Nm!?rwBg$IaXQyk8!Nq{#ZH=k^*NM zJ6tZ$bR?oP~;Nrm2nRzKhTl0Pm-Q(Z~c>_wb-j>Xy9RK!lb zdtffX-1dcHZ=0a^W@<7W)(iOs8 z94p(L7j#Qg&(^P+E?eHw;%2KiA@$;0Uo9}DZ9x+&WlU}C?!^qP5`CuX<%eUgF5wGI+75N@=EMATs zrp*0=c#gbFeNZB&DjQkuPEW{hwYMl#s( zo`XIuq(KzoD;cuyU_>HYG>i$un;EA9jmT2)SBc~0@wQS0p4GU@Mxs<9Qznf3=aWVS zgW~~1m3u{|M)HDG*5jGPDK`$%590++PMcOJYA7H@AIXx7=wC6EwUbo6VwR%Grxd99 zSW;#*N~*1pm)Q{546lvoxDH%DYUo6A_eZl|j$tY9mOit1Y!L zNZt%?*B8?1HCpvjVvq>LQv_mZjqp{KHAo-b9vm(8zeJLrDR_zAYT+M{ufB@jvIlXK z5AQctBQ7m@X0@t=AcxnDG9uI6=Z9)8i>4)xGoE^Cv>YZPEWu~TI$J%_n?Tz}qewjj zS%m1xcH=waeYftejrT&^Z!E0LkmpQoS2lYVd=HXtfp>nWJ1gx)xUMi~RYdXqs9wMn ztD>|mzXhREVP@knD0nASzZ5C8KpLC>VAVBPQq+)~NtjlVnhm)V5V~PLa_aFW-E-8- zM4)R2rzj>wutrtIdc>jPo2-u}FG2=akGf<1icK;)fOwyKVG+olxct12otSz<-&Rea zS%n0C;v6Fg8gAE=f}DmO!h0xGq*>XFkjyx00FyCLwffV^cJ*t{vSEv#cHM z;yzjGUU>UfC8!V8t`q!ySYYE|Ak)JXEEnNGcPB?dys zB7Wi*72%EJN(^}dF{&U{e>e}O5-NuP?4kHLe{)dIsI%KP4V)9OfW?a;^a~lK%sJcZ z5618{mDo55iihzpLvy}lp?>h=Lg~z3fQY17Lx;jUtevn?Wqa1K?}dsh)j+#6KG@CJ z*C*1`IN8^}QPc9%YAwY?^4@15uBf{@p94?~NkYN;G|50X=n82lyJtwO06l_oC1Cpa z`M@=Mz9>kmz@Swil?N9$ss0gk-KM?1f^^J%hBKF>&oJmn_ zVo=L>Z2#*hky;-gGZacIQXHN$KOUJ?x{@4pGgTPtDC8mBYW)txdiHp>LyoPnrm7mW z%u6Wj{m0BwilIUFoq@7Grv}Q}bDX8m6hWP)#YOziDJ?8>l~~m#MdcxviL4P9!f+l;;hf~ncOuQzitNYY8?`I zz!t|dlAE!QDOHnJhfR66ARDO%#D$sH5H?n}0}#tDWJ-Eph78ZXsQQNzwmE)hTS~Sf zw;9TLyE$E`X`3RMFa6GIjCkNCSlg&!%Y;g{NGgabTLNimGgP8|9h6W)!uD5HF-a$*>dniSf0zO_IT~pS7&nr<;%L`Jv%XX_O_)6 zvRhD&>%9+cFo)nDzcE?M956%7W!5URpS4pU@lBVgYN4gq@R(*&4u5 z-lv@WTV;u(WQ}DRC8jU$fVM#yp-;R>q2`hQ?tCaUBiGCo+701|%IrtJ{f$?v+Q7S9)->>M74@ zg-`Ow%e#sPG7`5~-xN6sZUw77*@SI=%S)FksKIjT>D3VQ;?1cSJ&Jwe>Itm17h%=B zg!QF9!TweujG48 z?7A|`?b&W~4b2SxMOKvQXxSe$DQfCM6s@6tfs>cVbb5a8okb6!8L2c4U`D3qB!dQT zHWF8$CB_B99*^EcIdwkd9%vTm04v~6>%2DQL9Gw$Y1W@2P*LcR-q$i^p)o}@)k25J zpJByc<5Qp2~_i zGECh#&^xXLB5MX!7zs|NIpMO3E)8Yd<-F%!T+thfu_Sn2^aCC>2D!JZ<|ubVd9Rhx z$&?3gJ6Y#x2zlS3JKL7DOI5?Pk;&>#`O!qkWy-n4>gu+eA++SIuHC7<^@mL+R}mB0 z>RQ7Nn!wxMT%#}}Hfmhc#~*t8XRZyL%Sshfv&*Fqb!ATD~|lP};`T+OfY-epE{X{#BRqj%M8He7Q1(+hx{G zeEY-}s9$7VuYu&5a-#S%(!$QCr|v2umHV_aJ*6UL8*wTid;VyNVXo_CZ7YNM3^F>Z4I;@)tux&yXEBXP@f>FM!!4Rjosz9iysA zF+4JV;Rb-ZIXItnk(QA%5tN)*)%lt|<Se^gjtvq$w~VTq;YjDjoIKa6n zqlv6BIOMdY&8^pWW*Tc)T+)93hI&E3%HRaVoB3%h%ZQ^w%xq9gLi!7H%;0*=LJ|Vn zS4&>UFgS(dT+AbAdkno>ElOB7fZW?-QTaPmtv1K^1ks z(u|pLjG=AJyp%6c9+MIYw4=pWX})kHWURlTHMkoZF=gZOeQoopHO_4NU~F--j?05)2_A8L%xDxEa^HRPJW zC;8wx`WC|HBQJ=#Y_R9xso&cVe_nBzhE+KDHr%gR+-6(!H8nWx7o zdFF3i+4ZXQj_rj1IZffH&bk~?SC&!X z2(SBUaMIQI$ zQvq6i3b)&KP^Y0!={l$^U5Fs|KYkRQkh<)wkTdW$4q|jFyOA_56isNBHAby zIA>i2KNyO1i~J&<9j9XZEU=mGNb4+S5w$PdrnCK;Q|8sLY1(DIi#Np;v1VLrSGL!@ zcS!XZ+j#JGiU+`nf3$_6y`}&*SEMqT(QkqTmcekxrb`TM6GpDeVsoAx?Xo*XbFHbUYY61ED-n(%@+gt@3X}b# z&2_vaoXihYNub??9HHxZBlaR6!uh3H73n<&GoZz#0aq9g!H(pEl`kL#<@rs)2jDSHl{k^1mgsk74_E@!z#XoKsS zYe+x+K?bK`9->eIoH83aW~UY5=Yi%TX2F7H+Cf-r|~D`|5| ze^;kX@Mp)&unra?KH5vXM0@LKjCZ5nv2&og3s|?PLe-M=&Cy9JIAq^`C>>eKZn(rACg_ksv?;z3$J1o6@|xp#sa*iP1!mV`?MHL!8v zMGC|WPZ9D;VbNaE_}}$^8tNH&q*GpNX$s(ClTF_ztb-Gsz4>r}uRGAkca5?puKU?l*LVpqn^m!Mf3B|@E9|Awy4G|O&Z!W`D>Y+8>RNTQFOjx9h z`EguveHD$`-Win;h_654CjW+oV&pp~)ZuGZIjS2ny?f)KKxWjcoX=(0wbyDIDVo^w z5wZ9=nQg^*-ceC>ky>gpnSCdr^Gy55PzA6~c*JtRarnmovydWyX~_%sN9T&f&(W^w zipq9gf!V{!(p^oPnDB^uch7x-kGoqX)}lFowS(tNr7Nexks%j2e5~bxZuZ{N7JH1- zazW&dTUw5)WU;z|2YM>v;q;Z~<~Upa)9B-JfW5@I(d3`hg{@lwG@^b1ARP)06SzbV z3)zo$WAu^zpwsx6H-_ZbJc#ikwM5GBCPmXT~c4z zMCKVn0WCk|Kb)k#H^@pwSheY)ZgBzffo zoH}S&_42sZ(2q$w6a#0eza)j+YeBFdt^w^L9>_gqtTwv>FutqjhaA0|CCa0Ucz*R| zIE-%)>70MK@-AvFhgLs(y0VNL`?0dq!KG$b zFnX)Y|5Cnt|EJp*Ro>^L2EW_qYr1E63P zsHz@`YKjjgIsCJrt@(uz7fi2})?KCC<76+*_GMciuD<$R<0jB3$Cz#ZvM%|xIA+(K zW7zh{EJ;#*0Ld{xMK~$y1uDuLi*p!SrGbt`@M}!XhYHN6;pPl)vIht5T<|c_4CHAB zC?o6~=YsI+HwYTko!g}397 z{m>d1vf;2wUD0or$d{`kCy_faP;qg%@((gb=k;@om^fi)yxx7z&{8HeLw9W|Gs)Ak zrqa!yZ-xE5{+!C1&HZQQca31bF^q4@VQhO@u;Mnu3EuR z0n>t@uzXaw0jTF78YgwN9Lgq{&3T@{LCDg|AIOJLjLwaNdREg7uH=8_D(BHV17R@Z z(M9KH=qR&fToMU{Khkm5_Jgo}0YNR-dqs^%Yuj1B7b(Iwem-8nJKGXfW;7x_X=Kbk zc3xv~f>@B%@alAlRg?mTT>9?V>3>Zu!`Q^;LP`T%Czdiqn**Za$Mr$&CxCPkHT#6< z>|TFZ@{ttKwNiG+NJt^z-{^>HEd0XSDTH?HV}I=>;Iiogy{Kf^<(xy+jTF5dlyG;j z97H&BIdXI&nj2ifvQhs%x*HM456=LeZBZlzv!=?Oz;JhID(nhD|I^H^w`Ci~jQpCJ zRH24S;FLQ0xsb9_iM6?EaRNE}oRmzQoE$HByb4O4;&qG1&<3q2Xc=spLqE*lT2g-5 zETdO`v1_ykX0g=r%TCw0-5y8vK2ujdhR5G@eNo#I0VLLFG}e$NcgP+<5FTZbcsO-u zq(2vp06*LDvhoyc;Kdod9O zNvoJgR5WZCb6v%3T_NG|wW#>a5K7^qJA+7Ccm}{mv@y9neQ-exXTr0Z47p~^YaV-& zBuVXW#a1!qjyZvqXQ*AHVosN;5VnW1!beLWZ`#Sl#J)J=V{INe5A%^Gr6QSzHHx^e zPR?j41=*5v&+@m*>s=N0G7y1uy#t$(OGf;mDx4Y*eOXc+2KmwmyzAO|J(n~XMi|DW zjItD}pD{QhcQlZC3>*gL@NJ|6=5NQ&nT8h~v}n5pu8&>3Vm(y|GIf5hA-`?QCsKJT zG9VPvRY+ykn++X*9tT<;ocVj(&KAXOVLq}@`AZTht+lEx8KDDG2Q-Qb%2H6hN;r>#dx$4k+_nCgV;Toy- zlA!s-)4H~EPZ;$uPkpZ`5K3CKOiC97Z)md02hOpLNYKr~n|%595q#KR3tyHkLMW|V z>RVxGx)_Q4dJ!+sAqOO5)?;2D7+W!AkW~GfYx*^^rsA;ugGKS*Zbd z6`@jsHY-u3p`BW;&y#T(+Pt-$RWOI_2!*c+(+mMJ5vcfxPUczPspZSa#@mzkQPbY1 zUT*q?9=NJ=b(A;Maq8d6<4N0yshC3!6mROdZDrVGx`#RpPhLOCbZ5gF^XmZ)j57qI zB*tuNHWx#NgWP1=^VL+@H{)!|pUcsa<6LI!@P~Ul{qzk6h$a*UGDBTSo(?e!C{U!% zIoxeCNb|~g3!#bG+hA}qM9Sy7R`nBv!W|r-ofE{L1R1-O4|DN}2|F*1 zLcI}jSx1!)J=X;91UKGK9dKcqpSWUi%T72T!%Txsc?!LM6hS z$xM>73a1SV3X-+CCC~mcO-WrdTZG8p*2SqvC8AZv>$)}?Net0Y9Dky^eFrV!;8@Ah z;yY|?Wfo||L*@4&RY`|#b1IxYk^fqsH@Q5t>nepj{*Dn5NWG(3n(Ar z#$EdWD2GH^{Z24?jftAV*Am;kFQ5oTPMqwTEfzC4kGpg0WfInIhoQl~c9>(iZ$1sy zHt=U+vNC)t(fW|cXJ+J<2mq+Azy()vuuIkHDq`wemBG{t zOMj3a%+P8#&{4tg*&wJAJ>Bc~R}*2SNb9gNTqANJ^-Yh29L;L~FeZcH=Y6Sr%Vt*D zIq&PQXS{T-TzM~q!(r@HESsTvhAsFxkYHH?B&x~4*k^g;LZppKIMixMe#(k-gDwBv5R}~aZvuP z)7uK_9Z(u7A^fjmEGw$)8JPC)Qlr=a3T!lEQLUK=&s7#X^on_+7`g}lcsBYawzF`N z_h*#}w~mB`L=HrAB<}fwm}_x*p73fKX0NX^hj6YpQ4=+n*TG1h}HHnn$cT?ov)$Ev&i|Gxl z>L>@M$v`1dL0kqsQt6GHcA5h$&*ghapB~B12JB|jzk9SJ?rBn~2H(UTkqZB0oHug` zjMBTOe!0ObPxvuM`bWU1*2VY5lfRuuq}$l8;>m*(>~PT+)wsW0oHy6(52zaJ{EsBlE_=rhl~LjI?iyw{8S?EDlw8@E!Hb(=;6YW zdcn$!c*N<^I3vx^P8PIB-A)~c2$4Il>h1Bomj<6jE85f9S+_fh{RLHCXYSe#ZyYa4 zzasbsIFtqFfKk%+hPQYF*8LbQ8P-gw;QT_4)szJkM{4#H1GP(x=_9%Z zsaV6)<*LQLx`n6++sqWZLr@1uKUS6 z!l@+weD~A`?MF2_N`PWzIRgkDLgAE710pc&fg+)gO!X1tqlP??1E2WgKHVTS%U)_A zia-^Rfk;SN>}cM*v_H!pz?IO#O7la{)%y+2{_*SNaGD*J*dh)HD;fHEXC7VhU{&_3 z3mQmUBWOxI$St%{?8?j-LHxO#-p+FV&@$Rv}0H4>*gqdMQ zrg)(RE7z0oqpS^K4K&gkLP%s&-Vat@Q$|t%qF`qWL{Y=b-{5dD|46j)D(WDcZ`0q1 z9_L`C7AE5Rh;X17Z*1gXh+m{3D~bUy@K zpXYD>)$7d5&X2#ByF6?8YCF8|@feuGB?rL|6a+r&!~+eq5^WBUR9myd73`nR z#j4AF%K>qHJO()Zmc@nmzzYT#yZ~(OhCPhl6X*AtkN+i)SapbyAfAPH;Ri{hrATrn zzRNEZfA~e7oTQ_Z%5AMGZXxTh(g;Y-KP$e^Kmelfd_msn{`H zrO@|#H{M9%h7wz`1Dvg)6IT%jgfvh-ulFnsy&q9A`U#V@_>y3_*mZl&Q*p{85+`*5 z_q-K$jw)TXI@;D)G89@{@91*2j;5;OJ6&)hZ$F~LVv%6HAK>JL&^7Wa=bVugt>F$W zvoPMUN!ZLm=;nka>C@Qs2OTxI%$3%wdpXXfgk8#A>ZH_Z$;;)S>|oiJlM0Cb-np<% zH^(h*5k9vn$(j5QVB?~phwqP_)2XSWd$$Z;Cr87Ir(mhE{7Lo`%Hc@Ka38nc0(d*r zRwK`|AY~-y(-%>fMOu1@|FQ1P?1@wWNhNg{_PluOJ%F@_1szF21Cw}vtljq3ol2e2 zs`;*uJS~c~%QDr)vL`iv3Ms+9U6UK{{12n99A*vy8Qs2dN?53&uwK5n-4!l3E+;J9;UGemj)Wc1lyS!Qr^7v4F4CEt-g+ z=FQvzyfCDEWif&YZV2oF{EX*nT}QLVznvOiSS8R_iT{yV!F^ z)pPOrfKumT;OD+&-oKF~@c;yreCUL8hM^PV+SpCcBqVf2Tpwe+GtTRW{aB0*CE|ku z7LI-Jgi1d|BtSh8mUZMY^MH^8L{~zy#5F`=LMNpIP;wi~Zw7+nV*rB3fT8KFRsYi; z%9Hp|Gn)&o&uEeD`r=i{FMzOK3_wQXeISnCq<(&f(A#6x zwR}$w0#GcwM{-^|(D^bld1D?cAK1T{X#9WGa%b$P+67w;m!<_nUzf&=APfol>0;mFVwJF4Ae&$`3LSPrgy^RzXwn#sc#Uf)5y_0hKXVg#=<+P|F zHM!>0yZPzzduTmY40pFT@x$EB1BoJk1$Ut39h-MFZS(l9!Rw2YhF7cawv#tIa_?mA z41Z38&HA z%Z=~OFA3t1`i6qU#c-0);YTWJ#c+?jF40Ne6sGa3lun3jYa=orN!NhEfcS6W^^vt- z{%AqD+y(_L0uMvKaK~zzC=7*@dXF#dmCWccgc|K&mct;j&n&dm7#Tx5vB8HVke zu0Gy_iM3X)9r4ime<>l&{7z0^4y`5rU_;v}996TqgfoA+iCsz%E4|wp`?PXyeerU0 ze?J{KW8cjB{rPpdpu(9YOKi#WY54NEIQrQF{PuBDR{i33)p6jYyzJtYt9$xCN=RWD zI%oe~LMj=5>Hn>gOZ_hrQf%F~gk<~=2?_K6l91}W~)C;Q(eq~ueHN|Zv|u1AO?i+_=j78`MRv&ZPWGoN3gzSeh-E~d|3HZ~%` zqZ%BX1K$+VKdK|Si(F+>r&(58hiUf#iMqOyyxQjMa8I0szn9rRo$~%O2}!h9Y?5X} zAlDRql5^IH8`A0XC>1=jl^N+R*yaNjuS&O? z);0;)Ri|)duIzZHZ5_A8Jh`D_PCZ|6ywYKzUgt4GXQ^(Z)tmT0B}y+!9m~G#w|G{u zi-Vc*iR?+ZW^>Gb%XyWr=@3s-kFyG+rH_ROjN5hEm`h*7Q{vlD%LWF#ju~WfF-IPb zi)GP@Xe0$%8MwBZs%2}r=W!&&Z>px1%yWViwj9F^VQC zMO)T3lc=={ac_=+VTJ#??e>ahNj!1rR$a)hYvNSs~QD+vOQjF z3~{e!l@xJkti03{Bl?GgM5A2bS%78H$@CzmG)XT968cv0%Lr$XonPo{TJ9sHNeBNE z`&e=*kG4V3Q+(+k5>k1{2M~B&(?E%5#j`;4pqPT{{v}t(mdpk5tVG|xmyibkl8_|r ztqelGC8V)`laP}BRYFoF^%}AkzMD+~f62KBsKQ-vB|}jzxFnYHn7{7v16Ax{zMc!d z+X>tGLGIT=6Nb>kI+opIt<^J=Wqtl3Yma~5$2wQpJMB^yR z#LqGVhY@lo-bFkeK9`7{qa(ecv1^{5u<=5Gehi|USkG{{mS2w%`5bL1v%J2o+67KC%T!^?bc75yl$Cp@zdI5<-9FA1sdF9}I4nQ{`6OGg@y z-#f<~o=^G?nE2mINdEs`Lel(a2}x+Dy^|<$R^Nf$X3!YT%mK8P3Ks2o$fy>FX$2}b zg>0=?1e&_U0ut_c9^U?#-eag2PcN%7r*yw2%)4HZ4g)d)ibzucY#5?#FNBcL$%&s_ z@p%IS^MdAmYTgzcZ+;e`6pP6Sp~3?4PQt{9YZC<$$lJ3XFtCPx2sS?xBg!OWEzT=k z*}EkEmlYz)%r^T>epcFWRP#p@tXq_|rZA}h3gvMhiu{QE59fi(Ci)11UWU=gBur2^ zwz>v(z)TLvV}H!d(+OD0iC7|{xlad*&nyStA+P?#8cLDc1-ph2X#$R29X2|P-CrQg z_e*)zV8?El2cS{X~LI zuzkktL2O==%4MJcg{;6Wwo{U@VrM_}&1xI8dyZGTa0a)$9~`;3i#dq_jPm2-3l;RN z@n|-6&wpTN8AkEJ0n(u2YI)CL51)0sJZ_V^6VPUI^D?YjrO`*qpa{<+_s!&is2S9i zN*}-U*%3`&Gk)i}mkId0W7L`X?)?vrpevuU5TvQ}tD9J^Y4tkUi&6btWXW+i`V4I% z)#`>=)x#ahb5|UwU6cZ=?Dc=>Mf2F~3I&LGuTkr+Ir-$BND;l2oai?iFvG)4q?ioW z4kd{V$m&w#wgY?mlL?cA^-;)}-i_x4JJ-WxN9v%TX3v9xwPD3i<8Az;Jk}vI3Ex+{ zm&-Vh&{g3Ry%0yinq;lCF`2gj`+=F0E+2-_CiA@cswLJ2FMomE3wcwl5V5;RE(%=8 z=)CWLYXqcb=LGZAvcnYz7O*D($P+4Qo+Ap*m=IngmxkrVXvQ@WSMigKg=0w9)xpYA z_~wC)iqszF1*WZmyaoq|97+o3-y|duRCT0p2`QU?FDeik1bM>l>-4Q`j$DrhRHYp-J8$mo9=>;j|Cd{f7+WwnF~BC@N|5#BV*;k3wqNl04xL>6lD zMP#4{=5)*?-i`|G*5-@RyW~s$O6Wapq9SCe*(K!BhvN#ju2sCU#)leEn}{64U@NnU zl|Y}BVafo)w@v}50L24V8F#sbNbzv~CxJ(|Al1SK?FQ6sELa98URR9Ezv5<_K8e>K znXF5Ja7O$W@4u@Q%ljz`89RyA)jAC4GKoq+8Fd$livltbNS=HEr^Mn>dBn)YD=xuWJXV%CBg(WlXB6=gEz)eu$~_D(QGYe zvxuM5M(jXUQV`1hNW86LUX&a|N^9U)@|4X43WdgrE*HIkexsuVGk45k`w#vn`2 zcm%8qI8b8NR;4R1IDuN$uWT*niTcr5M})DT>!yr_&W*9NYT?2-LZL=)Q3!+LP+yok zfi+t?wDl*OIy&uxhvVx5Jt*8Fa*xrkpneFdE^C)X5utGlEAn`8{8%E2ib8%4o7f+F z-lm-n>X!~_7L)B4(aA!2Cz=D}7Al*f`_ z;DKrNS*lY_5A)ta8XrJwYea*kzNC9BOVSH4w6ew9!Va_&ud*8L^uQT7tgu=4bnDje z^kFwosFs2@qI}3t{=Uea?d#^aH>_WYk(ZZoPaDMtAZnrP#jrKysB_IBA2}oL;b5>a z1IaEqwXkb(bIiT6js4cE!f(EeS0@^L8#!i|qO6xE>DSoV!GnqCgU`4nS*QHfqDAkx zs1}Ys!Ds!$k>k13tHPr$3X{*)uIv47{wtKK>KxL;qoS{V4D?|RoZC}G1CpPiBX||Pb0w&%HITwjqz4qVM1qdH$+hG3R)&!xT~g777Pamc zLu4{qb>75Sl7USJ{L>dz7$Sua=0QM6g+DSln^$ToQVV3NeNIq!5fR31{G!6X=*J90 z^?+3)MR7{cNm9~-ZtOGl!O zzY7)^++dJ414qJp6ula28l>8My3Z)grZB8?FsTBcQKj6M*GE-rX3-6$J?)*wbGeS4 zF2X;pR-1Dte)@`+IfS=U%PXOrI~7_@YCjQ01iLHB5m7MBLFI6|9MrgHW{XgQ0{EJ3 zTk;bWU==Snm*K_eK!aJiL;`zuZFW~{yp=l9G4d7k=gfyLYGH6;!-}&OE`LxC&WD~l zToS}OrdagBcB3t3rwoN=NZM%O4~W6nSrg`WT9NP5S0UFfIe-Dd*|m*he1@h_CFxnY zfi_q}q*GvI1EnO#`0#1(LIRyL#TvcE;3oCuJQmD4i?e{YKIWLW%X36%RWU9YTSi~m zU^FRhj#P(={+ORp=zOHfPc|~=<-nuuiogJuo5SqC@Lz`*z_KemCJqecb5_dbyL;c9 z<8(-JSb<48Fzel-y>EromUhB&R{yCtxjU1XXFdnQzo2=crJ_WZv zNz^t2`~52X?>hVLR}H2ZUYBi{07!tFFk}EkT!)j3E}7WuD{qmqPPDnGJNCsb zfzlh|Ye4C4A_~<%9aI`>)E~=CPBpnkh~8Uh@}w-v@{BLO0{|RC!yiHLH&%rKtVR#e ztHSdmL^@9;MY|#Z{n2WEgz_CXnjdvdsPD%P2FbhU(8rhv?S0>!?0yE6d9b575!Jq4Tx2 zI)0$Ia?wqb&lUkmL23oH8%P#ia_aeI16OpVa!D+i7vzRD zn8}j2@sNM?bv!$ZUhV3pHC$)K6o5-JKKuLQBNmz|stJ#D%8xo{_1EImFYGRX0PP_| zw)bMbTxUM++=3uEv@-XLZV0+sy(tE&YGVs-?qh1ST& z>FirlxbngZA*=>r0KF#ZjR6PFfX+2LATGMxD3#wXLj@AWQYLat+*yoN5c_3%Vn=h@zZ7muJ^iMii6kIy?(wqHGXz*^;Z%3Orwy$aP1#r!fBWm^vIZD3j8GBy=s{js#GU4KEger zmEB*<5q52^faBUA86?n#X@gI}y%==fnGT=Gih{vTF+B;Ov6=`p=1}7%xM=H>4WzjR z3}(gvKoFvclS1IFq0p#;PoZ4JRmWkMUrHV9;~0d;GxaRK3@^<>JY7}>RGd)LJj%$V zDesp-{uD^Ilzajk^?&CE*CgBUTmf=mdrT^faXv~BsPdhhb?xW^m)>VZS9z%9Rf}wc zFkRs6@+$%p1T~i{eiE|AM&ZQ+BhwoS)Ml>STPef;as+22XXH*w$U3UVu5Hos5U5`D zz;>-g-)@~XQZ#XF-0rrz_LPG_J5^jt{RwBmRoWYP7h)*8!s9G!y{r;qf~-xJ*P^kl z7S?*@-&?0wgtnkpcT3E5w0;@y`?3Ru=#1CHP2NDnO7aDwAv&M9r zz?Nhegf-w)`lP_V?*=g#C zVvVH0K@y@4L46FsmIg!pcC<+pV~+qeBS8m9jnW6@;HFs0y!O0mp!pmHQnWFC?v(*( zw5~sH^fQ~U=IjHW`?1dBzdJMC+yk3PlRme<>a@W)0Qv|J-s8Y4{H|`keC>J~1nyU0 zK?V9;ur%e<{pVBOS5e$tn_Y$pNvz#>xVbE>0rR}x$#>L=x|1S+k`=V?XQ4nTGxiuM z=-rUGoCsN`F!giJp#4v=;U^zPQzP7<=>ZtZi&g*Q-1C2N#GUzHN8FkJXCvCz0}BkoMh1gtC!v<% z(ljk3(;amcvbMIQZT-S&t}~1qV1HLMiFWBsMg%r@3O-%eu;52LBP4Xwb>~u{9#C=E(A2GK7>Uz5lNq+j6`RL&7pHaXM5-yaesJl)wttb zY<+}oDR3Go>zLV{t@{;wm|gV!Hm`%N{c5FQJDtp|`+>WIZ7`}g<+MjITlO@JgkXXSfzYMD=%W3yA z!O({Oy}!OTt5NE4$DYa2rb{ow7w{d;K9mL(>x>Nvw>ScpIMSH{V)an`=9mXa^+DbB z2kl?CLr4PND5&RA5}1zmM-+>q_MB|1-1Z&#%fIwb$Mn!}u2W0JsH84<0w3?N$Sm_D zmeGJL!KIU#Z`4@Wi(f0+bD%RMA$Ks$J8K=xcbwyhhanZ&g7k`H_mza^p2vqcz2@nVLQLCF%T4 z4xB2z-2mdO5qeTtUy-`(WzcaHJzjy-8k%i9@l>p6*e83=q4`gjs!GiG(auCTXB!|& z$p;K_<^0+NxL+ZgUT2X7@8)gy&z+R5V`5Jwm2}%!eexreQ|8oFA&Wc7PDyilZjRX~ z{o|=`&V_I{i7R=y2i+S~j9d*a_IFSXahy0xT}Bu9A=EP|Ckr{W%emz75!?YvT-LFzi%oOc0Gyu6j#fk+F6e;3wr56TRGppd^4Xk)$$;m&H)`CzzW=hZ0 zCnNzvPG%v55C)nA;GS-wWdPbH)pR(|muD)KWL&Q6t3&0})p%2Eim$d|-kTO$|5K@5kD{Fn?Ab>nSPrLECY1uC}W&#EjZ zbLSe40!#tfiVCvkWt#ib9&h_qPR33f`M?LL9Vf(7Kkr?!!=GmEEm08EEs)@nXtDI_ zrNJQnTqIHyTCB(1f1|vl_9!5)MXIw!1^H|6P8c8V47<4Mb{OlTFOH6bILCq7mK#Yk z&>N*vjg*FRH1XfF~B>o^o!HZL|2?8dl5H=eRifc6|qzQ3W$q8 zr+qQs$2Rb>iHeLtOZ`K-K#{&z9c6=OII3pxFs{Np%-l%%X!fEfcIdQ{c!h8q*U~EI z0nNhHyH#GZd^{UVC6|5H(Y1{pN$(2VHcnYrv`NF_?(p(YnnO^%V+ZOV$ZXRleRs0b zCODQOCCR9=_<4%ajgLQ;UQt+hBR&TR{YaAf`QXArbJwD%Q4b;ymDygh%pP_f2NkmA zBDefSTk)#3-&SdqmVeu`o?`n1y6ic(565xY&LC{Lx{(ZJ&uAR@y1x3A{G)VV>|!qv z!swq=$R0}uRH%N176Y|$ue|$uSsGX?!2lHzC{0IKk@ysJ64q2s10TD670qVKNdp|YffQD}yO0+P zNf7zy3WoH1SfO7n>IMW6%?#82Mq~+iD@5_K_^CivSCB&pJ(0y1(Y<0SY9%ZCM9qbhPRmpNWJ#D% zD=4! zB;g7;lHehBT-z-+3|qE>GO$HU>Bznc^%GiL>zcv#2W63qBtRna@BHV>GN;29eo%VH zbukdsRmq-BCLDws{L^(Rzf=fv@_;kgo-TOi)TL$Y6>pl{x@Pjw}z`X#v z%Awrwj01ApUYP@DgBzWvjuE&qZyybk>}>TWj-oDOjRZu+3MJ4p*~&lfTFpki#7HCpkre(&YGZsAWlfSt_ZvG4efcorv;W51Jq6j)ZfgRrT4mQNW0h^& zcCE5&m2KO$ZQHhO+qSK)|KB_I-W}&$^y!Z1d6hFF-5M`iZu{+P{?T6}N)zml-lk zLb=}+&S7$ukeg=T{gBAfGqLDoT;t1L^W^Hm^-b=W{^-rgsEJL-j4DZt2Ve2>pVJ@O zwYw7T*=VQ0(=>vT<>CG`LsG`P`9;A!TpLAFgb1n{b;T%yNi@*^^FHUo#G5%`>17Wy zA?1dqwG3Ca5)t&$Bh<^MnfC|a&@efeC{dYn45h}wn#gzHeUK+^AwC&Ql>gMyB6m_n zGpY|}P9w_6X}rXx;O3)@R~xG7kDu!h-^zA(vbzCj2K@ks~dX_LA1oFyN zK{{2RnT=UiCz4XxnAhHr(y~%3Ohtq;AEzPC$U9q}1CX@|1HN%uVh~p9Y)Z1u86r~v zcfWK|m`-kPFtwgHQo>3wNF@k`frX8-?&*9F@T?Nz^wlnj-U6^NQ?rH-zO<-TQOLz- zmLEHq5xO7m)1(T^lB}+afNtrvS~7ez)0Jqe7$o5wfBKvW3~h+5#_c*2EHrhf*uMuD z4_|P|%ZG>A^oA$~oER(WuCSGV&;_-dmzD9je(y({Eyt}gFDebcSgm0R%636pl1;Bs z>5xib?WIwMuqo=IwY2=@-Nv4a=$~Z!68!dt87)c-wM${000Ll6?GH0LYS=$|9KP0{ z=+-Ke*&Pew+C^*_qp)J=hkQgu_SODL=(<{JZ&{s zvP8Ry>@C0UjjBsAjZbiX0&NcmD$O`v?Yuv4wmLDktNw7In_IF3e3jr|rS$SLiepv# zo4%@b66IBig84FRFHylP3>gqKK)vKzfgnkM>{K>t9)E4I&+xWU|8p;FNB4l#4Z1Xm zjmC;)TCtL{E^5lN6VX&PI4;z<9@pu?51_X{a@}VY6PLE+m(*4})!nKUK9q zacc$UttG&IA>~qceg@-~Q@4!Y1(K9I9 z@i~Ah6v8Z>M{OEARiu>*l*%rn1nbq8Gqv$vw!cHy3QK49(LNWB_;mRE0~V-H%Wqi( z(rj3X@4^$YtsViAL4nUr)cp91X)i`aY2MVGlMxxpKjaa)RLp`lVf%P}x*jlG=p!fh zQC;>ZQF}>2Q8HfNEzD6?A!{gdrMVVP@owQ|QT4GZLonh8EPhbrK7?_+g2tXGI}t!( z1*p(eH#9+wU>QDU!Ok<^=kI_aAg7f^5RUx98m9a4SbG=MP72N9LktvrO_);rHt&LEe2tW3)hLHXz_Um3c2~73&xa4zN?6Nw~ z<1YD6 zmS{(CYhoI6#q^bkJJ1=>0}THkny2*{4=TN&kJEv40V)y)%mFqT3-#&B={8#UzN{<0 z+JF}-mdbf7J|e5_1j_ujIXFrp;h4hHmxZ*C8#%boT?>MsIB;hsV@1fNB+AR8Xoz*A zpl|p#KRI(KLJ5DhTas;PYB7>^Uo88a#1+0G>B~SBMZMyXW0UxL=u8Q;l?~b%98bD( zcGGlRMvx32c=BvXx>watni?%1mYq)opQoS6tZW{5>A}h_891Fd+6k?*J4qTzr*1jT zMP?(|kVBPAcIMM;*ibBS7~7dl99~JvxG1U*ysO6PxEUF~#V@O1Pn3kpxz`4Rq=)7OtI5gFb#0 z)2Pe{;6`-U?@eFyj965qC9}2c9JeI0ruN4vE6EplRKoEY)@FUN9Ooo;c}xv~+HP4F ze%jw!!C(epQOn|;?D$JKFWe$cN>Qpo3#MbE0NqryjKG~(z($(BetDzM{t@1pCNrce zTiq{O0+UiBo?XNF<$^+B7xn5(tL|e*j1gWoR-l}<1^373XK}_{Xu^JW_JxCxIi6kR zUF03*K1Phfu^$i_4$WIPk|HAYQy);3MZq7ABB^BsW2RFRkrMgyd4C0E{j^FBQ-woH zA45A4@57sbz@LS!3A4HVhLvke1I67O&Aof8W#=`FVDJWXFI1zhXX`Z?9PTroV*6KC zpnZ~Sh7E*|v~xx7Xbao#-UeH!3@-C-EOe@LJp}0>$Pr-SeJ}zYrF=d9_tVPn-TaTb zAdh`Oiv$lM9EoO>MEjo2nJ5ipI5b$jSRQ zv}cVkbt4(36fHWmQ+YXNxwFyQuke6szpAb0r{yMwoVpr*$`Z=nSQAOPaj4&*zeHAP zZL?cbXIGp1a?Eth&KZe_kZa&)M8u#l;NWwG34{RqaB*LS7Hs`hv zLekkS$J~SWB+z))V1#u7%dT$5qkLGs5#Ne-+Uxctu8dy%5r=YNox@Hs!hbS6+@rl) z3x<(607=0Q<`^wFdC3^rq>Q9Xczf$O>HqoOeXoL8N^7AY^ueP65G1~PRz*InK4YvO zuV1^{=Tqw~7lMrO$$6y8TM@#j*JQ>)$P;)2*c_kMyh^b+^0;fI* zrl^DDZyOK+10#tFK|F!nPprJs&YeNs+!DeS&(LcQXsO{XSgn6u;i~SdE!zk>D+0&c zHy^qjb4S4I;pP6rrMi1dimDiSZ7++b^ZhYq+#8PB#nX^=%e3Gd zUGw^=kFB)RStPtM+-VuwyCZV~nfJ_?Nt07E%S=%6DBQNS z<6GgIFpvOq5CpaG$!76VT4A*zkXk@`kkwzO(`u6N5(}klkiJV0fzS|_wHnn8MYT8K!=VvfoK+4(O9N9W}(dO6If+zJ- z9dc-h9;#Ey9`p?jlt0HkkZ?$=y*uIO+Gi>RooK4JIgwB&=jcr}E{vJ>tY|08B_yUG zyU=i#bg^FG5*27+1W=U_&J3@0!M6`V8%(Mcu?&m*6G4*p=C|o98s&~$Pw-=K5C(kd zK~rB`5v@>Z31P~HH3`L_rUJ}hflp-ZDd3w(07`8+t%?MuG^vUo5{r1O?}HhS3xc%k zXb@_k&KraD^iFqh8-_Xes>VX*$V1yD3LHD6hjRGomiMe%l9$#x!VkfN+j(UGe+I#_ z#KzRPx)1zzRWX`?iW7Pl<4H+yD6bc?up`4a^Y&rXU#H3hc@Ijfs)h7FF^WW#vM{hT zt-)Al2PL%>MZ%w7bJ?p!8^T-i-nZ;J_vNV5*S4&%dsavo3nmTLln6RA_48+wD7t+I z#etpXP(;%B>xs*O{HkZv@xgn{@{)9uLHEE%0M;i-uZhSttVGzU1Xl~tXM9AIe=NU; zIc_)w<-rLThzjh zMxfuIeTn5JDOJC5w|rcBbR@&D zGPtpiGD%IHL3_*mhaAo!j97!q>NGXZV`rY~Qd`x)1k7t&CJbBTUMA}qA*->?ak?Um z+7DQbuhpCcrR#Aw<~9%7EOurXtpSByt+m^@;Va7fYQuTV0OtX<2h06f)gM1$-O~ z$1&umX#Ix!)7JEdqR}X+l#OwN5Pa>#5VmhYoQzG*y-+4rvn?g%VRAwB% zc!Khnl=oD=&wcKL-NX0HirX!X{_M=snI~i|aKejEAGWZ~2in9QaTeq)AL|-5d2Vp? zHu985rg7<)S_|2djHjX^avgjb(sJSS=B!*}zhQOd^>dcbxnX}V5HcGgQ7l_Pe_YU> zH+gU7dM+3uctD_|zVrF}ze!UCEYKzZ+HE?0 zO2us*j>z!EHeYd26Ja9hdoK&Lds|ja8bnMTKDo&e>o=%L5ygzpx9s)+D&cdSt1pJ9+u% zPNc~XG_7MJqn_M74)eVp9h8_$768?Z-fWa?o`}apT>Ro@tPJt8@s+pPqn%d{B6dE| zwAG-A(GNM(Q4)=0skyNt*z%pnno$NEq$r4^@lX-B>jF`a1OS0>F4#}z5I!y8x!8%< zK?{P)UZ|tz}jYtOu{*%<#NX=$Jz?X$806cI=D(Ve1m>TGLf87GT@2YX>DU3*SdK7^2zCsX^UmSDUdb^i_D;y!9;_*k;NW$XxBeXn9 zZa7dBRo!5ig%ZC;$3yY8_M!^-SX|+K`FL4eL!0Imbr#h+sP$4nftH$&a3X##Z88fkDY7{h8Lg-MM?E^UGSD#)Z&Oi&`HepNkQG8HS zF{>VsFp^MfDwV;QjaNBmM4XuAHS6rMcC{H~Sb{++!@Px>Dh}MYnm!k&>TS`ugD&4& z<$;qXuP^f4_g5Vr&yUY6uauRqz^UkL66qjUhxk`4aTs^ zmx?GKK%SYz*qAVB*OrEyWA``Jhxujf!5ad>7wBtd7u5GzEBrY9gA>%c3_}TbnX8r+ z!R|49W{Rg|!v}#^m@mZ{{8$7Ay4^9nvTEX;(0>Ie-03(;VyWH8d8kBg=miL>vRk~8 z_Jdvq`#NZIb~VHi&3C2wP(9~7%}>31!y$m9xAxGi10>ciek-u5OJ+Nc-u>tZp(iF! zg3<^?Vj55dS&Dp>g7nes6oE=@pnV?l0Y~Sp0_}aMJ;#gs!InKADoP|9ah?qd0auRo zCE0C+3mO$~QE4YFcRcp`!Z_U)(e{qXcAih8i)(LLscncygNs!SsXQ53@?EdN;XO|z z*@Ed7s2H>8G)ub=GSV%$uin5BQ66R)Z(_|#At+HYKTR?&?ePzT(Ak*E+hO=#cmoXa zU}S~96p@MGZ^pI$4}5Qy{f@oUh@WZVIchnGsz!u;yL3?J(Jc z&r552wY$ft$OA!deD&`1ex_8Ruj2|JrUGnJ$m{)@`$5i)AAmee2H`4V`2pS0zw@@_ zEiS5MtLTZ7kc`W{+Ywn`{FA9y0`<_}=H8FbaortiRb8*&A)lfXEowh3;dXy96mRlk z^5|GNKdgpny_PU;0F}TGTNjplK{5rczRHGC`SQ47nZ{eUO!Rm%YCyj3 z+0|3}B_MB1OhUe67#uJL1J?%EM$vB)59Q3&L2dy};@X)bXc+X$vve8s$&ASS_m*XyRa0c_j?(TqMqOv1$_<6u$RnfAUu|SH3n1T#wwoOzS$)w+i<+vn` zUy$X)^p4rZ-=k`b*B=|Ym!@M@mzK z21#9L6jGj)IpRk|R9pUsL6Zv&U|AxPGb5{m;MmhAiyII&s z7;54B)cqPmEN@y=E^M|b(4|7k$x<#{O;Be@G4ov1^m}bO07@~OnldJ~pEZ)xt>vhg zyt_txTn)qyq-513 z`T8)SIujn7ppwyA`f?x%f=A6o(bL9iIg6nkg^`6sm=9uM?F$|VAXXCpP zs-az-miZzF!`V}E2DOUgore>dp_WBsp?a`Oq-1fZUi$Q^o4Q(q!B=OWO$^C$@49*8 z=^G*tRo~hGs>&P^Xqa0bi}GzitYXmf=7>++r(l0~UDG3-@q@-#YJ#0rBh*X_xev^M zZr5`}F|y)E_7Hau<#Kv#^X5t3~Cov$c!xrfVS_fEK8*wqzR#UQ%?B z_B)PBwlEqA;{5|(w>o1s;E2ax1JKAcjpIpaFJKH^X*6w`upIR?MsJo8qA$AAP*@C@ zv@<9#rS=O`siV%i`o$&tsc>;0hQ48pNgK9~MMQ_o)idXT#oB{&8YQnBdWI9V+%~NV z_s|39^b#yA!JyV9+Wz9=i!WpDjm%*S6Xufw26L7+9n37GRDc4Q?l z!MF_6~c%?~O3Lgt^`o$3WsRCU;b=luSa(KONlK z?L?zRRS5m8(N0|^>)agBIJC<@W6U10f*T7xU{DKluZ^IErU3Kv%}uf4fu}z#T&KFD zG8IVXfvb4YqB4vHYujYYV&V)MCU_3yD+mdG>XKl1yi7|GHw zg0Z+{)3MR9xTl{}%VZ`h4FY4folWt)2T&n3+>qBm$V7U};E$5Apb0~nAmYQ72gY|1 zsS;WCC&;xyysvV3S;&>HLQaP!U&C^dLQgzxzOK!_ho8vxSzW$Gq+VyhiuEn4p8(bf zhIO3L2J=+0T?SQJ5a_L0m5N$MQw1!$#zToVF#i6x>N>w1YA)`vT+URV<WP%hVtNDbKV{wT0m9r`=F8u&WAeVHhhXb54uDD=kMuZ;RZ8o%sv|$}nw!7$w z%_iXeOz0SIl5fB>4VXp?h9MtUe{SPI-O8twD2zbSqK-vehqZWqc)uIJ-s8%XHv|9% zU%QgHO|Td<>1gqwg-cuzTKwJo+cuSmom8lcau{3d|0S>T*Q zG~`K2RBbz_9{4hnZ_!HgPK>xw)MnK*6XVweT11Zzi_~WzlkNA~XuOR|*TJzCHO8$Y zg$V8-n3K#6s1qVy?9zrhT#O17+=wa0xl+#n!I_G~BpT!M6@xRwBK)Y7A89_LBD;@1 zs5qpJBjITcR=Vsdq)kc>nig**i1Pq$gwVvR81%x5l{?E3-$F(;f2`aEaq9IV8o6># z3U>`aiExaJJsae`Be};=JWg8#o|FWqQ-}8rxfOLF{u+`{GQ#dt??3ue`-{i|DmXiP z%N`%?QB)G+wF;(uM#h=Y(SoiXw0}-bQZLFs_t{dovq>+j^9s|OL$?t!IH&^F!_4Dq zZ3f|4SlGM%m}pE^j(~I2qfy@T?T}Yie}B&Wa+(JKB`zMNOfBDL%xb|tBVQyc9v^;SZ#?LT zZS;JD0S*zszGhLZ%@R;&%kmEhYxfA?ZxG74zsc#xJvzW9rwfEDP&Ue9!)~==YwHo? z?q}0+g|DkXvyR+B%A0%bHXt;`SeEb)0zE`$eRIF2_usI3@q)DUa_!ZJ?ZRWdX6Ej& z`GZwK%Xfv#+$l!uXF zw+@gE81hVyaAFv&1)ifmy7K1p*q@1>^jQX^Qg+vFn)#Q?CBMBcM6Fb4P1Wul_t0Vl z#jz+P2N3uE($MGr&|icrCZV~W*;3}281-Tud)Ot2MJF&Q=B>@R4~5q0&}XYeH#Jp3 zT)or3dWZuKTv+a7ioSjpIfJdn*v=U0ia4oraH_X|cs>L>owVDv0B?n`lYyH1HyyFW|qMR+0P^TeuiB8@N$2uaQvATF0}5CyshpbVEjyJ<*y@BTbzdr zA`{E8x9;I%cwKVKqfN7~iW_(a2w`)+t@q?&Sd);OMpRm`phBj~l99fiy-Bt^N&|Ti zO2f^(!|?XJL|LgLo}XJxNrZ-7fnBW0j(qQh_H ztp3;4nd#_pS=hc4sd5H(Rt~m$2H$~Prhh=h3NF?LG%`9y2He~*2A2BYDNPuLzbpBV zkSjes3pEQfE)yLaH8V3VJsT@E{r5KpI%)<@8hHm@`@fcuG_f?J;p60_QIr!SHMY06 zW~ZTXa&n?}HZeD`bf(s`vY;`s*89E@16vvsOML@pYGZo~G8*~6&gbT);kUBYH?aM? zSXxb*zl-9tw6wDS&#L}o@ zUHIJ$BJzK)@{h(9k^f$u`R`S@zd!$Ow|@uE|3xD+voZe9XygSyeMQaxOBy+zh=!#K zPEYLBic(TyuV#H6YxZ^zuYwG@U^0l}t!~8j?&bRSc_C!crk#uE<7=a&;=q|3`Om>~ z)WMoE$=e?6`BPC@=iy$*UE*9q`NcDT*YJO(kxN)RM*mGCM<2ZFh*2bs|AR)}ZvCc_ zo&TbdvHwLQw|ngMo8|pYBPTkDj((;j%`PeYZ!~ftW0-91@h_qbOHWtubHn=2F-yys zhI+Mx&X-k!^a0B*PR~#G*amE#tkeHOBa5J$5ZU;>;ZJ-j3uDi<6^)aKIbPO4xpW6t zRk3ANGHMLjwvzu%Ba@r)Wb$;rtx>qUSZPtRs7hqxAU1a~R2EbM6EHQ|Lj`1NGM*Jk z4j~F0Wo>1JmN-B8p(ycuzPdL5ma!%xXSo1An_Td-C_j1M#gwF|y#Iqt z)8_0k=2z0j!}Rs0rDv;!Wy88I^96m*WK!C^7Zoh6xrPNOi6Q zu_ldwco*iSb-oIcwKO#%5vHn=v>4xCUvQ9PyJWjxN46!vE{_5dt&)QI*k z{!Js>{gXzfm_#oLTE!QA5=HnA8u|4fG_vr2(#Up;xs?V%kLl%6uR=><|D=(lxs=@T zhIIQ&C~TjwHm!;rV@K7JGiMuf-jZy+aelw;>u8k;sT-JOEuJn50)Mmy&ezz4FCMG^ zEF5=}W3b^iXRJ`TMsGr%5K+h8_iKb|b&T)i$SLlvjiWu0>H`8okp!IUmOz~hg7YkT z0Oia*wLB5$g-cgIs+VPnoXOBpIkgZulg{BddZ|9TPyG-)1C~d$1h1RJzY}KYW|D?Y z0omF6?`Y&x@GjPW(a46qf78fjSx^EdRpqY>NmM-DQPR$W`mso z<1UKMIRth5C<}At=XuLl$jhwYH1Xu0MoLni_rZoc&)${W>E)nzF50bsT{kFZv8q)R z_QGORP*ldjs7ole7&YO+S%-ep$RPHK|D=&i|4AduSLeWN_#`vN!lVf_P%EY)IC6^p zn??@EAwJ=3b&4VAfmbJ3kA{rFKym62$kol3m|qT%uAOCBEghhN)}TG7!xV?uy1*(4 zhmGsAp`fngjT;Nrw5aZ8Ar3o8?ok3C;GBVFPSx=#CSuM0&C{RLcZ?3^=IzqxOU)D{ zwV#&Z$|s5o6>biJ{)^x^5;M?$dImj5a>t24zrN|3<98R9v!sYXKB3_-X>}>lI7Md6 zg|j>%`2EEMD0DAB8jHUpK4Yp)KEVr-(nF3kerNM0?QhY#|j)vnhK=wbvF67ZE=cFi`7<0#|d`%VYa_Q0j z`xojj*tkrOxCKs#iC{|lEq0UE&Go(v=xXB-aC4=+9}p9o0P`DuRrI!Zhy^~0DnRqM z9ea-$B|pW{>0Y9*y6r=uc1mIJLQ~J_Bw{!B%EmbJFSwtxqSi`l`dS^&IwIJ5g9L|wbXwg@@gldU@4vm3X``sZk(35;a~ z$mV4KC1k{K&R^S}T$Ygw51LBco0T|i)jzWenB^9lW=9Zj8-wupi7pH@n7jJjJ?CK) zG1r7@xy%Bl9ZIEY(gZns15sS0={gycAhEngD)BTuR>qtSr464?LL(VGSuZM$H6~j& zi+L=GIU~!MeNL3y)K#SdO$rEzp-|PKyoLzj=6izF&?WDmZ86!9JnPcAmG@d>osIH7 zlDwsW*Q0tLpUS}jqbN(9AFX5kl83*-utVeAZ^j^xi@75CDe9yKCd}0)cnN{*8OV|S zD^cC8Jg5mbf{Gtu_JH5yON4Gr`rbF@ynVOG{o$Jk4e3*%QtJOO2GQ9r&iarJNuP zXlBAHM>MbZsLi3eVuJq6+0+|ul$s!U1LT8q{B`~o0R%pA$Al{+_%2w(SMURYkGJwu z70B{vp)L^K2`+awA#W+nZ5u0B45!w@NfyOmyT4Q5GOIfq0THLz8@m*%Ev`RMh2C}4 zQRCc96u>5R9OLVJTCFK+xdcxc{B zZTx%2*_3={%VDUK;A9P;qrCxQcNbF)Bxww1hBk8d2p#5;yK%{2zJT^?b_-{Z+2L{} zcvz*NKzQg?#t{Y`{pw7=7LpB@u#wOlL`lUcHb;W_&2BHSe$w4`uqNo8=wnplV`L zY!i^~R6TU6f6>UmMiRh`4cHIAzjE3jV-H$wmbr_bQANdbNyXWPmDs$^3=b&jp_E@9 z1%AjleLYU~K1ek@3(67#Y|>FJ({?WJt_6r`Ci4Y8c+!=X5HLSPu#NF7=6Kxuds0KD z6e3H}bgG_DqPle~D*u|tV^xaB)%nto_z`qEJ>d^(u_du&%A3o}TJ48mLe`@hY69EQ zeXO|}<3gK9Z|+;Wv%kni4c|Ph0@hrk z420h!%^WNTsI1`+j;E~x8k+&YrRujf9q%Lc43KQ+RO9p zvQ_sLcV#YX%bCyeGc$4N%eQOoQ3$Qw&O(|B^z2!rZOC z-<{T>TJ*f98ef~79XsMnW>9HsHMAExkywJYfzMc&%d=mMAKdG}BxSHky@H@5ks8!j$itc; z4LGSGgIT8GKsx}i#=n>uk%=%wWgkSj*roCg1fvUJrG?Ddy1W(woQDXL%bw0`A|y~O zV;GILCK_I9sB8wgXZ$>Eokc>oSuanJ_PRe?^Wo_deMfLcLC4J<4?O9Jd3i^|X(GJ` zV?JLK$Co{Q0Y)x~aaIY3kRLj`LURa>*ZJN#wU-;qB7D*2(UxR6n$*GE=r5*^=D41_?}t;jPALxNox$h|HG=};f3#w6$liYTKW z62oFKWful=SuA$hcG-8U@`!R~JUeo5ZCYVEP(pBv4l%Td)7vfJK2FXi8p=W};fV-N zebt6kneWsfjLR(0H%PM+CXcp>x!z9;4TBRbf=cyJe_R;BAjwA^V9FfZ*2C z4%fy3Zi)@od5kJ~BUX3`C?ZNQD9~1_{T08ux}qd?UcDveA1PQ`?bY}Qo%Wzsse z7z{Ez#YT#ABq~}GEQG@S?cL`ed%BT5@J3mzH=+*X%vO@}7?bGsbvH;bb3~SiYf8tf zPC;Z1>P@Ew0HcRf8H|ZgIqmg70&3IzC-e)&I?=$6tpVMfEYXg&{8D`@*h-_Cqrlq8 za%WioFsGvUpY45~R7i+2c-#0N)$d&&O-z>RdixgZXcJI)vQ951t3Ae^o9mHQ&7TKY zWemWFm+To33rVI5#%nBFm=aa%z-gAYm=D-v{jjZ4BGye_GoV>+MBnQG8mqH=P4#2o zPYo($nbjy45wZ8g{mu)6t6nY0J2@u^%=yw-9M@mCUmFqE40#+gH~7*V`r-7R^A@1> z)9WN9Ny|XH`D(A^=F=V5VBncq=K?TW7vNaJ>n1e%dVteR)O!gq7O0RvcqeNx^H>vq z&zuivqQyfXg=U^8IF%Fa&{{{+S7q4g-@z)^S7$EaW=W?>f(nAoEAG0_*x<14!fi~^ z1cP@P2nUL^?leUxV01aUBrl-8m|k=d6Nene53I-PwMhanh&42l!>CnZ0wr?$p~Oe( zspy3QWen1gg1kH5%X~t|-&o3_%pN6pp${P?tZ&?n5h>ZI71Vna`crj+;NB7zX&62o z&p8}3zIHz?NhJ)M2vyrksdrrh`|LV=TIk7xmEF7qizG=WK;s}>XDD7FrlU!rpUbDb zF9qzekdk%s)zXj4#4}38&vXIQk2zJ3R`#vhWT?~1#vjTu=QjeX2FTW8Lf2Engg@aR zZs9d5qRL9xvg7@x(z}0v$to|y*)xf!h!85sv1r@d%EE_nJ(dK{FXEsInoxo7tb5>d z+l0-VXSBoM&2NtOjHsopqO72x;h*)|XgWB4!VUq0!_A|4fqoMvy|)yvEsLQ@>BIW zcDDzxuFVn~tC5Vs&siNP`!I|Pi5bf1~wxGqRI20C^E zyS+p#8RLDhyrgJ0f@F$5^Zq!^SNEr$Spm%nQ#ufTp{`U>1)h;D=}1Czo{HFJea_~oP}@Pe`MaW`XtEvD&Mky5>G z97*XH05zdW3Jf#n+;P71mz1WtUK&r%zv-S%>F8=cC;|mrQzt5EfOPj;dH|}mpL=qp zcB<+DnsY7Uk1wR{95n*l)jW@|v4_$tJZg4uf?3Fe7&yRUpLXY$Xn;{`I4+@vB_7X& z@>3uO88IHYDLM2eS~I$_TI<~TZ<4+lR@;y&Abw0H3M{?pDhYb`g3^$c z_bk!Z&=tzJ6Z1`-_v)wluIiDu5Rh)}UkPhtnfgg>_Ckg+MuIAN>>yu;!GFF?*qmr+ zL>F{?r*xyX+;T#_-miGNJ|1EuWgRdHZv~SHLraJcdY^tnac~@PxI@ophR(C&pArt63=rKQ?a9 z`v1mB8hmp&*kRm6ym37n?avarmIcl-U{zWKK=MLx{-ElBSZz|Mi@-SDNBtZOr8@bD zMKEzIavwTQbX<4Q&LgWBKGilzIwgPepBJBA9G_XHZ`jqJu50O=Zz` zf{PO+yCiRbpZeIQU)S1?#^f)boe9AGFOoLXSXv2-@86@xh=ge7&}YAh*2I`K7ZmN@ zR1%+G%TfxQ(VRbH4wB(L`!O^0edA4J0#-l=ZtJ2VR5Y;i;I2~Z$Yq^w#}Fl=j5$7=LAi%we_$(1|kWd`8PtFV^^~&ohNXC=qdP>nM2#F(HT0j93$I>|Ght~+8!hUBQOumyUh7f`Iss`* z;l_RRx^ka~^ce$cPE!>N(O;Xl6Bz6aVxe%(E%ck;`e$}zlim*d-d4KG{-#r6>rjXh z9`D4AZ9f23QmzM}s=_K%t&!SuL9^9T25m&2Maj;_GZa%+rsFFDb|3ZLl*TES^v@%$ z1d%-Wp3zGV2vbg*-ZP#x2dAI(aNIrrn4_f36{w71 zm3A^^Q;Q{SzWmO7fZkY@GVr#C(9YPc6|s(dZ1g zZex48)P+zbqteoohFy~_Bu|$%k$V!p2R#GggIN5O*VsW%-)(&DGeKXq%es=vyw+fzr9NF(AdW4{;`4R0m+SImpJ!3SZl2O-s7S+?Wwx!p+ z8<^Jg9>{C!WRd%LCgMY`SYoZyZjL;y-(!F!`;O{&&BJ`Q*c-9ZBwl()aYnBczWM5U z6QaE?!op`)7(a7UvNKl5P)@%b45RRj zt;;7^$=Eu#<}x@q8|n3#{-jM7KMnh009BpA*u~mkF83Dpu1$5TsBYrtU!&9%AW9gm zSgTU%QDGfLCX}aJ^nZfCRZdym``*lKT%TRBG-XjeMn-2jn*NA3%E&!%%v;xt@zAIq zL8?h+=ePA0{k~r*G)nV^k&4Oza3&=;=UPd-m6*!Fb9|o_{WS&xo(L&)L-LOjp1`-0 z1unvQ>W*d-^)p+!o)D7t#ZH{oV>+=3wb84Z%Z8;e&6v)Lvg;-Cim??Kw%LIC%(U4q zLOZ?Q))!QkaG9{!q9{R!pwerzr+tHRU?*qOAxXNr&|QD$XD5 zciccp{LOJQxs$LH`RW+WyJapmX0BnDV8cb&VctEe!!%5O4UP1cA?F^L6b$DSMC1#S z9j?ig+!U+u_MgW!O_l7B!ku`F5fn`WioqsfY!D^mD?-nxD6vjKHwA_*v$xxrCQ75* zk*p?W4DBpp*iLfqa1$zQe-a~cZ(ejKBZLk$dMK_`UGLg{7%}b8Iy?Qe40vOODSRnn zY@u%?PHyOwgGR`JuzegmD`5cJ610iQiaC-8KSwK$Cla$$B*#If45K+MWY^l*%I@HU z{JKCsW}(E5fr@-O;$v0x!1_|u%U+cMUY$j9%A1CGNQ3WZ)HW)wCUQC#$kg;>)!H4Z zTNdElKbLcgYjm9_4;P+OK~XZYKDgfoqD-jsFS;Clp;WkuevU|3G?YN`e`}c3=qkB< zLT-bosG(#8)E3%rR*y(?E*S;|1{j}G-fc%=?3h?It!s54?}V`^Cb^^#Nf9IR`3YH- zZOnHH(Dur92&Cps@bd!iXb7cp`~9T}_$4o{P#1Qg)oi`(*GW7`gDw}#cqXcM(G5|n zaGeF#S_TlsMR+UdD;S!P<}%CNb>T?wjNI*2kop$w-6~-gH3GPq4s|UMN$PXARZnv*33= zQf2Qd$ftQF=bAG1R&g5EKq(_4z@R(feE4e4o#OQ41VJMjPE0IGn8;fA!bEF_@eN=^ zSh+v`qToUBD2MwY2?Ril!-Hwfg%w&IeX@(r`yri3z&?a(7ov>LyCbzjqq>fmKmM?$ zhnO=;o8-`>Wp(ZinXx4CH(9ML(B2ULm}biNJg3i%E{xVq}WKhn}Oy27$Q_N%;NZfk1y zQ^46_M-ftURQ1|}Yi+#KL=V?j8vT%7vaz6L~W% ze#uU7hni8_aZIhol5IPG#7ojJ2L z$r4+f#@T&s%hO%&QSAL#VU+(Pk)Y6cZiWS_$|gSQ0{pz0(~eE^i6vc~l?SC=&xaT) z$OOz6$1fB{>=G|*=rK?i#wlv98TS#my-P@Xm zVqEX_7+JF%M}5x>xwH}!pOdtwyiY+WKPLddP7+toKKX@S)q2<%L-2g5RTIT4p5F{b z$xZ&-snO1cT=|H7PPx+d0Fam$0z#A)m~`dotjf(0Fea8q!4*Cc?R;|b{{EiObxaX~ zeK~wa;g)kIF4%H2h5$PcelaaU4ui=U?C7RxCZwC!QI{60)*i3ACi-E z^1k2s?!CWTNw<1 zV9)p*(JG$aM{|5;qYbXBfDJntGN%{j>|{4+VTi9AGFh&_P4$p z`h{KS-d0-Lp6GZAtfXVB=N1*H3@fcJeP2g&J;=jzH?Z)ZHB@4bM(uG3f7Fy=)iCR< zhUl27U^UhX$Ht2lJ!1X#k#)i3iM)wP%~#`?L(X=sv~B)CfKrOkVYzC4>16RKD}5dA z6G_vt-(>$F+U8o0n?IQhnH{G@yj}cIe@s7oT&0Innp`{4*IbAyt1G!yPSTBsx9#>o zw8Op2dCD=B$=5|E6Dt{S(_`^=>Wqb}qI}vUk;x*1Bv3j%P#8@gjs{cYX6cKELl@b0 zCM^vc>OVb%zSkho=<}Fl05|(!{KI-XKL5pi>;O1DBo*d)Y6(_5hls!MiPr1)Ut5S4 z%O6w#64Y%m1e~#g)!he}p-TQsOs+d&A#dcO28E?}FFt8jC%h1^3?m+pI6yoRbC-`@ z;$rPB!;kf<9v81%NcE$mxNzpk?zlNef%z`Whgw?PJqatQYxQY12@zdtV0r}!)xt;KzqvKVz|j%d?ti#U^T^_kdtDSVgrirN0` zqXF*$3FaNcBURLFnC&Q*N-+tmtEn7?oKcT2MzlC{tgq<(ekfL?RB8iNBK0yADy^Wa z8TuRtpSXmB-;l7z6mU;68u5~qq$Z2w%)wR-)~rT_Tj?hrN|Cu;5GduJi#{;1s`sdXyI9pZcX;XG4RcbSVv z#bChq5FBqu(zW`rrOhiV?O=@`uAg*fSX_Eyd*|dt+DhS#TI?Y`!bwlhc9sw4!g}iy zu{3rb>mV&|tl8A6UlxTp5iTg`nbc($bbe;d>rLN<sM5&#n;M#u?{k8_g08y42qy5* zh~r)cBvlvG48z<77I8cKtb)D`jFpH1E(|UBx5pj^dU4BYdpNLS>OUA>SD}Juy1<|- zGu>>f?%L>^)Zz?dIegl`MKD$>T=*y$zw!97XF25cEBj)2ZrmXXcl@1v=rwS$z{i3U zpIQ3+0IK0Qs~fA`{XF@@e(uH?)`Q zBiwQCk?F$)F<=E)cQsx28t{FTU^=YCL*xo~CbC}h#=dCs)Y0MF#H@n z`}Dw{{Rw#UO4ywx8!OQ#fH@=*URR$sW&&z-=Qy=~X#lvDdVTO8U=+2Jpb0LPxO-I#jIX+?vxzF|bLc#iy?= zZ{)a_PY}DSyD-O;vW8pZsn3?Yx})Oa`z$j@UXn0spQ_6m;$}m~cD(oDN4?lceC_gK z)F`n`A)#k^Xvd7jC}dOtb#(@(jxHjMiiko*nF<#3sQg9{ypJDIMGkm>z`>^-aP$JY zd<0_>2(TIf?Jd6imZSkIP6>+f-PG>dZ|69vAx}sT!THw~eIIMwXGScpvA1X0)9||( zNF|dR-KZ^?6TaMU^G1?qv#t_pBGeo*OLu?A+^%szPd!G=ZjB;<&NO==)wk@lG7K*} zW%J1?3BLmHV=K{BR&r;c2S<7=K8r^QoaE&gzvYyLC5g_mRH zNjp0!SN4jLR#QjN_z``2`)tIDmqK>PZ9IrC_TLe zU{(gQ;VH+(CL6It+?sIC<7`TGcz7NkfoIqxjW|?~S8zKb*P`!D;D|Q&ohxeGzQ`_P zGzrU};!Won$_hF&B*l%9L|bi(acMLQXFuzm7_9wHDu6*a86JAUHx-qYGDdaSRc7i$ zht`R~_qy#IR#n%i|2({GDJS88k5f#Q3xktf`y1Sf(TjQPa3LYt11zCx#YwTw68 zp&t==rZ19}vwSAtDApu0yJAxzqD=JLefkILUZR$KvhYAIEYFw&ZWEsDI=0h{@ZUyA zLCo|(zp{7z_Lf%EAI`>T8Vl8>0`PWcIU6oEQL1*92vZfvF73x$f!=@mJ)_>}PW!q) zH`o^U!T967`?@yr;;LcNhL>8#F0HqGIRqEB=KBk_9Zl20rw@|}?B(jc;iK4&)0L-C z&SCn+C2lt``@5CWOVJJkL29y04t!-(COJ!;n>4rbygv@A{J+gPcPN{m!3db!00*T$ zJLkReaKy&#?|My9I}fH`WEK{#d2qOO-U;K+U`don<~5G8tC7+42H+*s3tk?V!+)nsKa)j&aan(Ji=XMhOd^24 z$f3*}EdL8N)Y#O}$k@=-6#d-A_2TNsG-Cgd9K($3z^K&Ah=Hd#vwM;+=OUpJNA<&} zEe34urCPvq9MAR?xRWP+y6%qdK2FbaXFnmJl9`uyM38uOK~Q#nND*Qj%F#**M-lx9Ib_H< zL^LEJr#oqCsXNCeo-{=EW820=-o{YUPi18dV_V&JVk?VQH_e>xM-Fe4cyF2oIX*3c?Mk0L z@n)=UH+p+qw_QcNfWUsS`Pyjc-+TF=IL0b2KwEWUCKfJ$I4D}Pypb&sASEWQAR|Yo zYH15}W)QZwG5wh(ZfOH#0TIW4v3bR9jLe;hnc10{I5+{KK<8&}H!%Y8P|HM!JkD2td zf&bJDx}smPsb!6vU7l+rW@2UqXjq!MSUBr2|77n!v!H+fv9N&p0QCBG{G9r2!v?y> z-+#Z-zutf6|IXv!-~@$;<{;)^W+vtYm1hUB`Po3d`kel4^ZebRA?MfS8?$ zy{V<0IjD#2gzTIx|33an`hTwE&mnvsnZFi;U(@tCZ1g|V^xsYf%KdAmvOo6=h`s*r zGnIqs&zTBRT`(|%bOgUUz~Nb3^0VB}JMq`?uX3^gSCCG_$=Sr-2?+4|^Tztwum95$&Bn&X z`M<2uNvfWzDjK-$QXZaGCaQ$v^z0G1?v9$Ogm|dkh!_Z8(Y`&JFJ{V7 zu80+u*9qX`zgR_TV*S4C*cm>$$>z(pnvo1-qO|{9)Vue!_|qGOEcQ-=Rro0fe}pXj zLZd)jO{6Ts!u5kjsU6W)FR|q50)?vLTxC}?ne_Rb+Wkbz;%R3&O4n$A^Ac`x`)Qpz zrxHM1ag2Jcx^0a`;&&SDL9^QVk8gL9ZM7_K$HctK9Z!U7+1V@;=5yGc9%dLK)J+nU zteFnV&Oh-AEb1hXm;0fwEAayEhTI?ViAShy%`Prp5_tqwpG4zQYLBPj^oL)%-_=T0 zxUi_}gWSVt)bs|;hBf#Ko`dsRP@q4N3lk?9c3~?z;(qd!bS7ds0V-3T2)F9NJGsQ= zgu`tCI#hIZAMv49y?&e)I%2ers&Z3ULMD1JnKNOow=JPSM&r-b1)x=0MY%2X4;*=yRf>&AH%G{A7 z2df9dWdyCGO%+m1H5rk>@LNt8JVDxk?IW>>L?h{p$PXj7T*W8TdE>^bvUIyxXi%uP z+^x}94PkA7iK)bP1`2kTgLuKh^-3PT7)j&v7v-ug?5@r1N%TOze zAFsY0kU%603cM^i!6i#;BWGckX0w{%rte6?m(cw}E(F<|!v-5%H1h5Fw7k`=;_<&g6 z%JW21+I-3Xttd?KWtLQ7vpEBmZ2URJp@zh$mh<{TPkK`_6ou<1{x^y<=#96!aap$f zGk*CbB-$xeO#OMRoiAYtxxLwUM(x9!KTC-%>)GhHnr8Ye^e`%l^eJ2!_9gbIl>DG8 z3L`iP-8A2xilJI(NK6`~2#a`9acjVzT7>J{I|K7Ik{~!5ieAITj*)QBS3%MuBbU~$ zjH4bjIrj&Q4vg0DB$Yh4`5fDr;Nt1*+uz%1%E$p11?q?r*7c6iNQJS3d(oy$@TVV) z?5vWxS~Zh5?78+`ShD<23KVX2W|C3co$X4vsok00<@3BFgdsH~)=j>DqX)4-Wa@o2HZM^;YbAhq0-c@q-~-3Lp;c4=cre zD(DPo^r>9y;i8(aWs>kJ`7lN88)b_0MF4(T4UFX7ep;swmfD_I(i5sl9}+8;GQ{Tk zyv$iPxjYl>P%MkzAVb~z2f^G9S$C3b(}v~|@?CGZ9iwr<2wN9W!@nWoG~R=hs*+8T zBnzFN*O=oWXxU^O>9zimOJFS#R4(=uw{=3QY;10$SI{vS7Kn~1GDoln%~GyE5^1aU zPJs+JWP4@cw0H?}dZOSgN_QR3rdx(7qUH=SH9~~=;_iJj->MVgG)8^RtiiZ2MAw`< zCxKnSow&&POPob|qXK*!g(6-ln1?0`SW zS5NYbM~i$#kXOLtH@R_kaW$?m2l%3rJu+=m#>j@& z(bKU*mPo-;!gkFkhPzYAV6BW>Xc<}qX_pLtSA)9U@ZS21Ut5jWbz95{gz}*zU7s4} zaV1v%WT4MTTcA}}>1a}d?F2e7{N_5! zYh)KPM-4X|#FMU)Lyf(V?0F5;0=mu{6||`?h*EN^PYfoPo1%*0>IrrpjV6+>%cFw6;IK?iSE`m~!Ks$(Hp=yMZe&t|jz4MnFA|@*l-*1zGmSW`h3HX0F^Vs zf3SArObDX&A03tVpk{>hZW`M3rZJ*NbwX+Bh6i^drak4iNPkRkns6X)BM^2nw4Nr|q0tWXwcdtQKLYKR? zmcTkisaK^Jc-yPL%XkHAC&5iH(#Qqa{osE3@O0|8>fcU;0M4{9LXY<%6Kh<@f*BVr z+F~n=cQ*h@Hk65>BYE(NsUBzaF+yqK1 zf^AoXG$M*Ws;By%ZF;88%0ns;)|<|giMBfuQhbWJ zF)_kyyeebliR&|N?icqKLtR&Vsx=-baY@<_R-8Fb32U9!Cb=?)=4~g0^#sO_lpF&!s9nkN%s2k%Y99_n$O&e4pS&3aWA?t`hKQUlEr>WQP6DYvpi zx6@q6ElW5-&5)Z+{=190-U`e@c=Ju;u0~?NT6PAdoEkHld}+NLb%4>TR z)!Yq5X&LGFGI5esLMX*^Yovrg@6u{6y&EkUhS)B09Tz8dTJCgshs%vI2Qu6OiLT4= znKf>qW-oW#gN7zA-D%xc8|(hL@ElrkXkpBZOTo3ybvKc{1HIbz!caS`%ko#J5A>e} z9wjGU7td$mj$+5fiHvxOu62@{ziE$+m5j-swL>N7SSYS2G2zi`)%?gNczDCK1k+{M zP~sl&Iz8rXg0oH~k6uiu3+Fz8cJ^ri8=@_AgwDJ7SzG2ml3YK@(RQ-cQzGBZd;vpN zQK>YcPkLhtLBnD18ZlS?3NcZ+rv_KUpf-7bxQDg&#|&`T*o0C@&W3Tyfz2C4AmK5ly-iSE~gi5EYUSt`hxmv*KEV zQ;Z!$6j!>03`ps(*E+^ROn-~TQf4O1E9?mC*Yswwu5&pEF_X^T2!1V2IIP=)wZ7~l z#o&hBJZd5q8GNF(dtaK`I0Wf#Vam|gGV9sJZ+_PA8;^)vKQZLSNhbD|YyvG5`yQaN z%2L|Pd6IDxhqo9=9K;$~dN4oirMk80RHm$c(V^f>+A`~%7JvdrIb!5TOfcOUk)11D z$b+5oupx=Hj(|&6VR%Q%l$NA`KH$D3)<qu;mP{K&zxcQ zeU!z)W*7%+8?SyRuRaBvn|?)%DS1jC5Vtmja6$M(eoz(#KbcmoY@46%QhQT5hW}+O zruR~^bX#yM#AGbE^F%+Q{TI=XZyZqTq_jt{qZAl>C9$v9EyqDd)@!w!{p_~! z!!c)O=6I_YoJ0vWVf4$beO1>>riSB!1p*O9Os*nJ-C}xvCA_-Kw5rsP>40la1w+|8eKi|F&+cTtSVXpaLrns*lrPiS1jbf%9L)?(sg4N+TA zV1*CL0hfKVO551POqo=l&o$Y_lglUYCU6ek+8<*I3`)5kz=FB?(+R*BicOy6- zg@Tg4TR z`7c*p=T6^hUE$LWV6F*Qp7vTF!FJRoAMqMdRkeXb>-jxWuh*IlKEIQxE_gp~74BS7 zSXf*>?_4+C+F`>`IdA}CVT{9wii*Dx6YcikWRLg7f+Hl~y#$P0yyPE@>_zRrK+1fR z_z*dHHaN%=vEEBK;zH!%hiu1dUhv~SG_q*)5z7_#nqcELPrhexD(#U}vUK0VFCw^o zxGhp+UgK(m`zXqHjnH%Hg67ylwmGrinikwkOOl`mz)v;Z_}Kpl>pZ6+=}~ZcUu9PK z<1sR{>07$ZjFghv6Huh~$*V)W2c@@?OkVCf9Wlg6xRNV}+~U6bYFu+`ejD6B0JNNS zLSXY9LwUtA@oJHu4S=1}=`|wR3$T7fG6M{qKU|;u|S3|2|z)M4u+i`KW>#w7q*UWH~kF$P>q64>at*jlG z?3Y-A4tMP7KBgR(ITTbo6}h^jh{YN;A~;mtvu@1NPHqy>G|bmSR?RbvSq ztyoZ+4-a0cS)X1mLig(AngKdT+>N=DrQVlR{ z{3?U8vC(3Ta&ukiHbGA!Tus<*6C{>POJ7t_hjl@wmS+uiB#6J^G{(qohDY{zeaAc0 zqJ*x6rD{^Y@+ycqtI=GN4fmLch#OdZN}MJqX!Hn~iImnhC@;NgOAm`hqtWBGf^%?n z*W6bDSnv+a?LR1`qGoxD!CfHCX`>_EfY{tCdRx=M(9d z2kE-EjmWjNhZ)UtIX5GHh znd|2?I~UDnBhgO&+9hqHJdF-7fti<&0^Z1g#m zaBt$bVEj}veJ~Xmo-f^vC=s9^WG5X)1)rJ`1W2)F8ZSZK#ns-{mtM<`=4L%_wb%8D zZgJbBl}8q|)+-?7I#M%es??hz>KENG9M(zcbczXnrG&ibT&c%fWhRiGL^S4vhd4 zDAM_D+rYW9+Rde zR`@!=YzQ3>Q>1|n|XO= zYpOa^;b?6U6MAl8`k>UbIGlFvgugS~rrV5z&bC*?oKu}FF!!6Yk}xya zgZE=-NRhHzWpkK)FQn%p6pjITB{MGpC-F`2!MnS^QU1r1=CLxZ*$2DZ>b*P08};9E2YSW-J=+uIx`E3A-13I~FVXu0M5 zb!RQQ7JY{n=V}(AWYX8*b2c@sSjZcA;Tu77kA+MGPW`X?hUb!E@e*Tkl|r}}YhDv; zYc*It0Q*0Yfc^${K$icq7Y~Xz^D|Nn;BRb)^KWQ}9Ry=Mzn=eofBu>JGyU5Z z^!W$aAp(k}WC?VV2f8b&$f<%hynn+S>}>yUFvrhE{t0ui{(*A*_gO&rhKh?5(8a_8 zAaCzvYh?2i#?bhMq_HwG{)Kn2u>OO}u{?hZD)$SOV`d^|VCMu~9?LT@2jXG=2IV+G z@XWKBxRI@;jVJYACng46AI%>S2pb6R`wiAHJRkf^zyBu&^5@V!N1gf?=-}sh|G`i| z{CnK~z~ufJtY3ro&-?FT`aPt-kN>C7-^2V*t^Zf+|F-+Lv;Jr8zs~XB@BdxT zul(O7e|`S9&F|cQ>iSoUKPKxlGN&j>_t&8QF z_>s8$3rs0Y&RS;?H3EJ3s|Kq$5eeyTCp0pvj%n8#?P}qv9{P|hv71-N7nlrzyY zN%SCzcaiB=hwlgMV$0v99uz;FdOh8>U#(oVJ&h+Vyv?y(;Ib0$Zkf~C{Nk*!4HzD% zPZLMxljEH-4bSy{o!nmK?;MRItpwbIcpQn_U103KMB^BETVRq1GRFkjkI;O_{lN8g zP=K1TPeLpBex>YD-$=#@%iNO!9RuC0jQU&TEh`I$KhVxk+-N4@Oy6iQ#k;jxd+lA8 zTHL#KZ6?3Iz?^rnPB}Ch3~X<5UZHOfE1S>^NXS7J779i(E2%Pyg(y$4My8J_j-#__ z$WbUx`9w-9s}w~BE8_triVCx*AgmB-Q@lha#{ILKj|%4U7hH?LRHM}JR5hlA4y;@O zED`-C`qjMe`%et*twODmtw-kU>)P|CEhj%4SSwhZT4W(e;t=yhyUm?^8*>aYiQL3` z3-}|G0gL<8B{_q~m0MK=7D0(o4ALK*fGCtg7Vi#D8k?Z>-M*i( z;-v_FaO^ z#0EX={oQuLTt{L=U8He7sActd7j27caplfq4!3({OstzH7H~=f^U`J}W#UcI=&89D zT8esoSj2%S(UTjXdwJ|DX!}kqYpoNmqoC2W+XWG-*IsS6B3M?rq)rLU2rT5oNCIkv zcKiLA$Qr4c59Z+P>-ypv^qqt#a1~_Y1d^A1`xHg_hs>@NZa?UENQ0F6_W(`l@LAnlort z(a)=onZ!GgE>i@GDaR{Iu7Q5}7-9~VQBo3G`HT?@e&Bp`%=%i4;;AXdSuiwERo5*q ziA*{1G0`xq6pX;L-=t*6kV$2d`h+XT--U+O!$mYlLDxrY+Glg&mD#*&)pc>(@lBea^)nbYD$)&7zW`jDkfywE|i=+Pa@IK!?u>98-OGXG!$J~h!qEabE-`}GW zy5qU3mGoQ2w~-0IFKjXy)Brrf*y5s>eo9lv+=%GTP<65h`aqf#X$RPH!TCW8KRe1t zVJ!vqN{m&EGZMs=XyE#P^cgW=*dF}(*Tk{0T{&~_?$ zC#DcBgyi=%#aUkON6Um?X-y3uo6py!?r;XEas$QHJx$YF6Avek?(aKz%LEJ@(UHm| z!{RTOSD~h>52Tp)1qzY+&^5gi!8D84XARa;GAAC| zFe0McBo6gvlYUW?+F{Io6WQtWQoK6Vl#L|<%rv4)i?ifokEKi{C<@Db=VBVNUOQwzLGV5w`{4 zsPaJAC`BWpUv@4|jG-BxWoY();fCdU*Dmax9KLu^Y9jI|PZ{$-cpEAo%U zc(vlZ3@(dssok02VXrb`JUJ(rXTxt-m6>IOiZ+lK_8FFRMx@(_?d~1j(1wgld%l2| za0wbV)|--v=2zl5G6vg9@2hl)YEr*hS5-tT8@s2&eRwJ7IzfOK&UtNO-*b5?eaHXB z9Al+}T)4~oz)Cx!IZ0{s@{8--0Q_1oA@1Op?hOw<`Aq2GfIuw)18=h}FF|*9vn_A4 z*N@3KC)&lv2REtboP169q36_Ov)-q7#RnJSFqSB6M18!}MVWCd(qn1rEFG*!H} ziwdYNQcLOXg0rH!fB=F)g4!LM8R|1PCT#j8^F}uH3)7c%;T96r@5~+1wM(H>-%D4B zR*U5!)hD2o(UNwN5c)hAqNk5-h2@T6`FcB|r*=%i=XT5*%DF<9Bj|SEwJatfI`s9> zyyx)hr4b(~Fz!PqxqHZ~sFL%F&oVY~05g3Y;#as+P=rVP3@zb^+FWc$<8jTlm||&n zx|c-csh$!5C4pP1*I=I55aHX(mq%bHKk%Te?IyW9JbGSUH%xwQ^9mGqX#U8X?imuPvy&o>N*MbJST8 zax^z-E)&9rnt(zJ=k52EHw~lNad~)!|@LG{#J6OKWpk&_hga2-ikL41mB>ssm?`$RHG@@~eItpy~Lb zQ329?fz&ZOf^hvTKZauk^YoMN{ajO{Isv_g;mzFgRLFru5^Gz18i%8T%m|aF9C4tN zTQ$V4X@;y;Vh4WLGy`2Rq69lx@{XaCIG~c!qN(g`CdQx|+sQV9 zQnuVxC>*xU#d_4fCX}!C0ASs0>Pwg>$ewpyvP)x&3zUt2>?!>|AO1n86;}jxzXwZ1 zgH9FiMxJGsMZ-sa!>A)RordP2aQ-4-P(BWQ8(;F#DpuT2>YR}ikAM>ozng5*l?q&{ zP?4Fx10qUO^Cev45Vj)KDx2a!l8PvuiU;cwo8pS$eJp19Q@^%|t7^{cat`sFvVL(9C+I~j6Iz74pYA7!4%$xaXUsHt<6i% z^5mD-tS$IqqqeVsd~&p8{UnL+KhFSEHJ^3D1y17xDjDW|81z#(-fBhMC@BD9eQ{y{ zhqRIQo&D6Py~HK|BcI2k~SgO{uL{JiXxHfG;DzKsc99rJu} z%%+svz5h68z@cvymJL;|F|3%i?Vr*-YKG->fr!2pKH>@>@)hb3e5Dy+bWIH*3O2jw zE`FmsbQ@P1sh=eWjh^B&3ArTjI%xG>W*I#G#(eM_>y<)4oKD_n~Ta;R688z$B{`TihSwK zfJ6x}u9_k4H}UeL9~QwQ6hg%S8911W{?4xyl3uZ(Kje?961=lLUEQdEq;&MIX5?!* z4#QXa(2LLMxb|UUZBU9a#4U%IHF}d-RCsLGm&&@G@x~9q@}8r2$+j!#YGJ{l?6aX@ z==?zDY)o9fqN7qiu195`g;Hwy22$vu&hg!WtZs!0$ju<}k>tQ4G7V36Mj z=X&yWsY5FWkU!)UY)qw*usmMv%(N9)og>ku^JNn1CYY+!(|;i`*M7S9HJ~An;ZXnM zxKq{U(xib!*wfgJ11|d+a_Gz8BB4mYg|IM8_aurN>6Taab7w{)Pv0gVUf+( zK`W*mFgH5IHlJC1dDMwkx>$u~eVg~A-kWBCm%yj8U7jFl5GFx~JXH#3a=bss895Zv zme824cUXKXif8JsP`*qo7WAasb&APnh%1OmnG(dA4blo8{z7Iu!kyjZG@Hy1pJiUB z?{?p^?oxQ@Yq5V++)E1PRutqv=iy;k{zvJlNM zA%K$+D?*;q>B%T+XA#?k6D${~cQRScpK{P6AQB|NYq_Z>E0B@HS5KsXf(4GYhls#T zIo8MzZy)DFiMk+3ixy$~-4An#c$UosoP`j56IQbKU7R?E1#WABbE_g0kH-m~Zgd2> zQjY(}1ng8qmNljfp@dpj-eA}`$--UTRGC6fsl){wZj&HJn4ms`TDEtHte+0-v`zzh z;_?v^tkTEf7xMN@9bnzoeD;W|qoZhOC40Qj`p}}2KL(FHo+a*gDnM!dAS&MEC{hR@ z9`4e{gj(ZK-h}V8JSR>XhcfU0-1z~GNGg^F^<`>1953%3UjYI?$>87N%(;7vt=F8I z%K;*xVgIMY{s*u1SFG<} zyjB*rfA)Ep*f{>&=XoYygZRtr%$&rWjDK*iLGJlK+-o*==D)XdSV3IZ|8+O#FYYy{ zssBZ26$MCvIH^J)o~o^_5s0&@2I80sgJ`bGAfBoih_U)VvQ#Ai&OlqsUldm(5dGER zColGyA?pJ00?}g4o{6y*PCy{Q#ohilU)C7Jel-O#Wq)&8<^H6sdH{fquAtp9HxSX) z3+M!@0<>KRFtc<6@n3;%Ahzu<#;ctrh!86d&;rN;Wd4KX`UgY)uNddgUGs+yf%AWj zQEjT`t%|mfkwr2@PKw96`H|9&vJ_VX5+Xj5nzT;@eF{VITOc_q21<|=Opbb4b7bT- zCm&{EVX2N*Ex)3odLHdzW+Wz2DK$15+lKL`xC094;SwUpf}o#cqeG3mJQvH2yH^dX z50YP&V~C}kOm$tRg052|oLvrwxm9*(V^+bAZ-)>(n;wT1^@Yy6mg_y6&T@HMnb0r% zmd_7WrL~0o=#|xx`At%M@HMeKBPF(%9w6jBI&-t9bD^GO#2eyG`-|ppm%v1J(rYQF zcT2vOfPD&qxckvt1n#KqpMm)?vTw}VwYVEBS1QF;wP(9@0?+9ZDn=@0y#ln98dTsG z8mi*Bh=1s$_jw>vRVz@+S4w-8{z|XzYh30 z;`7&`XxSJN74z>;{>2b9yxnn~-R5?07GhFGkpm%6$Vo}1NJEKtkh^t*!l@!ay(x(r z1xxyFPk{{9U0Bvfyp$p`f@4d&I{I@ngX1C%F8u%&0p_xE)<#>`!)I?a4C%7mw*1yT z-|v>U?>J@d1vjXQl31m6gH3~XkQVq02fJ29P9swgTYAiPPkfxvSR>ZbSz=>y9qm`` z4)__CE!OcEOy3b49Fg+BTB*ja{UTAOKMt>i>{@&Ea96^Qo&VW8m>ayB5n+;<5q`R` z?TuC(lw}Gr{FJn1NE*Nf%99^f;+Ry>oD@&wP^ra-XTm@_hooE00!t>U^j<9L$kg0| zC!>bNmNCfS%gm_&got5Y&YiI8M&4G2)_#URbI*MjfabLgRxyQ7<}^hvlB&AT)5UP| z0SaGzDTfec*!m^|wHmYSI_L5m$P7WSw{-#Yq&%}IM&6K)-aI>VI6N1KvSVM9laJ}t z7~7W~D+&07Hxt1euXkpz+?-#2&mM~$`=l+^*|<=~fsTBKElOMmXvMr^(*QmGK%c(R zahvK!0K~zhmK`Hz5x2}eM7n>(!Yv0IQMWS&i2hzeguHI z(<1{(0B#+Zh$sf#Db?67!BMQ2zvrWqiQ^NpQ}E6HpGr{rGDYUhEbl z`1UHaf^L&+%BLk2Sw4Qq3!!;0JFzcBNgi`~QV`)<}*X&I5j)`iF@W$?edHXyNdOf8XGI@_XNnedFmabeDjdAmR#9fnu2EJp{g zju4p`44rnr$cPWkjCb5kS!Sn6I|)DfoM-51rgWVvHt?>V@aZH0Oql2;?9}l6r?zSQ zO-|>n3iFl0G`no1#5waT*0w$NNmiu%+xAtfriCdQ(<58pE<HBZ$5YR+Z8~+?O?Afx^d}}D)&GNalTL%rlQ5}wUIlHZEhguuEXRr z!abr5=~W0A39hB?IP4tlibE|d<}L`}(6BPXl4pPpXS9uxHdSsD>#F{vg(sTvLqYA^@?V+;wO|`WA3)|odn>cGSTSS!8>Z)>!>&U06om+ILn>6;>GkyjGDH*jO z%H*elPTtDOF_SJr67%Tc+8Tw!kNNc++LOa$h9R5m^R=(_XFI1Z$g%?=(fFJ%TCP>| z&CakwtkF{&UQq_%V*YRgx89!*5E*rF!wnkdY{S@wTRI3X;^grW@CsbCu#=lUX8oqz za#!?2h_Ba%Yh7V^J8T_XD1=n;{EHB=&T)$@cFg5R)2p#?AH0wa{<07DbOoLh)8a4p zr*0p5r*zb*>);^P=Pp7x2QOb|P zI^8edb<1oTrs$vzz84B1QM4Ta4!%2u>3y%=Lwqw4KT)AC=#E0AkMwURVnPEj7xHF`fO;}L*o^W#o{^^*FpB3iXu9G| z17(~L2_%;>4wlg>=OsN83=CDn_t%*7A#WQ;jm&vex-vq>c{|mKXswTwHA`v|ld;=a zPuCr6UiM6VY%)BYdw1Re{X|)HYm(lSQz>`1BJxJu>&h_uR$siJTZmK!R^3$T%T8>- zhe#ahdz3+Pc%4*}_+$jC!RQ*iq8nTC<%!43x0M@r4Lw&8twr0a zn!QMH)imuFpfK}R1J|k|(F1Cfs*hh67%qM5#ZTQ3GNugSLfc=t*%Q-k4BO<9eH*6y=+ME^|<|s3!$BzJ)og99z;|<>@4VoI6k0lZ7n!-n){cqXP{j+uU z$2c=%FEZAh;tg?8f+^xCq;cT|dzdSU833fMm37SatLW%*r4H~-s-K2X^ZBt z2ZQ%=dA&=Zqq`NMN!!T|rvXa+B_#*Nx?GzTuZk{(f*QaVB1YezsXa2O`mlCWZXppW zyEgS|ARc^v(VbOwY$7*TL%~AKDWqNJS}}&8C|103Z#MYBw25AH2S(<-7vcxPKEgCk zSDc-Z53XekUtTrw)fv3HhTz)SmSFbm6ViZ8xpXb*YcY9x7lvzwUtZv<`Col0 z1}5geyeUw_|MsSYKwi_c$0QC?>&b&Ofyy8sN)_Zy83By{(f|Vg@?Cy9Ge6xLbC8(O z8sswB0e<>3&km6jNFw;l(Rr5pIolXHTl~_<{^3Wtg2aT+zR`a;K7VK~|8#u*eEG>mK7LOBerNt!;#utaSL$cpv(>XO_Lm6ump!P&fAB*< zko*6i8TdC-{{H-MZ zmG|f1KIc5YewTV~Bk1@)I1FMU5<-96($CuTzi;cmJC&93|Bcb`v#j~@gdIF-~Ffp+bbFqV-%=VWq{(o3cKvU&^ z+nf1kJ$b%@zZaCB%J@H|z9OLgl;?dFOOU`A2--mTDFXf}`u%eq_<245GS&VL#K6S{ z()$08G!GjkTUi|-y5FkuNo}oa-crK%FULGO4)TRQ+?p=uC=f1hqM59jBj}FRaQWft zLy|?AsnUVbJw)`DQ+)$FNDkGh*hvu45s_$Qc2F3sh^{74QB0F^POmd?dTYi$hDrygrA9nePz?^g9=?EKhOqn?H-k3egTl6ER9ak<$VSES zMym!_z1Hv1TY~{UG%Z$))j;t0+>(I)JJ=%D5uzBBm#Vs2ug`LzgfK3=lU+jv1O6)r zUu{JM@5fb!?3VBdR1T8bBwjH|Gzw^%s&9Fca8eYpiTvk~%R(lsLjEjUcnb(lgko;LM&ixjtjm^K z&9;3B{LVAhPPE;cn!kkV7xrC^f6Xqh zyAxx}W+!$5VjvB%h26n>t-1H!v-VMs=lQaL3b!6MJU}b041GJ=Ne|XJb;)>fk;7bd#^6F3y2W1VZn#m-&)C1? z>}T)J6gbf%tiZiGr@b0wI`S_2*zB#&#teVCy#Mh$LE(Lyy^ec->OqTML*`W*@P6aR zRmo*uo?E~DYQ;l9`%l1Ufio>vG8Z_i>ICBlX5Kg z-7Y1+E;wp4Mz`Vl*RNW?z3WTu4}N;{!n;f3-=2=&-UTURFhTpT=o|CYy1+b-C*vTR(j zf`L`)E-C9eI_iS{-Mbg>58gNtJ#_e~{f$aYoRir%?u4gX`Q@o;eDiKu6j7kl?qzuc z5778vUwdf1;i-QYyG23MD=v*p zthIgQse>{1*G`GsU2VeT$rC2jOgwULsmo~Zsj;K)zpCN$_2ik0L50`dtg-KGnORXi zZXVMb&a~}l^S0;!uiPd3Ki`yY_3nM;yM7G2R5xizpOkmu+3L1flegFsoqp>Dee_;K zsk*BD*NwAXQVY0^ZL*|Wsa~hLrrTWj+Mcqut8>lSS?)*0{b^R7xP1M$o7D!IF@6&d z{>lrmDQ(K8foakzn*VirMAiT4Zkpi_*9$E%O9#gF?=j1gJm!5wWaPW|i60xEv1?lG zL^HPxSx^3;+%adB+%Z>PT}1Af)9v@odNX8wfsWsndX5=3c53gh=^lR{esam__Y?AF zoaom)W5cG|TNSqn$W@_Q{bkFC4fky_*ke_LQ2*YpJ%cw6@7_6VaLf9GTXuErIc~x5 z;l6H@(p?+bb<~o}$(NTnEUI*?aJD%|vW?AF-f67e${k~!u4nrakgd*~s4wMbWL%kT zQcAY5QFdRyIAxntZ_knCu1DtB%^B-7XXTMBKXTODf4tO@E(iA?JbwIO=dW+RRjyO& zYwtzLC;OiBN@{UC?~Sjgliz$=zHsEefeU}^>HPlmkr|n1o&3~i)6b8UCvLbn({}Lv zdE0+@#O_wde*2hIE2-MPZD&3Y{&1u3){CzS?`!&C$b-hqvDOtV-~A zRfX>RAE|GrHX76M&a)pUlFBdN_il*c#)sP}HDjOq>>2g;pkZ*ul&;O^&9zS*dAaY= z7U6EUq87Y~jT&Ce`^fHvuI~nSKl62+Zv4w{O9GNIeoYNK+hvy5-HvuY7mj{cBhfc_ zC{AfcUAr~m_m0mlJpN{t;pFVvLQ)dGx)9#zVZM*+@JBX)yRORWLdlZz|7vw%&XU8| z777&*YlK!-lRZ0$`d89#P|lt#ywa#)gWE#g%oOhL|AN0;g@k`v(?aV0=TPvQ^P4|i z5*KR+4|z!(t2}eBen*!1Nx>At#XliyUgT8^uAf2Gg%?4r8i5369yOrq8~fYSdf{hbiw<({u?nrOrR z1|0%wY?>I|>Gth8H70$!Ve7T>O8-N)PEC%q@v36ecx0&!CxTUt!e-P{P07%pLAuiA z%AI%5V`Fn<)!MBI_FY#`YE#oG=-b7LLEUT2UvRgEZ@wBYn|_HQ}l2MyIFQuQd-$ zJ#=(*mAgl5v=QG6pHHo7teg5`iD!lMO_D>8)=6@9y|QK5?gnnEDqe1TXYUz&d)3Ij zHR7*lJ=3{neDyO==Pleb*lqKPYGqb=HnUw-c*pj+rNeujtkj{&g$6s?ZHX?o&9n7X z?2Bn0lu(zAo6-AINJo!@QyO@SWUcGY-uAgs%yW!~{HVA2$@%*myyFa_R=bfIT+Nf@h z^IccRq*Uk|mp^`By7dp=6gkkU%8bGV2fH+xVl%XUwte&L*00I(v`pFBWsiNmT-#%K z=IE-E7az&=r1!L=X+DGx-|TckeJ01g)=6MYn}pn=h+A zHtxblkI`G37d-2ilqRNLrVjm=b`H6`pm4&W3)+p%0}G6I>eKsc-pVhx7f9JSs#+1x zUgw`B7>XjaUor3EGG1d7wy(I>d3u%)?d$5kMRXdiIpCo` zUBZ9#vz`Sq9i8S{zTVku$L6{ma}M&&I^|HVcK+)_zh2PC$6pyWV*a9Bj~sI*)^(4b zvGIGjbL;4LnU3!q>z8&=)zL{edtJ<(S3AChs%qW+PPa0b|8nz`dg67%=MNi`4zxP$FuAbWN>yxU1 z8bkZ4PY$?VKfLnX*D?)1j|ho7;4vloqu1G$hqlLezJJ-beEYCI_nI8+IXWsP`uWEA zb3aC1j!gez?~EL$-yCt9*TXZn&DY^O6YC5t-M4QXeid)s0l%%G=SIYIId&w^lCp(* z=IXLP!_kF98*S`$S3hdmjH4efjGNXsi{1`ja8JPkH~LQW-jk}S*DW-@c|zKC>({KG zvM6ku`pM+44O@OcyKLjG?b-A_wQ*k$k4!l`Gr0SdyUqL0xH~PcP1{&x5FLH?_2H2( z78Jf&`$35eIW~`8Ftn^+WRsa*CsSqwosKBH?#s=+FFr?N12iltw0rWlZ}-~`*yXx9 zc#U>kmItaW3vcH(RBCo5N0R~C#b*~CyFE7d=u87#zD-O!>_wI}Q%`C8pT2xI_*0F6 zXWi26@SUA0czeS7<%Z#XbQ$~##EyG==WvzPeg!;7U02;ryRL2Fn>H@z#vG2AY?6k4Heew#;`da$Qz&LR^d0_Zm7M-pRJ_JI@vbg zv#Jlno_3g3-=_B{uS0I1wxp(PJoUqS(3_WE>Ib(CIb87YK(`T{1G+WyNcZ&iu{QfB zj{BOLlzi>-zNRl0=dw-Ce5CxhaqqT2y8O9%(Xnfb-ix@MY2vn+0}km^Po9Z+jMSCu zilu%i@ipejvuB%n4(e3kY=cqXu4!$ZHfP%UbNJ**uMLTAEt0-xSu?wa%jfTTx@Xx{ zpkI|CWe=Ub>0PhVl`VUV+@Ja;YC+xkz4N}`u&(d}mj^{=mu&cD)C>E-HpPC#4_&=B zd&yima(Ap%pt`$f#>5ka*XGj9y`S+#@sGKdd}vm(oz1}gKguTjs1;bpGr0E1sPzNl z8_vo(Kb^DJ%GOsey{M3OZS~bN4DBM*EvR81o3G2n&UXWwWE`~4rQqC)o(HbaEA{+! zn#uFC@2ov}Z;z;+-=CIzl=fKo##%oYrpuA$@%-;sf6E~8*y=wmosfhP1sM@RmPGT? zNsYZm)BpZF$N&HTi`GcnSXwRT3a(C0P4IN6c@#Kt(WY?dJ|7DBKLaVj^++k~H&a@Yd4K`%rL(V>*>VBMN3*-KmHMB4ia|Fgn|CvtG z`kVjzU#-jj_y1?+s=NlJhSZ9ei^?82{Z0Ot_Tn_nQ;uU1C2Uyu5_}hI?s@ zVv)tvKtfh$C+8}7p4XjnXNFhv@b4ZOh)jzMouil0QQb+c(TIOLRfphKQQ=rAy9Rd)sa30btH{vM zK>r}x2e+@@A`Cet(S=H8h3%@NF9A5%i>g%LFQhAFaIM~`t;oaq`<}3ss#uL%ZPoul zOX}!vmLZ_;{tn2AYB~i(ph2sS1(KTz>{&&Hm}<^w$D1X!|Dq6>Myx|e8ABB`N!DSU%k9_@8wIjR#rvYsV-?E zpDcEnp6$rmGQL-)*0{3M)2pNV`5n2P>w9%SJ14x`*?Cc~ZoRvcnl$7~%Dx9f(&k9B z-`+h_yDnWfWot2i-lYNAdrwM#_u*pqQ7&U9f8S7O&q9}46ZZ}szHn4xV*0zqGp4Di zIySS{&z&^~=T5UUFwKVUkLHdGbK5$-S>{q3il;d`F#X~)b+%S=$vM>KLaC!e3mwY1 z+ds|ci|?Oj(&!4d=+JT0li)P%W>i?+IrjW?n{@TuGhM9P_3GJ#joOZlvQN#OrdkKh z#@*dLZPFZAU+PP1eNCTM+RSG%?BAE+!tvZ)-Y31!m!<#tOWEIh53f19VQ9?rydLV6 z$$P6D8r%M{O~-m`+$xMH-RDqr@A;Yg%r2H|f4AOIi#ukDy;taz--hSY+hwXy?BJX0 z54;|w&-*nbwWv{hglcSJfGQS>xAHz0d)>{T>ahb9p8o-W`y3@BWu7huS3D?rB{0aDzI@tBjZ>ugWJnI{ni-E;1{#r4oOY(uxRwrc?Z(2dAqz)O6${a!$O;6 z2r1fRq|cJDg?nxd^7@jwcBlA0t7^R6HOVRZMa@Cy9==@Ry}otbK83IToK?5kH{a)z zKUMy8RjjK4e#rBW`)nEQBP}aJ~^nv+cxvwoXb`>{MPu* z_K(IFxLNdKMekh&UhQkTx!c~P{;izuKe*g_bJ4Ab=RJ+gTJws{u1O~vIQ?kgy1{0h z@!W@3y^k*3-R9$p&&>vXI=iH^+pCj@cMtt~UR^M$piS$^qsA91+01KouQ#_kTpjd! zL*jvab9LeKA~%(dt@Cn%>fvYa4Wl6X6+|OU0B^= zmA<3j&8wqNUs8R0R=IA-%dL-!b@E6)S>R`$)jw9-);-;4@Q;)eqgM>}x-ibC|A^|f z6Z1XIT2^L8*=t;wwJf_kLNb?cw-0_kL8U zvtV}3J@?9m9<7$&XPZs_Hl4r4PU}2;(}ppsr^Ro?=G-;2O?;b$A+P88)H+uMza@EO zZBUEKb(=YkKel#zpSd*`_eqS~aVhxy`)B^+8V=0<@m<{P;y$W^uiB01v)ygZk+E&2 zZ(Uj7W#&R1Ho0QdY`3*{4xJAS{@P|s>2~_8Q-P_I7173R% zcW$24ermy&#rnS*k$u+3#EzXdeK@0f`=s2g8@1;*t+A(WPoGY`vm!(8^dZx}J{`N# zF8)|R%GU(_o;)RO3tiZn@^)dxxSyAO+^TKAI3}o1^Ql#4)313P9QjT?`jqXKThH4y%M|mp<@8p*?Ki~#NaMSG`PPlYju#y_du+B2-)goT z5*1xW{ifc*h6`Gcyxdctug{*Ay@prrm8#h4U0HUbJ}4oZ+-_1P%Lt>kLyX$1Y2mfD?QBXv@>OlA({}%9&#@V2S8Q&~-mg`{va|^${1e91 zw%<0)-Do#9aPyUE)jl2>*dy1eQv=TL&hNA3#yH(gmRO;`Q$qPNk1hrr@?QGw^}XV{J%_hduHJ9< zpcl7y9SeL^z%XgZ-kKS_icW1EwrH?hmz23jPmQYEd)@b2t;2^DOubTVVfm_CIt}hJ zf8Ffvk!?@f4p><$!8|lXjA88pl$HYTlv@Yc;0z%tZwJ$Nqsy181b@jc4N2O zFUt=<+57R%fwzvY-=1$@p-T~aJ}lk+=#b+#{i+}P?mwPctDUE3f@bu#K_?H@+2tK_ zq^8Txio0$fznE#+wpSBR?Jf7Y-_Z-7P6w3jQ?bTk=Q}U%4xH?0eEfCuySYQp98PmI z-uw3TK--vBqi3|en14j4&e3P8zpJ$F%e|3X&TaUb*!x(uH~U@}FZv;Mlyg|Rmz;yIPwb+ee=^NUecpQ;cN9u@ZvGg@YwCu6{kE(cQGDpN zrO$_-8lEG+f7$y752ZT!21abiHKS&`oIOWO9ohe&TjB5t{i`|E^Br>N{1N9V5sBBv z7CY$H?)^xcp4G0OZ#>n0VWY0)%GvE|*<#MPetvs{^^4<6`4?z#vflm!rD|<%`l)Gj zr`7RKhK+H~=QKNO_Ke3$l{-)?L(H;_hs)$}v^!s$=6F;)@NoCayvsexw7u_8pxM#Z7qwXzsG3ISje0x9ab9%%{T?~S_uI0i z*sS=Y^*@v=eA@HGqB0rxB(EAA-Y=VK#tMI%sg)D*&RTWp&hS;Imz{7}S!7j#E!kR> zZI%3F@{ECFYOR}cD97?ftBx*rST;JxvQrOwW{B-GEOq{up1H?A+n%fm_uf!7Z62Eo zPco)ka&YJBQbh_Zeo>~-o$Tp~M6|z?wtc55Ee>DIn6*rn%1hQ>&N8>h>jE2BXIdFh z+4gXcC6~J#Zo4XB;ni$coSjxjFBs6a#I&!2eyo1^^JB_<$M8p|9YJCoSGPH~zyIzb z%_7|U<$qGKv++Rb(gWM|+w!1$ znfcr3e*33yxclU8#`TZhS1FpSjnkVZsqU#!l@lhkUG16o zfZM&jHhz^_?=P?q!imY+MO z6uwblO1){*XV`n^NZEaOO#!=sZvD#~uD?|^D>3f1YmGupY)6%Q^m;6k^S_VF(d^38 zS>qhbp3rnM>`yzjau1sq)nf+Mx_$SrnH1C&mJLdn`@XkHgk!*eDU1~9J zO4ez43&PK^D!F3-jE7~yjM0?}QyEWIO3`$BOc z&9Z#;uQIoE%OOu6Uus(??4XDC+-gImMscYwH;){g)nxv==t<9Z)=i8^dfKpihyL$< zcWrHT{g_*Y0?j(DoR@I_(A&r5m)A+zRC7SL&!6@CJ}CEd%HZJQA_6Qi_SoUbk z;GYhi=GN)mzW>kHVbQ9i#}9@Ke%(A^wa<^7n(J8xEqK)La`ow-&hAQFQ@ch;*OxE0 zyaGJAwKrff-Jsf{LZM1&TszXaZ26ouG*f;2T=_^-0)!yRu zt=PbAts=+Q?Qv#h*VF|OsmpU-dapPmjiBkujURJ6>h z$%E^j3ta8rr(^e|$ek~0Z<~9nj{eohepPE~YM4jhG?k{Ja{GQU|rT@*Lm%h$F z@;RMn#N$k#hPBRrzxvRKj#)M=iA(*Ky6$kULFy$Ro7CHO>D~VA(;~ey&J2opx$#Pl z>=*m(ICQ>HLZK(2g&IV38QHSk*da9s<_{aS?UU!L!r6!9U2dhT?^kO2pp8<%}^d;79l;>nLgwt8(oSN(_XW4lJ71^u7icz3_> zsnNNLJWZ?;_&6||ox|5tH)~h_(79cOmTk_=n{)Ac?8h~`Lr0$e^0HU#C-vq>4`bp~ zn+9&XKGh|6-H%s_yezS+=ls|;ug-)7Hw~>cr$nK+%iC+Ozw@PV(|G^+UeyZ+)ulzRcf8)am2!GlQKmW3YDj)Nez6KJ9mZ zOu8u}?>ElVKFeC~vemv{Js6YYoZfRp&oLJckBB>PDa+a~PjX$F6jx?X}4z15y z`$*0ep36=Y79ScjxlEQz8GGOe<@6I6reO z8?ZPzz&mb!g3qAsRi_NkQ$K%^w6@tU9-LC7&DA9j(|a`EJ~Zp3!xxOjkpp5;!zwA6 zYL!{wr|Q>fzipF-O`q;+R$+7Y^JkBSmYlP(RBZjKVU22qId$$bBiq$68Ro}a$lPPb zm~{JlzV=tIYWSf?#T(;uE$tTJ(Jo&nCxd(Se#f$vy7q8H_vCi`5L;Otl4wXmWX#R5P z*;bFAmfE@Cj;r_5_3GIH`D^ecEzM%T~o?13totA5S! z>OjuVS*~5ox_!d>$&p;l&g5$KP-LV z?&c*%OthVz)8A#{QcurPrRH{Mw07B5_slD8pH;q+^IL`GkI(Oo$T2_7)@>KIJ>9h- zX5`{RZI8xA6`XR`Pcy1n#?9%=?2LWdZTXV6f!C(KsM@ER*RdkkRUgZDKXyI*Y?{LH zcQ1FeoptQx{owGvr*qBz7TbOH^YSHnl$^Ho+-Uz-Eytv^DmQHY@M3RTkBNRby+G)j z1}S;$X8A9uKVf*Ec|~>|a_i)>XS!qm$-xabUM@5JNDsU0DRp}8cZ|q$r$xpk>3bMv zjc(yyWL4~qGut<9ZuYZW!sR-ug_lD6*1P0#xm$(#F+M}$(ix`gm~x?iv9Sy89_yiA zGp}id#riF|X56dg7v1x8t}acFC$w=W(bj&~ilp-&rjN5boX;ooG5eR7M;|YGy|i7J z%UPS}IW`r@)v@lv!gV@dimUvfmEVFAF%_FfEWG>Z>!k6U^VJ=E>!$B9$&}S!-siS+$(xpJ8?^FQ}-$H=li;5Xpn3(JZ@@ckGs!n zP2BD5ci;Q*`}Aio`^+0$!EIk!x4<*!oHy65-ET(rq|XT#M}-{BUiSW?PAenEJ}$cJ zNS_tg;%*=VAK#pQ_2X>q$F~NY zbNqB?_o>C}rW{~s0z?*l5mq({>E4TP{x5|;87mj|+@M>F)&o%QO zT(oXnJ+Je_Rig^a6<@w2GW1Zst}A+^ z`;a|8@aC5Wvrh%Cnzpm~wJgtGxHQ#GU31i<+pgvvAKdised@s8QoY>bw+7_HvyaS8 z#~&~9{-bT%Bc-b?`gkLMwW0WN7n}JFZdPd-HhRSRw{Khxk&71Re3f<6aKTy0GDrGR;-q-)Dt*Z9aK3p_6Z~5?v={uQ_RQ z+Y_@-`>(v(C8}KHtotyAoX=gIiWdp(T>=FBUZ;$9$j?#T@4R(1~QS9IY>?jP|UZ*kpRm2HMmr&%gEe`&75& z+$>3>al3%ymJ><=+ZW8HQv*0sbkw7w?0?s6jS~D_ASGXhQHl>t@@M!`3o)X z{iVo6uk{zVf2o=7R^s#w>kdU1oe(ju!vpn%FRhQ~@<}}Ku+#bUaaoIO%W$Ets??sU zUB+b%9dfGm*W`2$>K+U+M7-)UX`9cs^gGTYdsy53PTHPtEPHD~; z^uK?tT-Ou5eXAa>=2I&w+l24S4(&HwSeNnBn2rz2K1t2+IZg9+^CM1=ywSVr=~4Z+ zR&97Y@9s1U3(mZfoRs5M+G7(RJDvSn>r`*&yD{IJ-Fo%tN1f9%6FQBam%4vrR|P2C)AvVV+sTC-zsO4DOMUM~3_Y}dcW3Pedzppko{hlmcDtM9(Kwf{&?!S(Z#Z5_xlv=e{sWyWQv?Qo)E0 z?wc1m*Kd(!Rlagr9kM%QDYLEU_nLPN`*Xa`e)sWy-Q8pR%XdllxwL(zf!PbC>$gUwC z`udo}ljYwQiAv~L>E?qOVG9Ch_+Kv*>o;OT?Nw_XGUXVyr+L*y&0XxyFYYnq_G&kW z=L_O>n{OQ6axhKC8?jBc)QIn9j7zFj;rhVnjq6KpeAo0?ezktcgORmQEw)+P`{(^u zo0b*mQG92E<$* z92;*qa49HfH@}|QqCRF_VE>@Q)E3uLvgp=s{GfkwqIOE9s0)LyH+oU*Ve-w`(Wh=@ z8yeej;yUNxg9XROws||C^HtBc$@g=8^Y5eTwW)rmp&rSpGd^!EJo?SHJ7dbV?z=Z) z#GK#_n;XSNIh-pRe{JEI%8$DRZQkh?V7Q?_x>vuArA@VM&S%{Cvp`A5!3KP>Qe zo4~2%vZwX%I+%K z`FN1MSH;H#9Ny*#9bz1GVxXx9uUABMyR7Z= zc-HP7$%9+|^!_sOXYQZtekT3=9OIJId3Vi^dHwy%&RA65FW&>-_Se%zRjtz0vqjA7 za;0=pr`o->Qi}>p2A%l%U|8BCF)yCqUZd^Pw@T2h)!qT)KOOs)>xgfx)9A`~ z7Iz3J`D|j90Wr5nq#x_oV#uiES7YmJpOy6dUDTkn@p)^{S1(GsV*9Gti%IVXbr>|D zZO35_Gi%*iA5pPpOp_(vV=JW}G_~FL9gUOfmyhbwKJSUWStbW*qAHCI%iXeM`4urc zd!!jM=4|>am3r5{GNnqDpkXPlpBvqqvuyC)p(&@neexN3W=heOMeB~bVSDUZqF3+G zVx337p7eA<$#d=r#)i6xmt&R{?40f8k?ps&W7K)4^tW%2am(Pw7h33ME!_R!(Bysd z-{;>w;BLuNVf*eZ&G#;%RfauvPTc%Z@?(fgx7|e!YNDGIyZY`$^yRFT&cExEq0rDF z8S3=z@AhhdO^v-xa(i!EcBj|kld(tazE64Bvi$r-GmK99#vj~=_}$1|^wP^{pPdOm z6RUlD*Z0=UmzxHc^DbJ~@tEVgNf9G@th@4cujkr@Z(d|g-Gw``?Q_i?`}-RW?DF5g z-WSy=XKR-GqBzu*Kdoqe!uijioczBZ1k1a7O#}RJp6W;^&>p2Uj&${a{uNJk@GqSh z)BmTFS^8I;yW(GQ`iXyq^7xl}OMe%q#-)&CSNwmiy&_L*ugKHdEAq7Viaf2oB2R0t z$kW;@^0fAfJgssHT}q1^3M5}E9zW5)CV9B;q2MNYYLh%XLR4^*Jp96@^8bZ?o!Ggr z=(*|eFd!%Zhs%|m?JBvb?KK*Et--ESb$Ti(Ks;^}t}BP3ZPtYAV6_Jjy~m=S7ABXxGvXdMW# z=!~q#_5!i!Oo*1sSac>tPl!clLX3o1bOxfP*0mO$2_eZ^i_RK*bdnH@&Ki58n)5Mf3AxvkZu$cJyQgd4dlB{Do z8x15GKYa=BG3jF<$vUYse!W#77CUQT8q!%Obp|3NOPzrT$x>$^LXOlK2l^QFqfgWlneJ}g!+X`O=b->_G%$V>I{VJtlVf9wSWjYQfD9}N8CzNS_eY*QEnZX zYKdR)#Fg-yGD;V>Gz?U>8@h_;x{S`EBGEv~?uzN?n}Ud=s5tEDpBV{{Y&)!bur z8q$Y*j83%0Jw~UcUT}}mDQz*Gb>a&u9;5h>jz+KA$aF>?GeVe`>nLujmAT(kOMF3v z1t^G~V!c}7115xGy;@-gW`s0UID&$R_^Vdff*BEVn9d^psuc!dDx_b5u9cZj7Jj9Gp3{n`084;41&W<9oD=fuS zhQ6SK!dJ|QXp7m|k-jM|M@fHn)X)f5*o>)`n4c70V?xCIq%a(TXqEW@52DTE0tiJV zh4m<9^ajzE)LE;{j%w-8_(5vYP~k+Pmfl{>d}^sPK0B3hkU9gQ$c5iVFt?6qBg)9x zQN$mV5plyRa#5LIBbOdbN{L(sd#&C&a*4m;8lvn85NKe}JO+>7p@F@^K9!!}y*MGP zCu#?j!Lt~tx0+^STzlmRjRfW~dNpw+5D->IE6Pag>y=2#JVuWoO0~GoVvI45(IaS4 z8R_Lfgk-KWSys(FMvvh|2-8`K$joE#OY@=@)7gQ(B0ZtEd^1c&gvh9^cTn15Iy)$B zF^>UzqgqU7uwz1)&Kl7=_ZSU*3pMu`a3eyP#|TcURy;=ef*N6G$+b0lt(Zk5*9Ibb zA-Ogo6k8?N2I5FjTXJoU9@oPOVLB@jP;zaQ(NipwTw9}ebfg(Va&1B=)=REU2t_x^ zwFx187}qv{HWMQ441}UTzt__6b zFs_X#A!wXntqL3C~r_Amr(;d zT9%2#xy0Wv*+?%3g8rjBmT_pX*P$o&%wvF{C-znzLrl%y%42BNi59pVd{=ubkD-WT zZ{;!M(=Z*YrKeg}9z*kwy_Lu4NoOmMp&7~E%3}v!N zDj12EA$_bo#z6X5d5n=-xAGXHsKxC}alu~4J;qVg;vPfs&R%4#QP`XTlLH}4X9QzH zn9c~sgfN}e6kqHa*ET4#5#!oGsHco;1EFuhxVAw}(ZpWQbQXNvo^fr1hB%Hr|_uk{TYamEPVrrkj!+}P>i-`TpMLbGUM8a8zh-=Z6G9>acv+ZnQ?6( zB$;t-AVRY6XRF9X{_|_(!f#mFi^!$LRH(6zT;gwPN9Hj=9PEHF>ud0ZK-;Cqpp2dn z=`lczgh-DuV&O-K9GO5!GWQq_{1u2CnJ6P9bL(SI1ZBAOF(&}w)(3Y3!gaI>YaQaGepOfpDD>k%7pOY1F{)fpDF5LNeDGt2310I%9PPgzF6E2!!j5IF6+c z*BLt?K)B9`+CaF@h|xf}&fuFsxXy^kK)B9`zd*Ro*jEC=bw?PO6ma>Rbl4}DYW^FIIHX))d zi_Sod6g!lCW#R(Zk`^&Ya&2r%i@8v8ZER1|ItyExW_^H&8C|Zc1axA_(TSYFi*e{U_ zUV<1Rtfs;H9;?Wu{0&BBrmLkt3l)ES77BX!0^LSvPA zj0VdLAk1ShwU8XCtJTs30LOL^#0U~NKozV`J;W}gG1w`6egUCh*(-~us5T-M@ z6d{&qqQ%rhwV20X(~oM&)fviw+X7*Bb`W1#a&43W7eE=NGjRbROlO*DfiRtErUk-u z)`+hxxiA7YNf?D?C$j zZLLNp`YyRPA>Q;h2+{m5G8>yoehYR zK$y-3@!ciYrdssfCD$fINM^o4@dssuZ&*bxD)VdPLcCJ;xwJaaHS5SF{-(yPXo-tJ zU~41y7y~gZ%!<4WbTV>}q38&NS>Hem3n!JV7BMU%_ZR~)EFJ;sPx6bSPeBYj09^BA}*#;TEdjMkB&01m7y8UlgG7@5Z)AsMyKJO&O-{bC*i zMCcQN z2(H_?YC{7?n7K!qEux4aMgr*G3tv_fbZUK_K+KB-f@g z6K){uNaGkMM1H$~-=m5zWK+=D(FeXHfLCAq*N6EDz zSwv)oYgVY3*|Km=h8XioSW}+ku2zBkn4Fupp$`njFIAtlC?|htxy?g2}fdj z(>OG6k3py-g!hETp@Dl0LLDJ8mg^Ae2w`51P)7*!7z8asn8(0*31J=s=Ou(&pS&C{ z%5)~5HduKKu?#q^ECa(6!aN29l@O*g=qMpfXOK@qn9iV@gfN{!ED2#cJCf%axW|Ar z62f#wk`MS0_ZSdDLb%Sf-ZyfOaTK$WT%BokpmtP->r6}ydqAx3P$!X8-Q>-6Pq(Ku8lI(7USAL;6K>gVtuE510&c?=Me%sfU< zx2}Ll>jNRl%wvEE$Lix`s3xHb^dnQ?6(B$;t- zJ)PA6;X2cp2N7g#(Fg|-O};)P_cGmTyYGOmp>LNd3r7=w&!n%j`S5OPRo##1K}P+H!y&3ooV#yxyOh-EIs!a97IzYt}~5ZN|I{21ECf7Hh^%Q zY4lQ_SyqO0W~(#Q5|X){Y4qx?JcdTE-pXTW^dcV-lT4!**K{~SlC3<3Mz3C;gg|H7 z#n&^gEpCkf;X2dk)ytC*swE_IooV#y8Q0c>2N1&TOruxNxHig=WX83DkYvWSaYIE& z=60qTRL{7!xWxj5>rA5;n?lSQG|Z-@r`MlyPl6?nNOAFs=;*lChD+`Xy#i z#4$B5BuJ(+N5dW@kD<2g2-3C+I+!oyERB z;*QiA*PT&@b8WHHkK1#s4DF;~>@h^le4J}zi=N7GokjF#t1~_BlTjJ2Gws%4>zqj@ z?nXaBY?*sBv?GDdbcTqp%(=Ekd}YqHagvEzoNMFEjSyyMoP`j=bjEoAAjdZET$^q?qYSgNPR#L=YvV*id@sqhvFH+P zMsjV6Hl(xM*H+>W5TUbGA|^Tf7mr-Z-*7R_(i1|Uw@!KtA+V!P zdJISj`4E2O%={HWIB35EM&Jm=4(Tx{LorwPOtu?!dKC4y~BLZr@ElhQYpt26XLd}YbCL6Rsc z=_J<%Li4;%a&1DWEy=YBpP)xi)IiT&R;=n-G#Cxi%prM{;dKNJGiB2@#T+&JGH~?Cd~i2sr()_z!l< z$Xg`WM%*9{h%*XShW0>lw!jeKW0Gs57DX=X)3Y*&KR`s>u!>w%=GVxDc%_^zg2rN1 zWfi%^-*BOq^#s$L9T3(N18IP5d8vUoEyCs&N6=d>_ZV?ngarmGLwn>}?lIy_N6COJ z_WnWq=}bqV5 zIOWlDj}hmXTJAC8+(*kj219}5Fpq(I62j~(&UA2bUD`*S>1esfV6&HMF*}Ph9WD15 zae|}e9wSb0lq}>XtBP|NTo_<&(X5UO0~{d@CD(?1=!6unLs%I)W6?^kjWTqif^%D5 zM#$kh6Fb1UEh~eY%s{xEX-8Zuxi%yVuajIG2>HEMa&1DWb;-4{D8yYPAW~=Chrp=Q zO0JFN9nET5$+dw{?9j^984wXWB-bW{Vu$3~gi!2|TpI{+Tb<tprC&}&4{QaM<$M9L@nME@+#A7c2g~y>rC(3 z%?Ko$UbvePNX8XIW)YeXO|RZfWoY&@y?-|&LJqe+&3>jg@uo85ucp`WW<-?XI@8Q% zdNFSOOs^AwSkai#r5j7n=s~D z*Z?J#p^>XIY-NORGX9(TH(nzk2T67PKej~y3O$i}6l4}E@yHVJdU}cC$gZOiV&wOBr_? z8A59jjpW*>MT}S@xi%p}4$~QrGpP*MnPw4<cKZ*n~y@Sym9#qR7p%f)Ij{>A*Y&2u3E$3PNQ_GV>U0=8|OQ zF(SVO5NUlNLbCK2AVM%cq)n-Wxpc?|C2Q@`Zu3~f=g#g}DY zkfN=FT%A!Fk}Owegb2yZ&f=U7uPme-3=XQr>@3dd@TP*75t8M|!~-=*#<2k_Lu>`9 zLpTD-I7r|KbjG#}M<5wT3mg%Wxy~Z8%aagXRuSV_uFilEf5GtslS4j_*BTt5*5&Gq zYEkQwYZF4P%heeW5f>!aCWItQu1$!L%yp)50l#9c)3|^?FoebhzCK5UWUe!f3s{?% zA<2?!qjkYlB-h3T8__SxwF#lt<-Rr$(J#5Ljq5bDlK^R!wnY2^B6PNjTvX=Q$OZ1K zytoFx!o0yb7p~^eECKhE(lI>|B0UCt7=437$}(805h6VXd|1?y9s@oM8zDBcS|XM) zkHIf^i89jq0MJ{wF|Q>gOY5TujYH-!Ku90vF<{6fhj|PTlEXX(oEftO4yjpN#LMuQ zogZ#<~gitRe z*CvE|A-OhI5yZ<_J~bdBwo0x|h^WPM7U!WXpBgS{5pQMr)NmzB%ruf~V~A!8&w1A4JeH3Y6RVrhIBvk&9aYHFBZ6a)%z5-LTfMid^DvAg9dwVh;YqAfR!O$iXp=hAz6-0+~I&lK+aeh z8mrjK=7^}pb*A+Zwqbc0(uaEtWuXJYb*6EM11naGdl&@KtW4o5H>A?$EO)M9oP zH|9YoSsCIT2t*talDW<_KjShID?>36q?035i*aq-aiLmlb*9{X$Awx}i=qjLDMy4H zt}`)fT&(0}s9$V#rrcQvd1YlN-r=zVM@TZ`+PF_ctuw9-glaLa4Mf!9IumQgF(8vn ztQpV8EQoS576*e2p>-CXHA#drf&h^^<1Q$93**|@@T6LdYXhM&jB6`*4?(z@WHBxn z*H&&C;)$j#BN#EZnixWi7^IvdWDUl(5pBpCjBAVd14P)_DsoZ3evMoxZ`$X=ak=$A z7sWEzk!1w|LNg@G3POk|!+S#CgJlIl8Crd?tRRGlGR*p77G+sMa7mcBn?3UwAm}a2 z3PNQ_4)Yiwq!05LT*-hPSym9#qCE(f6@(BWnOPs3c~pj3A6s{XFrCGH0$g&IUkHa} zR7QF^ZtYSpxX0iCj1U=3aL^(U<}rBWN@b*%=ZRc852xtRQ$CNnep=1pz|inPmlm!N~7fRuCXc8L2Z4 z3`8yIF*q;~lBJ#T23W{pI#Vt^gatV=VP?{hc?=Me!#oCtr?!~K03pjWj{!n$$$M&e ztSM~BxHd9>kPR8v20}JuTpI}4ka2Awq%-5%Kac#H{ z^_{KG@Q70&(#}B08jNcr7YJE{orC}(YcQ^jr=O%V^)ktK*E;U){*PhhQJBZuVxK`R(PECmSNm_%=xcL0D1{mCG-> zqlwFrnnp1VE@V}+0O`|Doj&KD*@&rTOsy} zQXC)|Y{XFp36}T_LUGxh?3V?lmL{b1;QyNc_4MPS6 zElGv}V8e#xJ0k$&T2f&GFs>yNCIG=g9#i0o2Sp&caw33aNKFBd45=y3m#B)=6ae&) zt0u^xa3U8?1W>cmrZ^CVJtP4}3HTVxaYg{_A?Yswnp`FO1wiwlB)GAQm#)=L2Nkfav}brg{m&TAEUh&ucld2se+jy$v< zX~liS-w^LC-U$Fff_Vkb$uO{(R{+3hl`ABuLm_~91rE{>0+?3-!0_c>0R{m;MlX~g z8O$p%WdLBdCI)BZUV+E)0GO>269F(=WA76Hvo$t4?N~Yv=tv<^u8IhN4DJ=!iv++l zrIT!yiUZxkCKX;BNE70K3jnhze)$Ig)0BQ;0Oz}`3J$AGSvZtA0tYj8EPWYT!PRb- zy^H|J;9fzGtyu0dlmIDXsmll;8C+9@M3%S=B@hx>-ZFe5%;YR>831wzxdtKtRT!@Y z00S{z3v<977_S8YcaZBJ$RKxMycPh-V4C7m7yxEddg8&dmBDwgvcMu++7x$v0kE%+ zAHcF>smf3Vv6^KnBLIrYWe)-9E#tKSAe8Z103?)Yifgt2n5MW>3xIun%DT!@l%W+I z39}4k1fUhhYjMd3G8nJL-5fZKJXoMT2?Cg((u$2GC&M_v_NtNbTHHh-p-fZ66aY+9 zEP?EVTxzz~xzH z4UA;aB$ikM00<0@Q7uAo4}+@69^e`VH7mVB{NfHvs)50eYY!}^1_4F@Qd9Ud0a8AV^nd#nnkbe2m4F$Z43QfUx?&M~jR{ZD%U zOjGP(17MnBV;2C^lr|1cSv16#!aYH%BE14v<){kN6ch;n(-eFMfV3&D9Y6--vjCte zTkYW89%Qi94gi>t@mT;6%2qo7NQShjj&4a{&&*;m03<_d3V^CeO?7l3f@K^-h#?=7 zM+F4H>Wt3|Ux0Z7`So>p%@2UvlmZRovnYYs#P}>aOI4Un=^+Cy z0m#olSxvD+!vW|a_jnPddc^2gQ-?#Z|4s zejQ$8S!ZNN`}ix~1uzW}#aVU?lt2_`$uS6U03cTn08oYd18|_8Qgrs9F4oeLRS+6&I?HK z00qLq49sNWwPuK7vWeL$5TwLmbbiQx(ekDkDB@fJkt zg;!4$j!i7rR0_{#?955TOb~6(qEoyg<(0%;L~97zo7k>WQ7l-@iNs8hFpi1wnjre^ zL+ns9SvYTo-A%05REo|S&B??R2vaGvH?4=v5Ehl@bYdn5r+R?6_8Q{9=7eIVQp8@( z8O2Nxy(l)P6f;3$3N*1`6GZRUG4C<$G3$|d#lKCZuxJOwZIAbjq?a-pl~UMngzZgy z*bE^6;i?;nw~Af#{jFn{xdNtbC3?|gW42qw{%%f^VS?xpCo(p$F5nItVh8sqJnO{7 zY|fLRRK%2kIA-Nhh+B?;c&`wsAj`C#Rw^PWsLhnn;~fzoB`TUK(hW#+ zx(qXfVQtQrAt3DJQNZOMg-tnZKbSLSD5Z#;0b<{T9)BYabB_Xq$<&-Q!(0)QrJj2f zN@1yIPMcvag;9fE$qwPg8D=*`3=YvlOLSC1h?L;F=F}NN7D5u~#XSn~1;N6cc1$US z9Rw=HY>&I22o~nVV@fGpB86V2HI@S5&8Ptovpsk)eMb|cR!WJGYfe69f^ahorMULk zzJ)WHR$Hc0loHdNe@uZ8m#HGNJ%$lk)x@q%rSOUD%qhss5SWWO2blt)5L7jBY!ig9 z1Blt4&PQQr6VEo40w(~(wa1nXiD#o3TnsD*xiQ!m!WjUSV%meUAn+j1g)D`Q5R61~ zGBVL3*2px^n^?CAqTjMKrz0~#v{`S?N2WkHXF(rL+}jL+_n0%1Ss--V#J?>N$zs|g zD$sB@ad1m1^wGq^Ef9=j;^7tu9WpU-3xsZ)xVQy^aZGI70zrEdA2&mw=z0?)w?I^p z*Q?(5Xi0}{d_wj>o2L=X&qrS?ipHEO|pg+VYh7)3NYv4~}jHdR(L;ZcBx(}2v z%TP7<4+;s3QZ@Gpg*e4!NF26Gv?@;wHcy|ffu^x0{!^t@gwb!ds~Yz33GVJs{ZRrl z)x^%5T2oKSbTF)0|_1eq`F4c3L_Z9DX&{$ApAlWBa)jY({KhXTeR6fCeD%ap{Az?wv zUt9Tx`TGY$wBksl3>FcNf9!@@)g~Y;!oQzZ)gUm$x0l)MF8;y(VLlQ5eyX+s5k2sU z!~9h(A|pa0@tOR)2ZZC(lXaz!Vhc$3mmX7qGc5^)S~x6FHP)z9jp0PFrRKL9;7c|4 z2?$o1`i141#bnsvg!li>6*Q_w0o}U6JA-}w!&MFZBl^N7D$l+lzt}O{4qU@55zl6{ zf3%c?@jqFrK?uy{6x7w<&kx3qO(>Ti$t2?M#`3GY3(P%P%{Q802Lom8~1fix9wWxLM05~e6 z&N(C`A~+<%UuAY4S69)~fQWFFpMO|DAGl&4f0McJH}|j*NV51kc68zE|2`72%ESr3 z`NQDZ41DDeQ!IKAKvBm!24e3<)&_z4Q*E`q$}PkcFT~I?2OzX>iK19&BX<1O)%2=X zuFX~MK4AzuVo+d@$kH%ge(L|!utxJYf9gvkFC;iH$};54LolS9@~P}_$HCGVmYRRI zk)x?G-ERjOJXJJi@mo2@&B+_#0kj#cQ#Dpwd`GQv_VxR`2y6a1|Wa8lDYi>LsOZQTVik2wc~g-0l#AF;+1 z5m8ZkAoeN#))IKFX}gA6!g17J10vOsPKFx#zjYr5Gi~>XHgF&8FEzl1O`Abf0f)Yh zf2n}&BXjag)c8M=Tcn&NzqBIKWJ_xzt;l!OTh9Xj>r_V^9H&qQwe@#x7!nkUIMg*D zFd!mIaqkv^h)(7pM`1Z!vhe>mx|q{bku;oj{mohZ*VLX9KKT&FQ(QMQjae{#FC@TF$k|Lx=hC-`l{--kjb`w1GS!f2o1J6Lb16 zQ3H$9KLvZec~%KmQS1%~2AvC1)dzi6SteVuie8bDv;fIkAJV>;A@su-_slcCcNCzlAI8Q<`%WBV3^d zCf+|#YPD903Vmsf510F8eQ!EBA~_EKHkp`d=fAHme?vRXnUbjm{O0Q4SdIo=v&2qp zi2a!f8%^=fk|!S0sn(2FYIAmWd6sz&#JbZ;|PfxDnF>FJbF`7e_b z?sDNKf_ZU(yRR&?YoF&zgWylU;W2wR_?$7Zzs-@YFPtLf-cw2JE?zi(BoqSllhFlVs~6s|&5 zORUB*qE2HSt6ga;+Aq>Ht(uNL(F(mW)coNL5sy&fF-j2s{~mCwbkx5 zrZp?rUQF-w%mqc3-5g7#mZaKpzuI2xBke2fiOBlui->cwNDLZ_y>J6FRweU%nUN=d zA|oRsamq7<4>wuR1-sK*)1Q=PI%q0GXLIZ0{`k$mifbI2hZS2NpZ<7r{oZwp_P5mE zt$(1x2aN&8*+*8dWgMaDI}bC|?au~H1Y9z{Z)U@3&k#Y~5Qx2UF9H+r{$$Y+xZKj~ zNKp0%eeswe$L(lC@OCQ<77AD;qCWcS?CuP9%L|xK%C=*FuIVuNAoc3F9{Pc+>%l-a z&V^BJ-ygQySK)KepIZjWXL<1WgtynX2FuQ`Fq-XEgj<_a(7fYlz~OGk8nqod?xA`I zNghKd9mW$JwAT0O7k1FJKe~lr@XuP^*9l-e-yiHcz_uUKWQLV>zsPVchlLWKI#`mR z+Mu@bQ?oYDet-GX6?VICF0ZaX-T``;X>rQF>KQiZbePZKOT8-Fuh_?*{?U5PusPVfm|7j} z5up2KP$Td#LH~zMD)7a#ewJ)M_Eoa|zz-M)6KUkuR=<7x#ha(_eLUO-Fi{=?8=~!c zekha0a1ERBo)lrYzQxY>{M|=8lQ?Aiz2_T1FWVaUc!=O@rI?>zm8e|^3 zC2q;wx1Pbp4u+b;h{c_j`}l+Z#Sk3WDug>O9i3s=xsG$pI7Nj@;oqnD(Uvd#z+d(& z5q_Y{?iU&SnBzxNi11^9AFV;~1Ff^4)bRsc?I&OSK(E+Oj`#t>_fsBzZ1H2LffX}U zV20Z4uMNL>Y8KeNhXsBh+upYVKTw;!TMvGqHhX^x{6KB?o)q|j+U$KO@B_8kdr#m8 zYP0v7zz@`B?=kV_DZaeFHGzMlHhV7}{6KB??h*Kb+U$Me@xw5UX#7BJ_RbLaf!gfd zAn*gV**ies2Wqo-eZUXYX76=_AE?dV-Qmqsd~EOMfPbSldk+WvKyCKUHTZ$r?A>bc z1GU-vGvJ4Ppsi2%f!geS81MtN*}E~|2WqqTOTZ7*X7A39AE?dVVE~go{yp@8C2F%j zz{ej@oBi3mFA4j%A<{Bq6z63IS#hKO&C}qV=t)DAdHg{2_l6YwK=FG=S^Plt_l6Gq zK=t>|vG{@N@9hovf$Hxq2XCGlWEcW)uR+|D{TML#&;x^{iYCBdZ6XZIkyXB-OgE^{9uQs3sx z`w)@&eefNJSSTgy^VZK|@A8@tl?p{5T*SlNcKp-;SlCQ8BS0h@nh>b}t^qJVAucZiBGvHO8UZE(dP^)(5Fn24FeWUhls&dW`6JIh?;So5dj@BZ zEXQtP0fa4hG<`p^j1!}S#MV*m>Hq;E&A2u@0x-v$yC4A)&HI4SE`;Uu?2L&_H&x;u zgwGWN)oty+4-vTz{kvZ)SDl73g5*aKk?pn|-&d>1ck^Dm50NMjqvA-l66x*O@4iwJ z?d^o?K1d{fAAIdTNaTGS-+f8EZw!>|!>8R-hAX27$b(i4S6L5`W>UPbl*l}S%^Zfw z5m_Sju*W_GlDKcm^nDde+=l^wq+*Htras?SO5#4ui$_XH+&^e|`8XeVc}RQtK41Xs zBZuB2iHkcz##O2>iSH=}$UbiReWgV1_W{xGgGBE4k7UI_f;&B-!{?rK@#~vsXJ1!M1I>T-&2Y&r3dlb-uOO9T<-S|ble9? z>_22V^1bw-Ud==NzCt4T`zJTDvW#KjSlQ zVBGs{0PLTeaye{jvd2XT5NVIg9o+gR_NG+^8SgrMU2mI{k98 zz7eSS(5?q2pPrilOYIePAJsOnbP=oIR+3IFk48YI%+9 zMHhQbg8*?TH*@Gg0t6)D{E_CM6WHrc7V(+BA6X9h&9Gpvd{O>LaenpMe^Vgf{#(^x~5?0yOX;%E+A40{qv%`SeR zIP{9Bm)a{D2)x1tM3%$!;w<2Jf+`eQj)Nalks8bHOEgxfT|4qd#p+?Vg{go{v;Ar_ zu&MvnZwU}-Mlh`dpf`VIBU{k;d=bR?OfA8^eFhnV;l-3?b9<-!iREVFZ~X`)*u%L5 zh%E2l)NBCEET;MqAP(lRY?=gfu?qUJ-XKBfMlLZX4Ela#IT zq3Jk(VtHJo>=_-q@=V{4BnK-K!ZzajoIhFD;>OX&fgNGh0{qBvXrcWRevJYfnehK6 zKqNV-n~*?s3ZLN)1;mktqU|k2Dj;&af2OC^$j#F{l>G#BC?T%1_EHmKgK-Iw<)l91 zz|VeDl_JYwAh<#cRfF;;mgD@0Mm1kl0z{hQ=G{tAt?FHEkU6Y^J`mtVUkgaKwAhcV zLKr2KKeC(#f^`eF;I~`%LxuJaK^*##TKN48c?66@!9@_Wk)Jak0CAP_D)CrzHU9ue zT@^C$&Zyn?9{>+orTk2L1Y{Y+u|J3i$Jbtjq4 z(&3I(N$`-3X2A+zC8!z059<#yguS*`NeLa-<}^O7N43A!$4nwkF>1Au)-GGq4s1VyMtVwroCN4uA*jsNDbJ07wdeJOgW?CI&#|xTHKN-Q&7R*KtchnZ6V5m@9sLWu)JM9*7U;ymj z8~1g`?Jw+C(4r0ufJ}k8bCCpTD2F|y%|)z7wtxe}=WYBR=6C?`Ob!CBK2YAM`&59kqbGL5IT|9 zh_{3-!oZL}p1xbJp0fhh0tzUAb!%Y|YH4)-+Xy12k!DWkpp`f`w;lj-2JQjkXwI{Xn&6WWY|M`Pp%eBRYe6B0 z+KeN)mpjhYi123<+1_BLKkp4VeEuOTax#BKMXk4l`=Wg)A-G={K)Cv~IF%z{l-U7n z-f2-R4}j(=+$JL@jF;GC0E-?Drd0@EzZL;=C?Peg`TUx0RXdUUxeth_4Qdlri=H{; zf5?*N{_+PvINa`7@LS}~10XCqh%F1>J#HmTBICa00oLOdwR0#T&hel@nEhHv&msQ< z%yKQ@XAdB(W-T1(0C;Q47q5>YS_IPrAnxMzQA&$%Is!&@r(=|F(N70JoKUeKDU~)^!6-lX z)NBL`joLW}Zc$Z-3ME4xb_l|v-D0i|fQP84$q1ILHQJim;s;-Tm_rHS zD68YN(4LSP06_uk95c1|WrqC05wGKE(jK1~@(0d3r$+5%njwEsFgmAF?b#ZcU;6E} zH*JRe(Jb1ra<&(520-XU9hb3nGJeIQzBZkb#?v0l845_l0Fl_0X{5cSGa$lT)bU|$ z5A6*3F%SnOxZ@++Ug0_9AC>sd`9^!9=a4^qA9IK}h->fn4Eci+-|=d0kNXVygHqmc zg={bX4Ecjn-tmHN&jNMwi}M+Tj)+rZ8OGvFt-UBT6fm}r_Xs{cX|D|p*<%;N8xzp_ zd7AL+WeQ;1cZ=Co=apppibl(h?|pm6Xei+UN1^SNqX7`+QkR*1o7w}AL;kvY*n>k= z1n&o3fLJlHsPq>!3$#xqB$|us_Y!7Y0z}oT%3u#-5FjnewFEd<5g;wfhk z9N`#2pQ()^$5o-WvrYn}u^TiA6WJp15FpW90Os>o0m;`ZZoyke;u4atR|VL+m{dX> z!vx@a8|@+2fwly|nqYQtDj|;J2r#>YJ<~;i$a4hP*3V3~1c*EnK=6>fy%GRlw};%Q zgvfLRIMeJQ+8&}+tz?!BRYKqBg%+}7p;{$B(^Dl_mFxu+0(6-Mal%=$J%p=DaN@*_ z?V*Mgz=>0UF4M+?0`!>nW>tVVrXz7?8k8mUn0BGXtbxPJab<`lxX=t}5BhD&4pHg9@8$g0>qU$%HXC!o;BJ-!%_w_0xQ5VrX}DQ(-LruX$d&Sw7s}i22gtl zxhu2)WTRIg&W*qdaExhHrEK&HCAj5VmC$3_W(^CsT!nV!MF~B%S%V#*+e6L@;C+d8 z)Q!i;9{|x)Y!0KHz4l2}icAxLCxJ~ee5Hd&n<}xPq7vemjsV+VD?l=QC4k8wO7K1V zL1@%DYJB#1X|0k+SW^J6k^)4gW0ib;;2TPK^*Kyr5#S{#KpfKvU_z@Z#W76)RYGDq z-sI@?R?jlFl8x}DNSh3*dnfm=cmQmjPXUtqR|NO~LO-a)dD!)Cl@uV(-c$mc`!{ZH z>6V}Xndz~WOa_aRNHS!mGhoVhX%XEw_N91P7bTcgmP+U`?L4SfinDiYB?l;>)0i$* zQbRPkfkijG-Xwq?KWYLA(3u318(0MR5ETQ+qn#d@4l?v60grR40KG{d8Ny4Ax3w63C{o=-p_2iSH0^k|``*76rhbzO9`v-Gz(*7g_-l)1gYfWGO%z z(*fW-@E!>755#5-0I!k)q%j=;UIOnQHdu)RaRJ~ZC_rL50*p9SrNndvs1nkc4rhKQ zgJL>4f+atHW18-- z4Q0v-D*(@NQDBEYMp0Fmhguqr7) z9MBP9t)vEY_6iHFWPlkvBW#j^EV-KkpgLbNkP$$1J~+pXJX8SQs-n)9yuu=&F)aX* z=>%}51t2mV0p9ueNDS|jb!2Ev^EBfvKMc`Yg7ClGB(Jatuo5I7P2KTclYW* zp&=$#^63hys0Ga6`G-$0QBm-Hjk_)W>agmOIHq9{8n5z0R zh+BNyO&-OMO$Vv&~ zTxy#xN2bV8Hl{`A%LX!MWmLQ+ATb>QXe9|qOb38>4K#Y~d~S3*OacMm#3?`;(*fWm z2p;^ogKh~5kj8W=!Jd*J84}Y0U@}NR8q)z_GMLerN=Rcm0K5b~?%QSqSp*nyqFJ+n ztO7(U`Ll$wSy6%#IvdDJ2}H3K>^Y_9Rb#?Lg-{5 zOR*7*Y2IaRed{?0T>(qjs;HGB(-GjRg91dR34p##B}Aqpz~?120s$h^5#Uu4fMg(x z053sQDc_R}x2j!*6GCH;J@(dEf&)|uk!b=b8RG06ZGXX zfcZr1AGRJ4{?M1)>N45{R;=A`;TRKIDFE78Gc%nGWK<<|@!B<#fh+=?X^wZYP4U7a zz^b%VfW&l^0j(qfiRl=P(1s|R;I`?iWW1s8t)u`^_f7yKP65)Gu2te4`__ZZ>)Kh>0}^FLYtS30>s%n0jx?2keDu2T4JY4Rq8SAeMte* zm<}b(tuHA+X4)hQBTh^L{>_oN5n%I@8q@BeF9Eg}RV8Gm#}XQGtn(!sSq$9fRT8sC zwvoA=bFT?ll@uT|ovWm_$0=Y~t&#*}rpFR|1>)e4+iW8XGPHS#7sYgKlBy((2-!v! zO6YCzvlCf}>*2%+6%KAOVfGFHCr$y%oyh=hz%uZwh;8jw9G^QiKT1f%Y?CrddlYls8+9n%WiZ{?%fs&0Z0$c{Mpkybq zP$lbpVg;I>VqX+HlZiA6A&kaAq){Eq@TrWJ6d*F$V;Np6Ugm(vY>xEH#S`G|wNT0~Z`6qm&gWyH~45f(#*5ZPP?Nh9aH z+TqYBQeOA5!e$Ocq?{0JGzTJ5UJ*-v{|tyoc}2`PdOZ-4avMWYa4koqoDfouNO?Ul zw7Y6dN21*KNc$mZ4(t+2iim{A*Ek%(ezMckWImggTFI`S>}NHYmoVOIW#gLfy~e&Pt_4m{vvsW^_>vD0ac-wtNkrltT74Q( z(0!?`l7TJ8Fz7OvqLC$q!TT<`yF-q|c~BUv42yclwMv{<1i!C?G7{%SpSEYnxr{i@ z>53rVbIg4h4 zo4X_L+salPHSfCBLaheA;z&ds=do74;z&f|Jk-ip9F9B&@e=11AE|}-Q$QAXQ6PnjC@xB1{aZ+;`P@J(GpG~jEF7$$atb5H5yXrQ(m+JUYppD@N|ggUqGM(7 zu`9|*lovT{`QS3*5T{y+g#hd9v09030p{Ezh$*HFu2te3^^PM}ZJV2O@i6i5)?Bo1 zGQU;C0%sspt7Ly8gkmt+-(naSTWqE{)#5S|=dSpU!(1)Q(`0{}`u&3uA=?Uzb(l#i zf-hT5r^#onmLcL00FgK^Wmp(hmOOD@5yF7efG!8}rMC3d!n!cb(L>%W4;kCjdMXj5i*PVUy!i*eM}jkbun2+89(uLyfdkR^|t$9Uy)%+ex&5z0uM2Q6cPeyb62oX0X2 zFpp|P;yjcw#hg1Ao5yK3!3D(3MlJhAViJw(d92kOx`9T-^*kYP$fpsJ^MtV0m9>hT zM})VoL`2RL!dh1%dYpS(!AwQHB%9zu>v~)9wH~x?k8?ZZ6Av{3(c|2EqAJ7Zv|%nu zl3P!dh#u$O6D6X@xs6(jut;s?djVA|M+h^9y?UG*gEPJy2Skr^ zW6+HCEJt^oLua4CjLV2F=T-(g#-mnU&e2OOjtP~KO>m(nTI-6#O0$KGlW1(*IrgEt zh#ut&6I@oRH_WY4Miz_F8|Fs&6c!{#9HU&S)X4&uBnKTD(WBf3twwYyN9$@tk8*2W ziO3eXK)H4HshZ%j1uh`0vzrn~t(z=xsjWWjC>@Q-P&GfHlwrAh8`aOh>& zV|p{Yl_3Hp0+Bc`XI)F7g3po@Ts=>li}SSBItMvw8GP{wwMsL4L5K$efJmHI1lD@u zBN^eU91D(PhB6Z8wG6Rt+FNXa^MVkuuwfsI#`bzbw-%m4x#fCA+6f`ch_n+zO{Cah zjm=eE6IWvMY?1c+2zz6Zr|5Oj+wL6zfnvItXmH z7wE%Wt2ER@t!$B8299FiLIJwZoa^PL`2f;i}}q;x$XIaIscqxzlBIIk#C34jU{-k8`&=3+wsps2y%0 z+#l`r2i+6Bmq)b2NoWh8{Hg%S}tj|g82B_eX}lkm8tOUhvd3zaf9 zM}U?)G9F5>gF#6~vcd&``MYR9& z4*;){1az3TD%q1m)JnH-z?2KwtX?Gv=rC+aD@`S!!?agP0y<24l{mH%JX1PMTVI+=Kxa&Ql@!2jiGl~Ok~rbER|RF)@G40_ zXH0vQB%s5zS4nv3n>D3hB?;(^X|Iw5beQ%k3FDnPW%sKj0Uf5jN)iy64i3dur4wsjc188N`kV)79~b6&UR*`O9q zSLWElC?k~Vn`0-BWw;!|Y&Fm3v`~hbFLW7^@mPk-p=Pw?)D{sgheTw~t;;k9T@H!p zaqe%9#Rv6sMPHShxx^-;|Rz|26$zJsWf zGVD=X>g_p5TP;JJ$FxxJJ<08~hRf)2ZgR*Gm7Us3tz_TL#4Y3kOqI1}ELT8T%=z|)OhIv5v0jDaXYfGEsNNFt& ziR?g9*UAtp;lMFR=jfa0MMiSr=&0%3P`t>S7PEqu<0>WNsewH&6< zuhis|L$`%md0UAiQS+JAW!SS{>ZpAV`82}YN|lk!X;E@-D~UMDITjb{?a81P%Wyd) zB2Dd~ty~U?ILf(1bU8N$#ktiSYMpLfXHX(~-GrNzjQQ1|e$Ma-bivc5B8GOPw&!OB(8B1}` z$IdB6!D|_I6`3VZjJpHqeR3qm3qoD;HQRouRYBOf8PzHo*pf>W)|-Ve1!&J zfn%PW+7bei>oOAOp;jijLM)Q0EtX-M0*#2nJR)pFErk2{B0IH(TG^wH3(*s8dmQ?1 zKzQp4w~|G6Y6}SS_fQ)g+ZyXKyscyzJ1oaEcZbzLi$Ln4lHt`N!S z78!IoB%;T;%OSRQi|o`EBzHL^qQ|-OArakiZhcoGdYro)V#B$}PHj~ViRf|ea!5pv zbLT@MdYs!BoQu1`R<`a}ju0mg3-^XOthGUMM~MB(0$N0;42*LN;9uwV1!U6*<3rs= zwLpa$VH@YUSfdu%sV&Ii2=r5~Rd1Y|31^O-EhD;|WAm>OUCyyvvOAqz#xc%SpcY%P zbz9lIYrY*+M%M^ue9Tn8>KftPAR`ey&aHKI89mO;K&BDBac%}Ojp%Xil8eJa^WxF1 z>vBj$mvfUtB6^&=914*=+Cp!4ImC|B=E);+NJMX(J0B9!U?H zheY%^cR3`Y$GOWP5k1ar7PadaGzOCqF0RftYNx_UeUUxdD(AxdxF}XQYq_Skd*79a zqnt~`QO+ge80X3;YT9O>bB#!xN55Uz8O6EPBE@#ACo(Iw7(juFl^TtSM!Aafwa6Ds zgNf4-B4o)T8{q=N{M}9Arf!vua1jBwA+=RoWFuTaEU-1#h)nq?`3z;uMZnnwrZC-B zbI73#$cyCA7WptUqedjkiyXREd6#T7+x3I2v^%mB6^&ATS-KZ zbMJ`~(c|3PN+FUFF7|eBD~afF?rkNEQ5H%_k3sKO64B$_+e#vOoO@eIM2~ZCD~afi za~ri1(c|3ON*((w(#_JyhqaYP^fnt#M`g(oKyewF^Nd)E zP0`Y4C@BY&K8?tnk7byGycBBUvTKI3t=bZ%+$zViYld@#2$HotYKBv{?Ut^tD#^`c zp%HnUkCL0YWGQQv$N7jb2AAUSV~O-QCkBM^A;Qj?kys;~4{=_y?3&@cbtR(5xf#{e zo#kcM4Ck#Y%gEz=l-yfaBJ$imB3yEDiOTML>$Y;qC8EcnBhiE#(N^PdK!bp43`n&`N^sE=h(UhA@r+d*9>R1QjwyU*$n4BIUM|m&~{6- zU#L|$+}kNePowQS&km(&WA*#aUN>rtt$~d z&ga&;64B$_TUR1_oO|mkL^i_(IjpVh!Fp<|Y=#R6Yb%ZDac*s;5ovA@WmsEjM2~Z8 zD~;%JZf&IznR6>~oJ*8r)H3_R1v$L$qJ_D2Gv~RCrMO4E%x1V!hF$QaGO`)2B1Bvb zizUz*QE)D?yY*Z~k8^X^vK!`%=yHyuExY>7h#u$Wz%o<1Pd38^$<2YqB4ThEne%at zvej85dgI(&kaVpw=VKYxOQzVbajkme+}yRy{7Q&yhYOM$A1XG{GTY$-!dh1&dYoJ9 zYDAB7Yh8`#ac-@v5xsHllEYTTZPnx4C6|aE=PtQK^f-6PC8EcyO$g_Rl1_ zJKr4kIDvC-D~afGZoNbzdYpS(Nko@(>m?G=4g=gWv#->f# zv8m-|jbx|Xh)BS>BhgmQ@v2}I8LN`AtEwd5x0G8|C0pFmAp`=(3Q4oyTLH)t61UJw z2XS#{5rMtM%97pQ0>T0%YeeKWzVT$&kESB%ggv)BwrNC|+lwwEQXCOJhYP2|Wp;ZD za=7FQk?m~(;gU;4TDJqjC6|aao&&-qS3AXYwKTqMVUn+eGrm237+cr6`(BR%=Ngg5 zvuivJVUlY^=6o!}B-e<{`G_#dRcQ8Qwzq{^x#Yra!Jhm*BDp}Uvb`;o;gU;4=6saH zC6|cI`G|1Ip$F6{%A$jHd=Jr|!#x&O|&FuxT7FS|cu1g+PwQvc_)(>@(yUKyw zf^UTDR~YwPtH^memqi&XvG231ZK17#zH4jkLTLcL9v|cg2wQ6jpds}ELlYFkUrEG5t2xWAYMj4UT^UAqI9OYahj&d#$M>&^>qnv|9mi*Ysdn+)N)vFSFir8(drsu<6I+-a;|Ds>~Mv1i8#u+IL%mN%tSfHvtQFJXW~?E zUF>ip!e<|>F4Rkk9d1PUii7<=BQoa!0Y238w`-jCX*qn}m1Shk$1+@UiO8If2$x)V z{H?Pc&iCHqZrfgnA<45HE+TyPQHad>SS#;|vW#P#>ssY;K9=Eh0MM7ZBYsh!a3vE8|?(>KNzhm9jN>(q*{h;8j%?v5hl4tWXeZ`Nxl@uu62IX zG^&V(fRf6R+gE#>Ys4|mHR2fO8gYzsjmSfMY%AkjxtFiICOG3!h+~{< z#IbR{5QdL+zIqyaiAgRI$2ixBW1MTmG0rvODCclWrQY5(!8zv=ag=k3ILf(19OYah zj&d%JCDxcN`r}+3GOV)+4m~5P3p2zc*5I)lreM?pdY-+3G2^SEcUnBA`9}%uz$ujaV9}%uzNkpF7M}(_a5|KDB`-qid5b9|d<`H3% zOGFyx0pXGh#HLu_Mmbz^s92Sc4f=tul}j$m$W!}RhAWmrz1kEDTtGl_rO0fGC)|i| z#Zs1WjC1ww<^~o4ExD_r;_PZuEO2A393gAf&xPq)| zRXpKFgsX{SpS?jp&~4=^gGA)zd@RElRN>q_Y+B-e-@=O(#E^v1bMj&`9@+qJ+sLij9i(4Vy&hEQ=+HrW#{%s!3~KFgcz30D!~ zoNv>$z8?pHoOB;we5uFL3+bC(=7qw$eE;UXU{xkPlwIdZEr zhE4K>i)EPP8gYzsiSRKr7$&Cfv({|$GkEl@#AB5k%*7)g5n<6oR^m?KCV9d|g!Ojy z#`Pw7!bODFO5A_gq*oXs!fT~^NixGlgw<+^nUpwBo^TOiwbF>lc|=&Pmg1CXlRV)f z!fK^LR&SCS&aC%w18JV}Bu6sCRfLM{vB9htI4@-^#as590=WRvh=}9(tl% z5XM6y^?=VNS>fEwGy8^nA`DkY+Cgi<|etpk=SCxxyj+*d=VYR zF!xukRT|_4p&WxY*#=h;!cx1*Zg3SLj!-w*4Xz@@0mH_-(Li{0ke!MM*P9&v4U9!T zRN#qCw!u||nijGfTtTcv7@`eq8{^W!04n#NE4K5&jS`em>4TALO*0nN}v1F5NaJ3Az!RgxIybOsr z#<>Lppi$ek!Fd@Hk;i%NR~9CO%IMnQybOtm6MOBuOK}x{)3w2Q84{5cxWb2u8NbO! zxPY)SG$JW*0b%`WfnLXL73cOSxtD>lz=&gUWVFmW+PlcxE#Vvw8=)e zfbcSerFN5zZ~@_ED1{*#;R?cDb)dG&M!1R)r=pvD9W@|K4qZl%b4SQBdYqf&bF7jq zM~`zaLn6}VJjmf?C__fRjv5eNhCpm#a?x|5mw|0{m1CQYaJ3AvI&ZTZTtIjkV&&W- zP1nlhKn=K7ac-~L(n4Ir*{1Ms_4bN5WY{JfTmejlcD2nWIGY|vbWHrwAaU?F1hZ?pN$y6`B2E+Os8GeBL_-e&i=46qo;)Joa*R%8%YP`26h zmH{(ye_@+^-`r?03cV6$n{6_@6@c9;XBi^X1uz%x_1on5Rsq7XV4JLN6(G*HwytLn zI<))Wj6yHO9%!3vZocIj0T!~0gia>63J_trx3Kz*(?9{J^W|+axD|js$i!8O(|834 zqtiB-+sejIj)!DzD*zSrbL;ctz(WBjJMlKz+KLRyP_s>!P77cvys@_F&S|OA3VolN z)%#md2C+%pCQr9gf(m=Rh5j;jjRH{qtlMN|D>ea1TzX}p0>oMDHrdz;U?DEkZIhE* z0a)xDYSv_7^IgC=;#BDMZ8ESGfE*9WzE%Nh=1bw7RO{;BLu=CP#;OQ zH9{!u&x0!*+N#t_J*d6)Y3zUq26WSt)fMb*vaMAU?+Sy3c&#KNj`E1`TB(U7+14t;f@5;)MmtMFV0xDb zpY|2!wuq^~3EN~_OJ$&SXKKfmY-Q%OM7*&@K}q*SWp-d5iQmAlCl=q({oDUFC* zv52r*DR1>{vaCf!o8Q=xY?~}=31PJoUX$BoS&Im-6;=x3FIm~##(N+a^jJ|etU!og&l+}o&DD2Hp6NBLNW zjld-%5UV6w)?yh}D{;gNM0b>1TVa1*Y6V1Zlw0dA_*F0mvbzWnne*HeCFjWkH!WqX z_!t>wWX^LLD>f40{nMnt)iPLV2O@J`%22CwHo?_0San4ine$x6l+T)gNOOBBV~VXM z^^$CYs|el%qm0CP(HZ0nmrZcK-MOciWD{ILSR{XzBXM34YK_V!xPlNyyV+?r!BqtM z5Y;N1;3`7Nk=)y+6(QwFoO@f3tt-x+Ax9eL1u<7zUpB#&)j*eFz4c&RDG2ep5NdUF zZpTc+t(!P6^VN(U0cNMrJVLEXZ?}g5sEllaD+qHxWJFre3xl$)l6za_T((s*!IdGn z;9zwSJW*b@2KH1Mi;;$TLD;j4j7XGM1cx+4trF!0F-NE2G7{wl0nL;EM-6bo2YGhd zH^4zxnyX%woZF(Y%M1n@k!JQ_`9EGkB@jJ~qwXZncluT{MEA^l@!Z z6*KY0*%w{_i%NWgxGchYGEG*w1o2XY8b3{DIf6_%HaRL5M?OIoV!fUsts}n4q9I4e z{z90Wrpfy)Rm@9~kfZD`_-qy8A07J=lB{#7V#bR-9Yq}`dBG)!%OW9h)=v;CWhoYl zX)@3;M2$+M9ih^mMP*qc`_`++Ex=p~5~j;A`Vajq_;iwEX0JCAPmcia?kR!59WnclM{+A7NrHq+4qM2sHTtx`o-~6t}VPVg^r(P(G zO%`ackC=<|tfnRp`ams1JS;k!X2V>mmGA=rA`WjVgS}~iNTa)!A>~NpyC9~*s65Sv zxrz`5#?~)-ZKVv1c;)^GA9z!m`&Bl~)hncYZatf3!(2r`6`{VH4RZw{?hT=gG|r20 zLz%#A4huc8Ae2FCnhkRmAq+v&Y?vzuWzcGU@4#S1h(niYHq2E7-xWh!CC)2CX)?($ zmq)ohj7|Jyvz#^bIE6~RCtKw*U@j~h?XvUGPQDb5C5RXmGdock=`H}%2U&(V!hIPT zOAvmkC?O8;3J?bf?LcObp#WA&MM(Cz0zZ>WC z0$3_F!EJ&Ep{;&n2}^caMhS6b7k~MM+72a4ocG+Z1nhjcm69dSTVMp(>p#@2>6&Q) z*h9Yzh^u!2EY$Winc*rxwo+ue0OowFU}o=;k4zVUTqBbat^(8&nQU+_(AcbUMs};| z&@~D`ggS)`aZFc$n)#9et^ky+*+x= z!L-^JdLdM5TgJw|R7#kOV`vy~jsS!i)=Uol5`;?Mdk%In|j4`bI$1tISKGC}J53h-COM3)D(1@0dJ}LinmUY^9NLE=4Fp zEfUKx9VCcZ(^kTkY9`sSy%NN&X-by9HO)#{N=Rfrm11-_CrnIoc}pOunQP$?GD|LR z31RD`hD7!=1iO$m_TbcEl3gu9%$%_nTj&;~LJ|W}R>Bm3&-QpRQC4DyH0xW}EVPh@ z9AUp#u{i3J^u~%JKiStRVuei?6V^ z9gT>iJ|fI|skSmLRNT-}62fYw#(c7`MTFN%A`iTLcY=bO(S5EuLC|(2?x`@G7abDjC)y zz^WtxaZL{ZuafZ2F;B^nxd8AgNkF7J0<20B(4Ecz9GOC3lTnJ%XvRiq5Atc}! z)e>-wYT-vPO9r(dgSV>!U|!TRSi4Fv8Y@(WUVRqA@ne=OYUTT4r#njqwUS?$u+4X% zTOU|0xqae@&fixDbTc=441J>H7nUpYpT004J7}EwV?mQn#TWOeL9yP>}qe$ZgwaJU$Cj+yOUPxRFt}( zS0}A(Z)_~Rt&n|vT4FQr!wt*-)(qv4gKIH%rU%!et!a~HJe)LB)TC8?(k>rO_7N=b z-&TR<{qh}_v;A-1CzS>n=b;BzWgqSxt8An_utJ?7|LDa zZ12>YItcbfL%)7_efIM2FBVOjJqkU*O*Ho3p=%s^kJo$VyBhr6&(Q6^-g9z&#&P!G z`ZT>0-`n?qrkvonFQxdeFD~|9@1S^RW?wHKq(~onx!X4jU*kOe;QGwnWcVFIg73Sj z8O`swa3 z7zxX18^y18cV`!8cV{nN+}_>n&fb6i+4Fz+^x^FM=iS}p?e3?`tFIpYpa1p0A5AVV zzIyb}%h#u`KYYFW%jJLl+s*F#zx~q>=YRY8e0%ZeA6|U+{L`~f??1f9*CwCdzq`79 z_UWrfXP8`e&+uGjP|C-4H;o}A83X0s>A@#OZ`tGlyLPp)qNQ~Sv9omact^P9^L zcbC^!6Z`Jj+v|^aUp;zc1s(p^D)=E3bangGEBo~P`uz$1a58;5KRJ9Ez~@MO_U7jL z;^X=5=EckNo9nk{cav9_w|AHC-tBHC>!+trmy<94`4SWAFSn1M+egOyW9j~#f8720 z%k|C0?Tc66FQ>CF-@dy(|9SF$_x}3k*U3-2tKH4n-Sy3v-@cigU*GKZfBx>}>&b_k z%lDUemw(;ee)(qj=LGV7_;@$D0av$oyPGc!Ly4_EhjRDzd46I|6q?NWFlkHreNFl4 z?8AYx)Rg!Gn({O^B@&-~jlKpUf4IJW_u`wIv-i8#XFq#0&YqvtzlYYdKfS)bxcu?g zS7&#-7noSqPfoW_X3HO@vuCH9XUqA&GfG?j+r%$GcS>KryUVEq*Y7TE^gVlbcJUrLJLHUApzVCPcGpCLu0a7&p+Cb`1aL{j~_2D zp1s|kP3J#eynXWH*=q4*aWS7h+03V>PfnL_*Sp#B6zcWH2Y<$fk?~bhy3HW=6Xmg9rFCvT!*H3$UlB-u7|YJAVCVe#XZYuS?|m@G1%D{tia_JH9m^N5o?**_Co2%|50{&; zU3q-+{l~ZezB|AB;{OA!^YO{ck9U8$zWE|*=#Nia0;J}B-s6*DJNm`{vu*I>lVJ=0 zMQ;oLufrbxUmrg{!7g%a_Vp>Ecu(QLgiYq-lOJr0^~JIM(c{sI`srr(2 zENL?wxz&934n|G0P+chvW?OrEr>3E`C~aewLi^vq8?akD3dm`2W}|#K0;}Jf;*7HJ zhLcZ!mNtD4_t4BKDW}1xNZ*@J&FiD&vj-J(8tkoRxK8y>@R8l@={6L+UZA5!|N@zewdof znYiRznA2%WTi|e`es6((TI;u%+f$MiyP`Hg7)U#MhOh1h|$Ts+-L z<*r2AuTCwXTP}A!UzqDiDq}rg)$s;5#kC!imwX#Lx-DtjI-kP-z++G3d<%C*FKPC^ zRQ}#(?T_@Pd>bq?Wem=+y4CdvX|p;mk>)2;<$HDuE|qQ^5U8CIzJw1nf8b?SY9UW=ws*56R zQ`;12Tf5Ga>w>4>3Lh(MP0br9iUZ`thN=4aQ^js@c*i9kCEj; zvQnLK8NfWPO4_2XN1HiTl`?*jW)Gxg?40{4@~s4RV^N+`xlq^YT)f$0$*XO;T|v7j zSZKC&jYqGV)_D?Xv?e3X?n>smY+)2E^}{oqWM4y?-SJ6Sq>E+*M9#nSgSwlb75u>UPN`&FuDH!eR!a`oVZu_FINsmHrHS%*Mk9r|&_J zC~a@~YM->UMbW7(t=j)AZBzE*(7SP?BhL|*R@YxktLrb)u!*hh4BK$oL%_I|!9D9-Y^S17&I%Tmo7zv2Z(HUPV96$JX*dC? z--G?RrolJ7te03Bp_b%1$I`ISl<(olhS~*opRz6>5)-<8ZNItguIl$zP-H2su5b7r z*8Tdu#T2{Zg2nc{oH<~HM{J(j1~?KaIv4VR^4bQAr3Dtx{Q$e?PP2dBhk%wWSltLq2SxDP=Wpti!g zUvzJjVb1WiezZSFK4^-yZ(vHKv4w4I(bvqu0H;Nz3~cy|j)Z*JOj5q3Uw}+w6)Hoq zQ2-02fv`C2t!d~6#3hD4aS8Q+gde^xGJ(uQZq!oJ@6e&CiSH_X0)&7Dsk1-nSXKCdu!M^8_4@$mz_GJ0& zNeRm~>|s5v?3pah9vrLr7NT5xalYnTmc6chk8~PKtL=w0OxpB4m=Gwfp6yr}(1Ix+ z=}5@8t$iFDU4QN?w+mKks*5d|wG12Tl;#0w%J=-jaY^&rP&KWN57f_IGPi7jH&cu$ zjdU(xX}lqARqQ2bKZsHKGt$;o&#|;R-y^N=?d^Nj?r3SWepnjwfisLUR*?crf0Y-< z*wprj~%e@?hUZ850JJfwlBlt(2MH4EN4`fk90jtD?VP9Z(VgHOB3I#zD$O#HcL;ddLr^|MZ45< zabU6Srgp|zIdO#Lm)ZbR35^fzNwV@teY!%FKGjt9BiMcvb(&h`AmY_c$hNHKB$ihF9X+k;ddP>95-JysPibPz;H;PC5*Qz-U6!!K(f2IeRGBBCVsc%o zAE?OzqXJ=}KvNpbk(|al4QwM+ztt)0Dl4)dz}d&cre=wE;|<)OTV2qjteQnd@h9whABE=5f2M>)rr$u6}(c8|mms!yze^QGFJHg^7py z5X>c1zcp-F^?Rr?^{X|ePs-<^k1}uTd9^8^E`H0r=Hqn+hxF8@*l@8NOP_4l#%Tk|>%^9%J29KaDDYcXc97oz%Yu(H#PU3P4$EsQv_$*ReZN->@A0zQ*CrI?YIqOo}>KkwMvj@{kJHs47eQ0Yj zlxx0vR%G9+zMPhZ$&;``SH|%XwLNSZTy8ycwKA&DyQSeUn#!%`*k)k#CznmQy}!Jt zRr{a0=a5c=H0ryyHLV;Wjn)NtBhk8mG+Gy6ZK8DnX|#vMtV=qLohH;VgPulx4Qb`< z)7k)sW2OC&MtZjS^s>Cw58l3q!9d?b$Ko`a$6c4AkMQ0e6 zM)Nb8isomek?w=hRqe{y*~1uAn{QzO;>O78HKs1jdt)4-mR12q; z=PRUD`!n)YpI+2=R_`EK+KO}?2z|k1VVUBK;4)^_Ie9+a^zewJ1j99k0=&Ki_f?`0Xb`ivnB7h{NXOvTjy zaKub)k25r?3;ZXk4PdGwK5*HM>Wm{u8mqWQMQw#GB8>$&E^)aaxV8(NLs>TRAwavp zBZROP(zMKL@Xh0P+3;Emb)4nc^8B*mJq}iL<{bAhNM6_lsGZHTxz-tW0csbBM)iYT zfZ7>b2$C1x#MBSgY=4H=I_sV5CD{*f1kP(W%r9I&I6;zZ_0A~zIP3x}2R6!tB_AMQ z`8)t=tP8*{K0JSsh0wf3Q0wf3Q0@P2TVv-zmUlQ`-nj!H49~SB_unSOs*$7<# zb^(?{=mK!%WI2Q`uo1cdE|?J?@RMdagf0NT2a*HM&cw%7=mO@ITKmBkj;fR(O*0s?9BbN}V#X%j2X~xE_dy!j4q>PvI}OrkeS-mk&YsLjL2_UtU&jYF zyR?VJ#*Fr`wpk-SU@EQs3JN~yQW$}>t|E>0uuybp4~sNff3e7uEz%Z#;sf^#>-fOJ zK)M+wURtM-M(Z>NHLcS&(CZuv_aWLZAdSWedO#WezIQ@DFm-+*fw^$k4A&T`-aL!Dm`+JWVO(VX&ac&x&Y zmgT@jn~H^sL~_6eKyttaKyttaKyu94F9SEPd91<&Kytv9iN-42>PZez$#P&LMY7fV zOg5m&&)3p;tisKl_(1iD52z|E2kXi+TxVxFaIK-%8LBABfo(VO0rza;1CFo62V9*= z4m2sr0riUHfImO+v8eaJ(WZ7uo8-VonbS!3hRKa|Z={h80BNLq<4z6f-bf?e8*V(L zdn1i>ZyV+;2OI#a958PXA21UVA27EQA9R-o?T4;Qd_avQKHz#pd@RWa65m5a3aayh zbZ?k3$qt7!(!H^>BHbHlqIh*|NoQ;D$x5AN&A_5BLF)9N6y@A8;EcJ}?y%AJ~==A8<7# zIp9uBd|;_1Ik4?!Icm%#@PYk4%Yn$d)CM(vj$!LvUrS^EKcw+`j$=-iW5eq?Oa&|l z`zzywp4W5i_el=8h!7uG@`w*?e~Ayc^m3Y5_o32oK6@;)^n1SN1&1B>H$$5E9vpe- zdo>mV_<)Nm=c{+fEuWafu-~Wt2WNJX#`(5<#*7z5L_VAIMi{G50^qHU%lUL<+7g)ya~nkmg0NN2kvxDu%ktmgZY3PGUvkPw*km z^5H4O-@`th%EdmO(*z$_k~yE?1K}q*pWp+RWI3PUgYWynx+eHo2tMHc%w@1$1-C>* zKEVh4`T2W-5BT#_KKS!=vH7>hidgPWC#aiF^x@k9{h! z+ZXxhjyCGI68UgQU*{(H?u#^$kL`}=RU#kXcYy~f=ffF2m5VcaPJ=R4>x>9uoQ6f7 z@(DiRp2+zG9|*d~`2-)hzQy?jABYXa`Pi=oJ5#dTB8_Z3@Wc`MYWz<0Q@Hro{Sngm zdx+&j`Cz8uGleK||!@^&B_xL_S{6am7>Ql)penZ?ujdQ6F>*d$ z&v7l4^WmZcVR31I(*z&pxL*37#dQ&BBA?&`E=r6Qe2BAmj3QC4-~(sxb^UqjNua5YV|F(&*d|$9{C~hcr6(gNrbo`yq|a{cw|!{49}1=YH6A z)43ng=-dwxT{&~G&=Xg@g<%6A&t)cusx!4KcvyQA1uvu?uRrw_rvvRI`=~w zo%-h}Q=-dydh;;6U zG&=XgF)^L{StvLfAEuDkaW4Gt5vhdE{VX(iwYAtab(VvD?%)MQwicw(xgUl%uPinKN&jn4gW@>F86;wB3A?Dd=px9;fN4{3Dnha?{Ri~3%Sm9Y}} z_#6?}{6)U{u8e(;;+9#Os2}?eAr=?s!`1bA_5{&HKK2`4K+Rz+`$FKxyvPR&bnT}W z(3jG%5^|czC-_(jK5!L}zXykJDud5;5n@5)!+{=Q+1DODNaW-D-RLfy55D$P29Bpi zn#c!lzgoW)`=;QeT;${XDGLPm;_ua1z;?@veNvGo>W5$gR6oIoIA_PsRD4hHfuM?9 zhTsFs7Ujb^yGRrH1Ruh$9-%f^7Z85+u%eT{0Mb}zuqfTN{oq&6X)wf5K8h^|>plAj zBTak{h70;$eY*?&0M{TnUyZwO`QUg>-{ZR|7Q>J7Z8-h`Oq(K~(7A9#z~AG04)E*| z`2-&bCBWYkd~5_Ca0g+m-~(H1&L{YQyAS6Rd~5_C2z$g>!3SKsDIf0EbDH1-W&zG8 z_`r2o&L{YQJ0|B7e87jE^9epURwp!N!3Rtk{5`=3eCRo!-~&GNoKNt95Jxp1mUH3* zX(Au<0rS1c$9&k6FI+D30q;bSkNJSBpUB62KrIpZm=7RDKIQ{&Mu>dO2UaqXkNJQG z!ujBSRdv=S0s?Rv$7?~@Bax5yh=?UB@^OqKT$dF2*w+*;l_DQnzs%1To0QY|jy8^V zL_Uh~ivSu3(oJPxa_2OW50eh%t8W0Hp|EGCd}vZm6MSIL&iMo%*t2s!!3Xy2oKNt9 zJv-+Ue4tp)C-{H~l=BHb;E2ch1Rrn)<9vb-3w~TVg2RtU6Zr%mFxxU#@PQD?oKNt9 zLvYR~_<*}3=M#M3bDU4`0aG*Q6MP_U9_JH$;6Q-$2|nnJ42%yLcnOOp;55Mp411hU z@Bu>-=M#Luz`^+hAJ~j@KEVgFaX!I^ZB%MM#r|BRiF|?&?9Ul1_`v?0^4a2D>jKd@ zP4Hn8G+_lF*q?Jg!3Xx|oKNt9XU;gE-~)7UKEVf$PB@?71N(E%C-}g#1)NXtfxGaO zPuzuvNkQZjeBk(vzbE*>{+#m(KJ1~^%C80A<}{H{@PTG#tl$GjhMZ6Ef&Dq>6MSHQ z&iMo%*q>8A;RlZh3uL=S8u_DJIE8BeLmJtJapi*iz3m!CwTr@|jr_flMmApy<;dk? z$69%_*ReQFTH-Xp2af$XpWp-U3~)Zd2cClEe1Z=={6+b; zd@sSwlblcRful;!C-~S3K5%ViB>OkJAJnI9}y^f)8AQ=6r$= z5&I6$$%*kz?nK@1s^z5 z=X`<>ai)$CQKEi=4?N7sWe7gZp|y?~xW90k;KQ622`l)(ldPOi@PQ{7DIc7pM4HGa z_%NrKT0c0Gh%}K;@BxPb#tJ@gXwCTqA2?d%e1Z?SeQ`d)2M(k-pWp)_TsWWL18+%E zJ{Z15n#hM&I@`^4$5$jA3S;YA_x;fcvwE)*h>Ci3yUPje|}EZ_UYo4_KU-~(Zp`Fny7 zdw7%N5PYlzAE*pt1s{0!n)3-h5b~Du2|iYW4?OEeSUd?L(nLPN2VD0VEBL?_W6no! z<=O2riixm7*!XH^K$^(M_i*j%KVxCgsa&p+lhQbLAZ|~Ke0cqlupHX~(IZ4YdQ%UY z1-~0)?^;qBxTh}CL_QpV)cWC`I;ROfa8I4{2|jR7o%0Dka8I4{2|h$zx|KaHOL7Q4 za8I4{2|jR7o%0Dka8I4`;hs9D2|nQ3&G`f$TfqnJsWVpafqUwlPw*k`sl$XP>L>WX z^%*Wh@PSbBoKNt9d+MA|@PT{kHJ_OnMViRReAtyA#xft65=B1dV{MNol|Bh`r>GzE z0Sm3j$9&+VT;yXu@RYd7$9%w(j(km#M!u#n(UPwz(kK=jLYi>4rXM4HIQ zZw0JH3_3)36W@a)ZRGr`OEh{5`=3 z?x|Bg+*226BA?&`SJ)UU_`p4N&L{Z5?Jv$J_<+Y5=M#Kbz@^&GGrXcwdFLr zcY-Hb>7EGE=$;5vJ-R1?G`c5ZM>f?D9%*z>1R;*-o(R(Do(Nnt$>$hpk=dm>1qdm^}*LH9(EM)yRJM)ySEYD#BqNTYiqh$K(fp~K?J`it?^KK zLv>7}yHFbT>>^F%gCV_s57Pmsu?+>$Sw%h=9_f4h1^{Lak&oYMgzL1(C-}ggoy!$` zV42~3f)DK3IiKJIdv?wz_<({+`Je!Dn&1OU2JvK91D{bB@Tzv6`@2ihLZa3C|XYd>pF@FTRL;9IFW-7DPV52lnjT zE`kpcTW&34%OQ=vhif9l2hv17<^y3NL_X#NLr~;nKH&Z$@-ZJ95nB%4QbG>q177PQ zAM=4JLgZsU;GQG$@%X@PM3GPMA!5tniVn96_UyH9AY!`6C-}ggow0%s5nFD9Jv(E0 zd?3Pt$S3%i3O+<^IZVakdx8%kb`5(LAw(9_+In|PfHMQ z*t1h#!=AnF7jWf_Y<)PXq;(Z(w65ZHPSV$qM*9Uk4MY11q|yFkgEMuK0|CTXjwS7T z5LK71JMH=l_5u%6o zwMe7=FVrF0CnJsa({M$keK*o5HZxva6Xo(73V6+s<+aPmwe9U)7B)R+=YQh^R$ni1}*8J}0{A0gc-?mp6Z z>~AVA9B(6kS6l+`xO=@ zkiR!2T?!+W^wNLv4?Eb9K(fR6^&CNTan85w_?Y z5oxsMA?zic4_YY9x&}bApmRT@@tDDj4kQPTw@3~|ujDdV55qJ`XP*}0gT^%C$Wi~p z5gL~PgJR_u`()~CFkMrfq25#9z#(Z!ySq8Ne7C##{IloJPrkqW+iqeHT)cSk`DeFx zXE%4BZgxL@{uzRmeg4^h{?EVvZ{K|WnZ1cIIh|a;{dWMqzS*7KU0z?kI=kC_@#>jH zAHbtxEBqh!wg2+??%%$FC^x(P zpREEPZcx{|%fIe!zkDP(mxiIl$0u)Yt}i~G?{2<$d46;K z_Uvx*3fV8;fgRN8^yzZ)uV4K0<<-UYFSq~t`0>f>>x;43&AABmggIvY(=gnXiaUc{@2;l)no9y!w28~`{xL{hz`1^_08E&JA8h3^51WF z|Lx-)T5)%K@=s`>+er(~IQi~mNJokdAEdnA-JM;W-JMMk9t)ilDfS&eeBWu154)?E z=hk2TY%h&?mOuTEf0kh<<0G#)eqg>Yjd-^^53n#U;o+K^zBHIi&%3+HTlAc(uO9uM|MkBgO)f9Kdi2lB*Qc*Pe7*b2 z<$wL#&F=fZ{nHQUfBX4-d-3QWUVQfa)3ZV&6S`i&5~^qeoWI z;eV}yA3{M_w@KofNO{p4DZ@Z{{M1q2&$XUMO#qWl1ET2rL zPo}FMrqgHB^)oCXp$;umfe(Xb_`uOh?B^%X`}c16i}ORffB1Ow&PU3{`N{6x?mZ@* zTeST&xBbQWGhgBEUYvdS@b2>5*HP=N&rilb1!<9ZXA}C+GujyP{_*nNT}~Z3&bvz+ z%Fo`NUH$acqfbvRc0Znde0TTg#j!P^b;Wm=`HL+z7vvSKb$X6^o|{&1eN(<*J^B0H z|IDdlO<%-y`B)|LH@p&DpjG2yoPlzo|FK5<|3HU1)+~Y>(E#lWf1iow_3J~Ims8FA z_qoSo%6R?y*{kdGk2WN}ef8qw$IFXnZ?|XD`HvTGpZs{XT0B`?%%@Lq;&b}sboq9@ zn<0o9P8NI?$k;G4+IPQ&MrrEe@ZIz2=IwlaK6`SuJl{Q8Y~P+f!KI3eC#M%UM?F71 zn{UsT!*>Jr=)BNc4=W^A*^|REn>$wEzhE2w`Dgg&ej~p( zu{2wn+s~$-e})5sDGsi-@eIU`Fo(b4xGLEFV8+-?#=jw|$!HUFgUk3gJWMtIjqQ7j z)$HWE>ua-w>-*6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?0123456799:3L0123456789:;<==647656<77:1>?89=74193456789:;<=>?012345678>L;<=>?0123456789:;>00:2<56789:;<=>?01274>6789:;<=>?01234567899N<==J0123456789:;<=>?0123416?998;8=?01234567892;8=<8F10305>789:;<=>?0123456799;;==?>1304G7668=:0<=>?0123456789:;<5>7095E4=6?81:;<=>?0123456789:;<5:62@3@6D2>81:;8=5?0123456789:;<=>?802142@588:;4=>?0123456789:;<=6>C3C7=5>6K;K;4=6;0:23456789:;<=>?012;5F4F<0:3<5>70123456789:;<=>?7G234567?O:;<=>?7G63?56789:;<=>?0123416588:;4=:?2133456789:;<=>?0122<4>789:;<=>?0123416<89:;<=>?01234567<9<;9<:N052B546789:;<=>?0123457D:;>:8<96486:6D7D<91;<=>?0123456789;;==??16D3557799;;<=>?012345678?99>?<=23016745::O?<6>?0123456789:;=>?0123456789:;<59J082:7@2?<19M<5:?;123456789:;<=>?8123<5>7>9;9=??>E123456789:;<=>>01:30547998;8=6>0528456789:;<=>?012;4=6789:3<5>7092;456789:;<=>?0123456789:;<=>?012305=789:;<=>?012345>7092;4=6>4307517789:;<=>?012341739;8:8=6>4307517382:;<=>?0123456781:3<5>71B3@4=6?81:;<=>?01234567?O>:>??;09376726?O>;7=>?0123456789:;O<>>0021446688:;O=>?0123456789:>01:302C789:;<9>40123456789:;<=??092741638=:?<5??0123456789:;<=>;0933557799;;<5>;4193456789:;<=>?00224466892;8=<;16D3456789:;<=>?02G751739>O?<9>=00G74>6789:;<=>?0123572>:=;984<;136:616789:;<=>?0123456789:;<=>?01233C2739:;<=>?0123456609>;8=:?2163052609:;<=>?01234567892;4=6?0123<5>70=:0<=>?0123456789;H<5>7092;5=6781:;<=>?0123456789>98?:=43411F27<9>;8=5?0123456789:;<?1B010426<0>:8<<=1B23456789:;<=>?1B012632<0?>:><=1B63?56789:;<=>?0122G7439=;?J97;93C2G56789:;<=>?0124B1739=;?0123457D:;>:8<9I4062677D89:;<=>?0123456?89:3<5=?4161075D<91;<=>?0123456788I9M97;96;75175:8I;<=>?0123456788I9M97;95D75175:8I?<6>?0123456789:;=I<=446:0426<889=N>?0123456789:;8=:?41633@26<8>:;H:?;123456789:;<=>>E33741638=:?E123456789:;<=>8E3315774M;;9=??8E528456789:;<=>?013F6426;8=:?203F456789:;<=>?01630527<9=38=:?415E05=789:;<=>?012346031=3?597;1123456789:;<=>?012345>6<;8?=9?;1123456382:;<=>?01234567;H>=8?:=4361456789:;<=>?012345678J;9==??115@557789>;7=>?0123456789:8O=?0123456789:8I9?;1530A1739=;8I9>40123456789:;<==L052741638=:?<9=L0123456789:;<=>?0021416?998;8=>?4193456789:;<=>?02A147658;:9=02A3456789:;<=>?06D74766892;8=6789:;<=>?01237F27<9=N8?=L0123456789:;<=>?01237D21<;>98;=N0321472739:;<=>?01234564K=8;==??26A74160N9:;<=>?01234567:98?01234567899H8?>L31617F6789:;<=>?0123456789>;8=:?41411F6789:;8=5?0123456789:;<>M;25274144K9:;<=>?0123456789:;<8M925076342K=:?<9>;0:23456789:;<=>?3B610725<;9H<=>?0123456789:;<=>?43610725>;?H<=>?0163?56789:;<=>?0120@143::O;>>M?0123456789:;<=>?0123456789:;<=:?80:2<16<89:;<=>?0123455B81:3<5>7092;4=5B89:;<=>?01234526<8>:8<9I40620426<91;<=>?012345678:O;4=6?81:3<7>6092;<=>?012345678:O?=;?:15;711539:O?<6>?0123456789:;?H:>00225@6688>:?H>?0123456789:;;K:?41035F6588>:?H:?;123456789:;<=>;150701>0M=;?=9?8E528456789:;<=>?011F0426<8>:8<:>4062456789:;<=>?012;4=6?81:3<5>7095E05=789:;<=>?012341638=:?<9>;052742@789:;<=>?012342@39=;?=9?;153752@382:;<=>?01234567<8>:8<:>486:1004<8:;<=>?01234567?O>;8=:?41630527<9>;7=>?0123456789:?=9?;1534B1739;8:O=>?0123456789::I?>;04G61033L;;:I9>40123456789:;<=:>43672=37<1>?8?:>0123456789:;<==7452741638=:?<9?I4193456789:;<=>?053570331=3?=9?;1533456789:;<=>?01:3<426<;89>9?;15374>6789:;<=>?01230723>1?;85:;4163056789:;<=?L232147658;:990121452739:;<=>?012345631=3?597;9643456789:;<=>?01234566K92;4=6?81:3<5>60=:0<=>?0123456789=<=9?;7G620426:;;H<=>?0123456789:;8=8?516;416588:;8=5?0123456789:;<:K=132142658;:9>?012345678;>98?:;0:23456789:;<=>?7G23456789:;<=>?0123456789:;<=>?012;4=6?<08J=N>70163?56789:;<=>?0124B57799;;==??1133556789:;<=>?0124B5>7092;4=6?81:3<16<89:;<=>?0123451A<9>;8=974163051A89:;<=>?0123451D:;8:><<>2002671D<91;<=>?012345678>L?=9?;15D7=1?31>L;<=>?012345678>L?597;95D751739>L?<6>?0123456789:;;K:>62760<32>:>:;K>?0123456789:;;09337@6381;;>=:?;123456789:;<=>8F5;7=1?01=;?=9?8F123456789:;<=>8F53751701=3?5978F528456789:;<=?0123456789:;<=>?013;5=6789;3=5>?012305=789:;<=>?210366G3>=8?>98;052740E1:=8?>;<:C123456382:;<=>?011@0765;H>=8?:=4361456789:;<=>?01234567<;8?=5?72561456789>;7=>?012346E3:988M98;250726G789:;<=>?0123456789::<<>>0024G466888;O>5>.137?47=AGZ^X7okdsc?55<768>0=<4FNQWW>dbczk6:<7>115920?OIX\^1MIJ]A=30>586<2;?6D@_UU8B@ATE4891<3??;08JJUSS2~oj0=4?>0285?OIX\^1{hl30;2=57=4:3CE\XZ5DHC?74<76880??4FNQWW>AOE4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87l0?~9?0`lecb2?<$>:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<25>>=AGZ^X7jfn`>4>5869221EC^ZT;fjjg:0294:?665OTVSQQ0184>58?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI51OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOWI5:5;6JFP@>2:2=CAYK7>374DHRB86<76>1OE]O33?58@LVE494<7IG_B=3=3>BNXK69245KIQ@?7?69?2NB\O2<>79GKD:76>1OCL2>0?58@JG;984<7IAN<00=3>BHI5;82:5KO@>20;169GKD:607=0HBO318<5?AIF484<7IAN<32=3>BHI58:2:5KO@>16;1>08;EMB8729?2NDM1<:>69GKD:5>7=0HBO326<4?AIF4;25;6J@A=0::3=CGH692:5KO@>04;?69GKD:497<0HBO33?48@JG;<7<0HBO35?48@JG;>7<0HBO37?48@JG;07<0HBO39?48@JD;87=0HBL311<4?AIE48;5;6J@B=31:2=CGK6:?394DN@?51803MEI0<;17:FLF9716>1OCO2>7?58@JD;914<7IAM<0;=2>BHJ5;5;6J@B=03:2=CGK69=394DN@?67803MEI0?=17:FLF9436>1OCO2=5?58@JD;:?4<7IAM<35=3>BHJ5832:5KOC>1=;0394DN@?758>3MEI0>?50?58@JD;;84=7IAM<2<5?AIE4=4=7IAM<4<5?AIE4?4=7IAM<6<5?AIE414=7IAM<8<4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>29FJD511BBDZ__154?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQKfbfx]i}fooa8Ilhhz_oydaa2:L27>H69:1E=?=4N010?K73;2D:9>5A1718J4143G;3?6@>939M66=I:990B??<;O057>H5?:1E>5=4N3;0?K57;2D8=>5A3318J65a3GHTNX]_IO]SVJVT?2DNXZA]K39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3[KFN<8MWD30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF04=R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U?]/pbi+t({:kTecg{.scn+wgji2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRL>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ773QUHC_KPIODL[IOT\?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46c9b`atf48:1<374aefqe977611jhi|n<0<;?dbczh69255ndepb868?3hno~l2;>99b`atf4<437ljkr`>5:==flmxj0:07;`fgvd:?611jhi|n<8<;?dbczk";%55ndepa,4/>3hno~o&>0(:8eabuj!8"46okds`+7,>gcl{h#;$64aefqf->.02kohl'9(`8eabuj5;;6=0>0:`ooZkbeVmnbRx=_706?gjlWdofSjka_w0\2)&Dg{olic.6.3,30lYnx;8<7obd_lgn[bciW8T:Rlck^ofiZabfV|9S;Qwsu]271=d2&|cha!aarali7)dg{olic!{mq-\gb)edbUfi`Qheo]u6Z0)fne?7nbda59`hnd03mhbxh|}7:fjjd.7!>1oeco'1(58`lhf ;#<7igaa)1*3>bnfh"?%:5kioc+1,1$94dhla,6/03mcen%:&7:fjjg.2!>1oecl'6(58`lhe >#27igab=594;>&8:flqqg/9 20hb{{a)0*<>bh}}k#?$64dnwwe-2.02ndyyo'5(:8`jssi!<"46j`uuc+3,>18:flqqg;9720hb{{a=0=<>bh}}k7?364dnwwe92902ndyyo35?:8`jssi5<5m6j`uuc?3?6902ndyyo37?:8`jssj!:"46j`uu`+5,>bh}}h#9$64dnwwf-0.02ndyyl'7(:8`jssj5:5m6j`uu`?3?6902ooek4>33:`b2<6k:?9wc?n8;38j4g>2=1/=l851`28yV1d2;::69=5120;gc1=9j9>>6]>678141<728994nh8:0a017=T?j09<94?:0115k1bf94?7=9rY4550jl<66<60v(<6k:0ag?!7f<3;hi6T>a4814eb28in6pg>ab83>!7?=3;i:6`>8583?>o6j=0;6)?75;3a2>h60=0:76g>b283>!7?=3;i:6`>8581?>o6j;0;6)?75;3a2>h60=0876g>b083>!7?=3;i:6`>8587?>o6j90;6)?75;3a2>h60=0>76g>ag83>!7?=3;i:6`>8585?>o6il0;6)?75;3a2>h60=0<76g>ae83>!7?=3;i:6`>858;?>o6ik0;6)?75;3a2>h60=0276g>a`83>!7?=3;i:6`>858b?>i6mm0;6)?75;3e1>h60=0;76a>eb83>!7?=3;m96`>8582?>i6mk0;6)?75;3e1>h60=0976a>e`83>!7?=3;m96`>8580?>i6m00;6)?75;3e1>h60=0?76a>e983>!7?=3;m96`>8586?>i6m>0;6)?75;3e1>h60=0=76a>e783>!7?=3;m96`>8584?>o6l80;66l>9083>4<729qC=4>4$0:g>4?63f;3>7>5;|`25g<7280;6=uG1828 4>c28;i7b?>a;29?xd003:18?4<:04xL4?73S=26<>t4987=?232=?19:4:8;76>00==>0>47:7:5;901<3=3?>6885}%3;`?7dn2.:m94>cd9'52`=91;0e?>=:188m7642900e?>7:188m76>2900e?>::188m7612900e?>n:18'5=3=:9h0b<6;:198m76d290/=5;521f8j4>32910e32810e32:10e:18'5=3=9k<0b<6;:598m4d7290/=5;51c48j4>32<10e32>10e32010e32910e32;10e32=10e32?10e32110e32h10c?>8:188k4cc290/=5;51g78j4>32910c32;10c290/=5;51g78j4>32=10c32?10c32910c32;10c32=10c32?10c32910e290/=5;51ea8j4>32;10e32=10e32?10e32910e32;10e:18'5=3=9l?0b<6;:298m4c7290/=5;51d78j4>32=10e32?10e5<7s-;3h7?>b:J2e4=O90:0c50z&28d83>gg=83:p(<6k:0:5?M7f92B:5=5U788`10=<>0?m7:m:5d915<293?969m54e867?332td"61o0:5i5+19;95>"6:=08<6*>2480e>"6:?08h6*>26803>"6:10=7)?=9;;8 44f211/=?l5189'57e=9h1/=?j51b9'57c=j2.:>k4l;%304?763-;8=7?m;%306?5b3-;8?7=l;%300?`<,89>6>64$015>a=#9:=1?6*>39827>"6;00<7)?m52b9'56b=:m1/=>k5279'56`=9=1/=9>5239'517=;o1/=9<55:&206;%37f?7?3-;?o7?k;%37`?4b3-;?i7<7??;%365?433-;>>7<<;%367?5>3-;>87:7<:;%363?253-;>47?8;%36=?523-;>m7=9;%36f?4>3-;>o7:?;%36`?403-;>i7<>;%36b?473-;=<7:>;%355?753-;=>7?9;%357?723-;=87?4$046>4=#98i1>6*>1e81?!76m380(<4=;%3;3?103-;9>7<4$000>7=#90o1=4j4$0:b>4=n?h0;66g8b;29?l7603:17d?>9;29?l7?j3:17d?7c;29?l3b290/=5;55e9m5=2=821b9n4?:%3;1?3c3g;387?4;h7a>5<#91?19i5a19696>=n=h0;6)?75;7g?k7?<3907d88:18'5=3==m1e=5:54:9j23<72-;397;k;o3;0?3<3`<>6=4+19791a=i91>1:65f6583>!7?=3?o7c?74;58?l04290/=5;55e9m5=2=021b:?4?:%3;1?3c3g;38774;h42>5<#91?19i5a1969e>=n>90;6)?75;7g?k7?<3h07d;i:18'5=3==m1e=5:5c:9j1<<72-;397;k;o3;0?b<3`1<65f6c83>!7?=35<#91?1:n5a19690>=n?<0;6)?75;4`?k7?<3?07d9;:18'5=3=>j1e=5:56:9j36<72-;3978l;o3;0?1<3`=96=4+19792f=i91>1465f7083>!7?=35<#91?1:n5a1969g>=n>10;6)?75;4`?k7?<3n07d76:18'5=3=111e=5:50:9j=2<72-;39777;o3;0?7<3`3>6=4+1979===i91>1>65f9583>!7?=3337c?74;18?l?4290/=5;5999m5=2=<21b5?4?:%3;1???3g;387;4;h;2>5<#91?1555a19692>=n190;6)?75;;;?k7?<3=07d6i:18'5=3=111e=5:58:9j<`<72-;39777;o3;0??<3`2o6=4+1979===i91>1m65f8b83>!7?=3337c?74;`8?l>f290/=5;5999m5=2=k21b444?:%3;1???3g;387j4;h:;>5<#91?1555a1969a>=n0>0;6)?75;;;?k7?<3l07d69:18'5=3=111e=5:51198m=3=83.:48468:l2<1<6921b494?:%3;1???3g;387?=;:k;7?6=,82>6464n0:7>45<3`296=4+1979===i91>1=954i9394?"60<0246`>85821>=ni90;6)?75;;;?k7?<3;=76g6f;29 4>22020b<6;:058?l?b290/=5;5999m5=2=9110e4j50;&2<0<>02d:494>9:9j=f<72-;39777;o3;0?7f32c2n7>5$0:6><>5<#91?1555a19695f=848:<>h60=0:h65f8c83>!7?=3337c?74;3f?>o?83:1(<6::8:8j4>328l07dln:18'5=3=j01e=5:50:9jf=<72-;397l6;o3;0?7<3`h=6=4+1979f<=i91>1>65fb483>!7?=3h27c?74;18?ld3290/=5;5b89m5=2=<21bn>4?:%3;1?d>3g;387;4;h`1>5<#91?1n45a19692>=nj80;6)?75;`:?k7?<3=07dl?:18'5=3=j01e=5:58:9jec<72-;397l6;o3;0??<3`kn6=4+1979f<=i91>1m65fae83>!7?=3h27c?74;`8?lge290/=5;5b89m5=2=k21bml4?:%3;1?d>3g;387j4;hc:>5<#91?1n45a1969a>=ni10;6)?75;`:?k7?<3l07do8:18'5=3=j01e=5:51198md0=83.:484m9:l2<1<6921bm84?:%3;1?d>3g;387?=;:kb0?6=,82>6o74n0:7>45<3`k86=4+1979f<=i91>1=954i`094?"60<0i56`>85821>=nk80;6)?75;`:?k7?<3;=76gl0;29 4>22k30b<6;:058?lda290/=5;5b89m5=2=9110eok50;&2<09:9jfa<72-;397l6;o3;0?7f32cio7>5$0:6>g?5<#91?1n45a19695f=848a=>h60=0:h65fab83>!7?=3h27c?74;3f?>of93:1(<6::c;8j4>328l07dm9:18'5=3=k<1e=5:50:9jg1<72-;397m:;o3;0?7<3`i86=4+1979g0=i91>1>65fc383>!7?=3i>7c?74;18?lee290/=5;5c`9m5=2=821bo44?:%3;1?ef3g;387?4;ha;>5<#91?1ol5a19696>=nk>0;6)?75;ab?k7?<3907d?67;29 4>2283=7c?74;28?l7>=3:1(<6::0;5?k7?<3;07d?64;29 4>2283=7c?74;08?l7>;3:1(<6::0;5?k7?<3907d?6c;29 4>2283i7c?74;28?l7>i3:1(<6::0;a?k7?<3;07d?69;29 4>2283i7c?74;08?l7>03:1(<6::0;a?k7?<3907bk::18'5=3=m=1e=5:50:9la6<72-;397k;;o3;0?7<3fo:6=4+1979a1=i91>1>65`e183>!7?=3o?7c?74;18?jba290/=5;5e59m5=2=<21dhh4?:%3;1?c33g;387;4;nfg>5<#91?1i95a19692>=hlj0;6)?75;g7?k7?<3=07bjm:18'5=3=m=1e=5:58:9l`d<72-;397k;;o3;0??<3fn26=4+1979a1=i91>1m65`d983>!7?=3o?7c?74;`8?jb1290/=5;5e59m5=2=k21dh84?:%3;1?c33g;387j4;nf7>5<#91?1i95a1969a>=hl:0;6)?75;g7?k7?<3l07bj=:18'5=3=m=1e=5:51198ka7=83.:484j4:l2<1<6921dh=4?:%3;1?c33g;387?=;:m`b?6=,82>6h:4n0:7>45<3fin6=4+1979a1=i91>1=954obf94?"60<0n86`>85821>=hmj0;6)?75;g7?k7?<3;=76ajb;29 4>22l>0b<6;:058?jcf290/=5;5e59m5=2=9110ch750;&2<09:9la=<72-;397k;;o3;0?7f32en;7>5$0:6>`25<#91?1i95a19695f=848f0>h60=0:h65`d683>!7?=3o?7c?74;3f?>idk3:1(<6::d68j4>328l07b??6;29 4>228:>7c?74;28?j77<3:1(<6::026?k7?<3;07b??2;29 4>228:>7c?74;08?j7793:1(<6::026?k7?<3907b??0;29 4>228:>7c?74;68?j`a290/=5;51178j4>32<10ckk50;&2<0<68<1e=5:56:9lba<72-;397??5:l2<1<032emo7>5$0:6>4623g;38764;nda>5<#91?1==;4n0:7><=848240=i91>1m65`f883>!7?=3;;96`>858a?>ia?3:1(<6::026?k7?<3i07bh9:18'5=3=99?0b<6;:e98kc3=83.:484>049m5=2=m21dj94?:%3;1?77=2d:494i;:me7?6=,82>6<>:;o3;0?7732em>7>5$0:6>4623g;387?>;:me5?6=,82>6<>:;o3;0?7532em<7>5$0:6>4623g;387?<;:mfb?6=,82>6<>:;o3;0?7332eni7>5$0:6>4623g;387?:;:m24a<72-;397??5:l2<1<6>21d==m50;&2<0<68<1e=5:51698k46e290/=5;51178j4>328207b??a;29 4>228:>7c?74;3:?>i6800;6)?75;331>h60=0:m65`11:94?"60<0:<85a19695g=6<>:;o3;0?7c32em47>5$0:6>4623g;387?j;:mf`?6=,82>6<>:;o3;0?7a32e:=?4?:%3;1?7692d:494?;:m255<72-;397?>1:l2<1<632e:1:l2<1<432e:=:4?:%3;1?76>2d:494?;:m250<72-;397?>6:l2<1<632e:=94?:%3;1?76>2d:494=;:m256<72-;397?>6:l2<1<432wx=kh50;4e[7c;2T9<:5Q1gg8Z4`c3W;mo6P>fc9]5cg2d<582n6<6m;<3;a?7?k27:4h490:?2<`<2n27:4h4:9:?2<`<1l27:4h49b:?2<`<1i27:4h499:?2<`<0>27:4h485:?2<`<0<27:4h483:?2<`<0:27:4h481:?2<`<0827:4h49f:?2<`<1m27:4h498:?2<`<>127:4h467:?2<`<>=27:4h464:?2<`<>;27:4h462:?2<`<>927:4h460:?2<`27:4h475:?2<`m27:4h46d:?2<`<>k27:4h46b:?2<`<>i27:4h466:?2<`27:4h4m5:?2<`27:4h4l4:?2<`16=5k5187894>b283?70?7e;3:7>;60l0:5n5219g9502wx=i?50;0xZ4b634;3i79n;|q2b3<72;qU=hj4=0:f>`g52z\2af=:91o1i45rs0d0>5<5sW;nn63>8d8f<>{t9o81<7f083>7}Y9l301<6j:d48yv7a83:1>vP>e99>5=c=m;1v;60l0>n6s|1cg94?4|V8h970?7e;7b?xu6jm0;6?uQ1c3894>b2?=0q~?mc;296~X6j916=5k5679~w4de2909wS?nf:?2<`<1=2wx=oo50;0xZ4gb34;3i78;;|q2f<<72;qU=lj4=0:f>3552z\2eg=:91o1:?5rs0`6>5<5sW;jm63>8d855>{t9?k1<7=t=0c0>4>534;3i7?>8:?2<`<6901vq~?k1;296~X6l816;54>d09'5=`=9>>0q~?m7;296~X6ij16;54>ab9'5=`=9?h0q~?l0;296~X6j=16;54>b59'5=`=9?i0q~?mf;296~X6j:16;54>b29'5=`=9?n0q~?me;296~X6j;16;54>b39'5=`=9?o0q~?md;296~X6j816;54>b09'5=`=9?l0q~?mc;296~X6j916;54>b19'5=`=9>:0q~?mb;296~X6io16;54>ag9'5=`=9>;0q~?ma;296~X6il16;54>ad9'5=`=9>80q~?m9;296~X6im16;54>ae9'5=`=9>90q~?m8;296~X6ik16;54>ac9'5=`=9>?0q~?m5;296~X6ih16;54>a`9'5=`=9><0q~?i6;296~X6mm16;54>ee9'5=`=9>=0q~?i4;296~X6mj16;54>eb9'5=`=9>20q~?i3;296~X6mk16;54>ec9'5=`=9>30q~?i2;296~X6mh16;54>e`9'5=`=9>k0q~?i1;296~X6m016;54>e89'5=`=9>h0q~?i0;296~X6m116;54>e99'5=`=9>i0q~?jf;296~X6m>16;54>e69'5=`=9>n0q~?je;296~X6m?16;54>e79'5=`=9>o0qp`>3c83>7}O90:0qc?82we=>k50;0xL4?73td:?k4?:3yK5<652zJ2=5=zf8>:6=4={I3:4>{i9=81<7vF>919~j4222909wE?60:m510=838pD<7?;|l202<72;qC=4>4}o375<5sA;2<6sa15c94?4|@83;7p`>4c83>7}O90:0qc?;c;296~N6191vb<:k:181M7>82we=9k50;0xL4?73td:>84?:0yK5<651zJ2=5=zutwKLNu>648g60b?80lvLMLt0|BCT~{GH \ No newline at end of file diff --git a/coregen/blk_mem_gen_v2_6.vhd b/coregen/blk_mem_gen_v2_6.vhd new file mode 100755 index 0000000..635d718 --- /dev/null +++ b/coregen/blk_mem_gen_v2_6.vhd @@ -0,0 +1,118 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file blk_mem_gen_v2_6.vhd when simulating +-- the core, blk_mem_gen_v2_6. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY blk_mem_gen_v2_6 IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END blk_mem_gen_v2_6; + +ARCHITECTURE blk_mem_gen_v2_6_a OF blk_mem_gen_v2_6 IS +-- synthesis translate_off +component wrapped_blk_mem_gen_v2_6 + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_blk_mem_gen_v2_6 use entity XilinxCoreLib.blk_mem_gen_v2_6(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "blk_mem_gen_v2_6.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 1, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 0, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 0); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_blk_mem_gen_v2_6 + port map ( + clka => clka, + addra => addra, + douta => douta); +-- synthesis translate_on + +END blk_mem_gen_v2_6_a; + diff --git a/coregen/blk_mem_gen_v2_6.vho b/coregen/blk_mem_gen_v2_6.vho new file mode 100755 index 0000000..89f84c0 --- /dev/null +++ b/coregen/blk_mem_gen_v2_6.vho @@ -0,0 +1,56 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component blk_mem_gen_v2_6 + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : blk_mem_gen_v2_6 + port map ( + clka => clka, + addra => addra, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file blk_mem_gen_v2_6.vhd when simulating +-- the core, blk_mem_gen_v2_6. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/blk_mem_gen_v2_6.xco b/coregen/blk_mem_gen_v2_6.xco new file mode 100755 index 0000000..37241eb --- /dev/null +++ b/coregen/blk_mem_gen_v2_6.xco @@ -0,0 +1,78 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Sat Jan 03 11:55:39 2009 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 2.6 +# END Select +# BEGIN Parameters +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file=C:/vhdl/nascom2_t80/Naschr-1.coe +CSET collision_warnings=ALL +CSET component_name=blk_mem_gen_v2_6 +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Always_Enabled +CSET enable_b=Always_Enabled +CSET fill_remaining_memory_locations=false +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET single_bit_ecc=false +CSET use_byte_write_enable=false +CSET use_ramb16bwer_reset_behavior=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_ssra_pin=false +CSET use_ssrb_pin=false +CSET write_depth_a=2048 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: 686b742a + diff --git a/coregen/blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj b/coregen/blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj new file mode 100755 index 0000000..b43c7f4 --- /dev/null +++ b/coregen/blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj @@ -0,0 +1,24 @@ +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst_comp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_defaults.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_getinit_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_min_area_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_bindec.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_mux.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_width.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_generic_cstr.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_input_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_output_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_top.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1.vhd" diff --git a/coregen/blk_mem_gen_v2_6_flist.txt b/coregen/blk_mem_gen_v2_6_flist.txt new file mode 100755 index 0000000..c827e10 --- /dev/null +++ b/coregen/blk_mem_gen_v2_6_flist.txt @@ -0,0 +1,9 @@ +# Output products list for +blk_mem_gen_v2_6.mif +blk_mem_gen_v2_6.ngc +blk_mem_gen_v2_6.vhd +blk_mem_gen_v2_6.vho +blk_mem_gen_v2_6.xco +blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj +blk_mem_gen_v2_6_flist.txt +blk_mem_gen_v2_6_xmdf.tcl diff --git a/coregen/blk_mem_gen_v2_6_readme.txt b/coregen/blk_mem_gen_v2_6_readme.txt new file mode 100755 index 0000000..7f4c4ff --- /dev/null +++ b/coregen/blk_mem_gen_v2_6_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'blk_mem_gen_v2_6' in directory +C:\vhdl\nascom2_t80\coregen\: + +blk_mem_gen_v2_6.mif: + Memory Initialization File which is automatically generated by the + CORE Generator System for some modules when a simulation flow is + specified. A MIF data file is used to support HDL functional + simulation of modules which use arrays of values. + +blk_mem_gen_v2_6.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +blk_mem_gen_v2_6.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +blk_mem_gen_v2_6.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +blk_mem_gen_v2_6.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj: + Please see the core data sheet. + +blk_mem_gen_v2_6_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +blk_mem_gen_v2_6_readme.txt: + Text file indicating the files generated and how they are used. + +blk_mem_gen_v2_6_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/blk_mem_gen_v2_6_xmdf.tcl b/coregen/blk_mem_gen_v2_6_xmdf.tcl new file mode 100755 index 0000000..270bde4 --- /dev/null +++ b/coregen/blk_mem_gen_v2_6_xmdf.tcl @@ -0,0 +1,72 @@ +# The package naming convention is _xmdf +package provide blk_mem_gen_v2_6_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::blk_mem_gen_v2_6_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::blk_mem_gen_v2_6_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name blk_mem_gen_v2_6 +} +# ::blk_mem_gen_v2_6_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::blk_mem_gen_v2_6_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6_blk_mem_gen_v2_6_xst_1_vhdl.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module blk_mem_gen_v2_6 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/coregen/charrom.mif b/coregen/charrom.mif new file mode 100755 index 0000000..b51fac1 --- /dev/null +++ b/coregen/charrom.mif @@ -0,0 +1,2048 @@ +01111111 +01000001 +01000001 +01000001 +01000001 +01000001 +01000001 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00100000 +00010000 +00001000 +00000100 +00111110 +00010000 +00001000 +00000100 +00000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +01100011 +01010101 +01001001 +01010101 +01100011 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000010 +00000100 +01001000 +01010000 +01100000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01000001 +01111111 +00010100 +00010100 +01110111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00100000 +01111100 +00100010 +00010001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00000100 +00000010 +01111111 +00000010 +00000100 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +01001001 +00101010 +00011100 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00101010 +00011100 +00001000 +01001001 +00101010 +00011100 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00010000 +00100000 +01111111 +00100000 +00010000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01100011 +01010101 +01001001 +01010101 +01100011 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01001001 +01000001 +01000001 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +01000001 +01000001 +01111111 +01000001 +01000001 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00101010 +01001001 +01001001 +01001111 +01000001 +01000001 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01001111 +01001001 +01001001 +00101010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01111001 +01001001 +01001001 +00101010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00101010 +01001001 +01001001 +01111001 +01000001 +01000001 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010001 +00001010 +00000100 +01001010 +01010001 +01100000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +00100010 +00100010 +00100010 +00100010 +00100010 +00100010 +00100010 +01100011 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +01111111 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +00100010 +00010100 +00001000 +00010100 +00100010 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +00011100 +00011100 +00001000 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +01000010 +01000010 +01000000 +00110000 +00001000 +00001000 +00000000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01111111 +01000001 +01000001 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01001001 +01001001 +01001001 +01111001 +01000001 +01000001 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +01000001 +01000001 +01111001 +01001001 +01001001 +01001001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +01000001 +01000001 +01001111 +01001001 +01001001 +01001001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01001001 +01001001 +01001001 +01001111 +01000001 +01000001 +01000001 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +00001000 +00001000 +00000000 +00000000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00100100 +00100100 +00100100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +00100000 +00100000 +01110000 +00100000 +00100000 +00100001 +01111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00111111 +01001000 +01001000 +00111110 +00001001 +00001001 +01111110 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00100000 +01010001 +00100010 +00000100 +00001000 +00010000 +00100010 +01000101 +00000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111000 +01000100 +01000100 +00101000 +00010000 +00101001 +01000110 +01000110 +00111001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001100 +00001100 +00001000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000100 +00001000 +00010000 +00010000 +00010000 +00010000 +00010000 +00001000 +00000100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00001000 +00000100 +00000100 +00000100 +00000100 +00000100 +00001000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +01001001 +00101010 +00011100 +00101010 +01001001 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +01111111 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00010000 +00100000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000010 +00000100 +00001000 +00010000 +00100000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +01000011 +01000101 +01001001 +01010001 +01100001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00011000 +00101000 +00001000 +00001000 +00001000 +00001000 +00001000 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +00000001 +00000010 +00011100 +00100000 +01000000 +01000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +00000001 +00000001 +00011110 +00000001 +00000001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000010 +00000110 +00001010 +00010010 +00100010 +01000010 +01111111 +00000010 +00000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000000 +01000000 +01111100 +00000010 +00000001 +00000001 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011110 +00100000 +01000000 +01000000 +01111110 +01000001 +01000001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000001 +00000010 +00000100 +00001000 +00010000 +00010000 +00010000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +01000001 +01000001 +00111110 +01000001 +01000001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +01000001 +01000001 +00111111 +00000001 +00000001 +00000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00000000 +00000000 +00011000 +00011000 +00010000 +00100000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000100 +00001000 +00010000 +00100000 +01000000 +00100000 +00010000 +00001000 +00000100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +00000000 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00001000 +00000100 +00000010 +00000001 +00000010 +00000100 +00001000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011110 +00100001 +00100001 +00000001 +00000110 +00001000 +00001000 +00000000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011110 +00100001 +01001101 +01010101 +01010101 +01011110 +01000000 +00100000 +00011110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01111111 +01000001 +01000001 +01000001 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +00100001 +00100001 +00100001 +00111110 +00100001 +00100001 +00100001 +01111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011110 +00100001 +01000000 +01000000 +01000000 +01000000 +01000000 +00100001 +00011110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111100 +00100010 +00100001 +00100001 +00100001 +00100001 +00100001 +00100010 +01111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000000 +01000000 +01000000 +01111000 +01000000 +01000000 +01000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +01000000 +01000000 +01000000 +01111000 +01000000 +01000000 +01000000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011110 +00100001 +01000000 +01000000 +01000000 +01001111 +01000001 +00100001 +00011110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +01000001 +01000001 +01111111 +01000001 +01000001 +01000001 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011111 +00000100 +00000100 +00000100 +00000100 +00000100 +00000100 +01000100 +00111000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000010 +01000100 +01001000 +01010000 +01101000 +01000100 +01000010 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01100011 +01010101 +01001001 +01001001 +01000001 +01000001 +01000001 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01100001 +01010001 +01001001 +01000101 +01000011 +01000001 +01000001 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01000001 +01000001 +01000001 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +01000001 +01000001 +01000001 +01111110 +01000000 +01000000 +01000000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011100 +00100010 +01000001 +01000001 +01000001 +01001001 +01000101 +00100010 +00011101 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +01000001 +01000001 +01000001 +01111110 +01001000 +01000100 +01000010 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111110 +01000001 +01000000 +01000000 +00111110 +00000001 +00000001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +01000001 +01000001 +01000001 +01000001 +01000001 +01000001 +00111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +01000001 +00100010 +00100010 +00010100 +00010100 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +01000001 +01000001 +01001001 +01001001 +01010101 +01100011 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +00100010 +00010100 +00001000 +00010100 +00100010 +01000001 +01000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +00100010 +00010100 +00001000 +00001000 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00000001 +00000010 +00000100 +00001000 +00010000 +00100000 +01000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00100000 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000000 +00100000 +00010000 +00001000 +00000100 +00000010 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +00000100 +00000100 +00000100 +00000100 +00000100 +00000100 +00000100 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00011100 +00101010 +01001001 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00011000 +00001000 +00000100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +00000010 +00111110 +01000010 +01000010 +00111101 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000000 +01000000 +01000000 +01011100 +01100010 +01000010 +01000010 +01100010 +01011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +01000010 +01000000 +01000000 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000010 +00000010 +00000010 +00111010 +01000110 +01000010 +01000010 +01000110 +00111010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +01000010 +01111110 +01000000 +01000000 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001100 +00010010 +00010000 +00010000 +01111100 +00010000 +00010000 +00010000 +00010000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111010 +01000110 +01000010 +01000010 +01000110 +00111010 +00000010 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +01000000 +01000000 +01000000 +01011100 +01100010 +01000010 +01000010 +01000010 +01000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00000000 +00011000 +00001000 +00001000 +00001000 +00001000 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000010 +00000000 +00000110 +00000010 +00000010 +00000010 +00000010 +00000010 +00000010 +00100010 +00011100 +00000000 +00000000 +00000000 +00000000 +01000000 +01000000 +01000000 +01000100 +01001000 +01010000 +01101000 +01000100 +01000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00001000 +00011100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01110110 +01001001 +01001001 +01001001 +01001001 +01001001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01011100 +01100010 +01000010 +01000010 +01000010 +01000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +01000010 +01000010 +01000010 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01011100 +01100010 +01000010 +01000010 +01100010 +01011100 +01000000 +01000000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111010 +01000110 +01000010 +01000010 +01000110 +00111010 +00000010 +00000010 +00000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01011100 +01100010 +01000000 +01000000 +01000000 +01000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00111100 +01000010 +00110000 +00001100 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00010000 +00010000 +01111100 +00010000 +00010000 +00010000 +00010010 +00001100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000010 +01000010 +01000010 +01000010 +01000110 +00111010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01000001 +01000001 +00100010 +00010100 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000001 +01001001 +01001001 +01001001 +01001001 +00110110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000010 +00100100 +00011000 +00011000 +00100100 +01000010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01000010 +01000010 +01000010 +01000010 +01000110 +00111010 +00000010 +01000010 +00111100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111110 +00000100 +00001000 +00010000 +00100000 +01111110 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001100 +00010000 +00010000 +00010000 +00100000 +00010000 +00010000 +00010000 +00001100 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00001000 +00001000 +00001000 +00000000 +00000000 +00001000 +00001000 +00001000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00011000 +00000100 +00000100 +00000100 +00000010 +00000100 +00000100 +00000100 +00011000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +01111111 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00100100 +01001001 +00010010 +00100100 +01001001 +00010010 +00100100 +01001001 +00010010 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/coregen/charrom.ngc b/coregen/charrom.ngc new file mode 100755 index 0000000..3b2f5e3 --- /dev/null +++ b/coregen/charrom.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$32f41<,[o}e~g`n;"2*447&;:%>-*6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>40123456789:;<=>?0123456799:3L0123456789:;<==647656<77:1>?89=74193456789:;<=>?012345678>L;<=>?0123456789:;>00:2<56789:;<=>?01274>6789:;<=>?01234567899N<==J0123456789:;<=>?0123416?998;8=?01234567892;8=<8F10305>789:;<=>?0123456799;;==?>1304G7668=:0<=>?0123456789:;<5>7095E4=6?81:;<=>?0123456789:;<5:62@3@6D2>81:;8=5?0123456789:;<=>?802142@588:;4=>?0123456789:;<=6>C3C7=5>6K;K;4=6;0:23456789:;<=>?012;5F4F<0:3<5>70123456789:;<=>?7G234567?O:;<=>?7G63?56789:;<=>?0123416588:;4=:?2133456789:;<=>?0122<4>789:;<=>?0123416<89:;<=>?01234567<9<;9<:N052B546789:;<=>?0123457D:;>:8<96486:6D7D<91;<=>?0123456789;;==??16D3557799;;<=>?012345678?99>?<=23016745::O?<6>?0123456789:;=>?0123456789:;<59J082:7@2?<19M<5:?;123456789:;<=>?8123<5>7>9;9=??>E123456789:;<=>>01:30547998;8=6>0528456789:;<=>?012;4=6789:3<5>7092;456789:;<=>?0123456789:;<=>?012305=789:;<=>?012345>7092;4=6>4307517789:;<=>?012341739;8:8=6>4307517382:;<=>?0123456781:3<5>71B3@4=6?81:;<=>?01234567?O>:>??;09376726?O>;7=>?0123456789:;O<>>0021446688:;O=>?0123456789:>01:302C789:;<9>40123456789:;<=??092741638=:?<5??0123456789:;<=>;0933557799;;<5>;4193456789:;<=>?00224466892;8=<;16D3456789:;<=>?02G751739>O?<9>=00G74>6789:;<=>?0123572>:=;984<;136:616789:;<=>?0123456789:;<=>?01233C2739:;<=>?0123456609>;8=:?2163052609:;<=>?01234567892;4=6?0123<5>70=:0<=>?0123456789;H<5>7092;5=6781:;<=>?0123456789>98?:=43411F27<9>;8=5?0123456789:;<?1B010426<0>:8<<=1B23456789:;<=>?1B012632<0?>:><=1B63?56789:;<=>?0122G7439=;?J97;93C2G56789:;<=>?0124B1739=;?0123457D:;>:8<9I4062677D89:;<=>?0123456?89:3<5=?4161075D<91;<=>?0123456788I9M97;96;75175:8I;<=>?0123456788I9M97;95D75175:8I?<6>?0123456789:;=I<=446:0426<889=N>?0123456789:;8=:?41633@26<8>:;H:?;123456789:;<=>>E33741638=:?E123456789:;<=>8E3315774M;;9=??8E528456789:;<=>?013F6426;8=:?203F456789:;<=>?01630527<9=38=:?415E05=789:;<=>?012346031=3?597;1123456789:;<=>?012345>6<;8?=9?;1123456382:;<=>?01234567;H>=8?:=4361456789:;<=>?012345678J;9==??115@557789>;7=>?0123456789:8O=?0123456789:8I9?;1530A1739=;8I9>40123456789:;<==L052741638=:?<9=L0123456789:;<=>?0021416?998;8=>?4193456789:;<=>?02A147658;:9=02A3456789:;<=>?06D74766892;8=6789:;<=>?01237F27<9=N8?=L0123456789:;<=>?01237D21<;>98;=N0321472739:;<=>?01234564K=8;==??26A74160N9:;<=>?01234567:98?01234567899H8?>L31617F6789:;<=>?0123456789>;8=:?41411F6789:;8=5?0123456789:;<>M;25274144K9:;<=>?0123456789:;<8M925076342K=:?<9>;0:23456789:;<=>?3B610725<;9H<=>?0123456789:;<=>?43610725>;?H<=>?0163?56789:;<=>?0120@143::O;>>M?0123456789:;<=>?0123456789:;<=:?80:2<16<89:;<=>?0123455B81:3<5>7092;4=5B89:;<=>?01234526<8>:8<9I40620426<91;<=>?012345678:O;4=6?81:3<7>6092;<=>?012345678:O?=;?:15;711539:O?<6>?0123456789:;?H:>00225@6688>:?H>?0123456789:;;K:?41035F6588>:?H:?;123456789:;<=>;150701>0M=;?=9?8E528456789:;<=>?011F0426<8>:8<:>4062456789:;<=>?012;4=6?81:3<5>7095E05=789:;<=>?012341638=:?<9>;052742@789:;<=>?012342@39=;?=9?;153752@382:;<=>?01234567<8>:8<:>486:1004<8:;<=>?01234567?O>;8=:?41630527<9>;7=>?0123456789:?=9?;1534B1739;8:O=>?0123456789::I?>;04G61033L;;:I9>40123456789:;<=:>43672=37<1>?8?:>0123456789:;<==7452741638=:?<9?I4193456789:;<=>?053570331=3?=9?;1533456789:;<=>?01:3<426<;89>9?;15374>6789:;<=>?01230723>1?;85:;4163056789:;<=?L232147658;:990121452739:;<=>?012345631=3?597;9643456789:;<=>?01234566K92;4=6?81:3<5>60=:0<=>?0123456789=<=9?;7G620426:;;H<=>?0123456789:;8=8?516;416588:;8=5?0123456789:;<:K=132142658;:9>?012345678;>98?:;0:23456789:;<=>?7G23456789:;<=>?0123456789:;<=>?012;4=6?<08J=N>70163?56789:;<=>?0124B57799;;==??1133556789:;<=>?0124B5>7092;4=6?81:3<16<89:;<=>?0123451A<9>;8=974163051A89:;<=>?0123451D:;8:><<>2002671D<91;<=>?012345678>L?=9?;15D7=1?31>L;<=>?012345678>L?597;95D751739>L?<6>?0123456789:;;K:>62760<32>:>:;K>?0123456789:;;09337@6381;;>=:?;123456789:;<=>8F5;7=1?01=;?=9?8F123456789:;<=>8F53751701=3?5978F528456789:;<=?0123456789:;<=>?013;5=6789;3=5>?012305=789:;<=>?210366G3>=8?>98;052740E1:=8?>;<:C123456382:;<=>?011@0765;H>=8?:=4361456789:;<=>?01234567<;8?=5?72561456789>;7=>?012346E3:988M98;250726G789:;<=>?0123456789::<<>>0024G466888;O>5>.137?47=AGZ^X7okdsc?55<768>0=<4FNQWW>dbczk6:<7>115920?OIX\^1MIJ]A=30>586<2;?6D@_UU8B@ATE4891<3??;08JJUSS2~oj0=4?>0285?OIX\^1{hl30;2=57=4:3CE\XZ5DHC?74<76880??4FNQWW>AOE4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87l0?~9?0`lecb2?<$>:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<25>>=AGZ^X7jfn`>4>5869221EC^ZT;fjjg:0294:?665OTVSQQ0184>58?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI51OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOWI5:5;6JFP@>2:2=CAYK7>374DHRB86<76>1OE]O33?58@LVE494<7IG_B=3=3>BNXK69245KIQ@?7?69?2NB\O2<>79GKD:76>1OCL2>0?58@JG;984<7IAN<00=3>BHI5;82:5KO@>20;169GKD:607=0HBO318<5?AIF484<7IAN<32=3>BHI58:2:5KO@>16;1>08;EMB8729?2NDM1<:>69GKD:5>7=0HBO326<4?AIF4;25;6J@A=0::3=CGH692:5KO@>04;?69GKD:497<0HBO33?48@JG;<7<0HBO35?48@JG;>7<0HBO37?48@JG;07<0HBO39?48@JD;87=0HBL311<4?AIE48;5;6J@B=31:2=CGK6:?394DN@?51803MEI0<;17:FLF9716>1OCO2>7?58@JD;914<7IAM<0;=2>BHJ5;5;6J@B=03:2=CGK69=394DN@?67803MEI0?=17:FLF9436>1OCO2=5?58@JD;:?4<7IAM<35=3>BHJ5832:5KOC>1=;0394DN@?758>3MEI0>?50?58@JD;;84=7IAM<2<5?AIE4=4=7IAM<4<5?AIE4?4=7IAM<6<5?AIE414=7IAM<8<4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>29FJD511BBDZ__154?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQKfbfx]i}fooa8Ilhhz_oydaa2:L27>H69:1E=?=4N010?K73;2D:9>5A1718J4143G;3?6@>939M66=I:990B??<;O057>H5?:1E>5=4N3;0?K57;2D8=>5A3318J65a3GHTNX]_IO]SVJVT?2DNXZA]K39LO4=W<2ZJ^Yo4PHL\FPUNLQh0\D@PBTQMEHC>3[KFN<8MWD30?VOJWJEG@D]FOO]@L@EL>2YDY_MJ7:QQRDJXI>1X^[OC_C68WVTF<2YX^O:4TXRF04=R8&hggRcjm^efj`tf|fx$zlbfd/appw)uidfdc}U?]/pbi+t({:kTecg{.scn+wgji2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD48S@G;87<0[HO31?48S@G;:720[HO33;2=2>QBI595:6YJB=2=2>QBJ5;5:6YJB=0=<>QBJ591<384WD@?7;d<_[C_IRHFRRV`?RTN\LUFCIKPAb9TVLRBWDEOIRL>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG9;YQWHLD03QY_SJ@K7:ZPPZPDKk1SSNA]E^KMBJ773QUHC_KPIODL[IOT\?1ShoQFdg9[`mYWz`g]i}foo33?]bjWDkacXjrrklj46c9b`atf48:1<374aefqe977611jhi|n<0<;?dbczh69255ndepb868?3hno~l2;>99b`atf4<437ljkr`>5:==flmxj0:07;`fgvd:?611jhi|n<8<;?dbczk";%55ndepa,4/>3hno~o&>0(:8eabuj!8"46okds`+7,>gcl{h#;$64aefqf->.02kohl'9(`8eabuj5;;6=0=5:`ooZkbeVmnbRx=_7.#Gjtbold+5#VrxxR?;;bnhe1=ddbh<7ilftdpq3>bnfh";%:5kioc+5,14>5803mcen%>&7:fjjg.6!>1oecl'2(58`lhe :#<7igab)6*3>bnfk">%:5kio`+2,1bh}}k#=$64dnwwe-4.02ndyyo'3(:8`jssi!>"46j`uuc+1,>bh}}k7=364dnwwe94902ndyyo33?:8`jssi5>546j`uuc?1;>&8:flqqd/9 20hb{{b)0*<>bh}}h#?$64dnwwf-2.02ndyyl'5(:8`jssj!<"46j`uu`+3,>1a:flqqd;?3:546kkig0mca579tag.7!11|io2?:1<~DEv6><1KLu?le;D90?7|[>n1>=?5428277>dn>0:o>m9{o3b>:519564?ko=1=n=l6:Q223<58=0;6<==8bd4>4e4k?1X;n4=0583>4550jl<61=nj4vU3;5mi7;3`7f0<,8396<88;W3b3?4|}8<36<5z17;94>{#91918h5m1bd94?732:0:8vF>919Y3<<5s=>19:4r$0:g>4ea3-;j87?ld:X2e0<5s8io62d:494>;:k2f6<72-;397?m6:l2<1<532c:n?4?:%3;1?7e>2d:494<;:k2f4<72-;397?m6:l2<1<332c:n=4?:%3;1?7e>2d:494:;:k2ec<72-;397?m6:l2<1<132c:mh4?:%3;1?7e>2d:4948;:k2ea<72-;397?m6:l2<12d:4946;:k2ed<72-;397?m6:l2<1c283:7b?72;29?xd69k0;6<4?:1yK5<6<,82o654380>40|@83;7W96:02x0=<313>?69;556861?=<=0?97;::449y!7?l3;o<6*>a582ga=#9>l1=5?4i321>5<5<5<5<#91?1>=l4n0:7>5=5<#91?1=o84n0:7>5=5<#91?1=o84n0:7>7=54i0`2>5<#91?1=o84n0:7>1=5<#91?1=o84n0:7>3=5<#91?1=o84n0:7>==5<#91?1=o84n0:7>d=5<#91?1=nm4n0:7>4=5<#91?1=nm4n0:7>6=5<#91?1=nm4n0:7>0=5<#91?1=nm4n0:7>2=5<#91?1=nm4n0:7><=5<5<#91?1=k;4n0:7>4=5<#91?1=k;4n0:7>6=5<#91?1=k;4n0:7>0=5<#91?1=k;4n0:7>2=5<#91?1>=>4n0:7>4=5<#91?1>=>4n0:7>6=5<#91?1>=>4n0:7>0=5<#91?1>=>4n0:7>2=5<5<#91?1=im4n0:7>4=5<#91?1=im4n0:7>6=5<#91?1=im4n0:7>0=6=4+19795ae5<#91?1=im4n0:7>2=5<#91?1=h;4n0:7>4=5<#91?1=h;4n0:7>6=5<#91?1=h;4n0:7>0=5<#91?1=h;4n0:7>2=5<6290;w)?7d;32f>N6i81C=4>4o03b>5<51;294~"60m0:5<5G1`38L4?73f;3>7>5;|`2<`<72kk1<7>t$0:g>4>13A;j=6F>919Y3<1`==90>=7;=:5a90a<2;3??6p`8e;;8j2`=12.:5k4>9e9'5=?=92.:>94<0:&260<4i2.:>;4549;%31=??<,88j655+13`95<=#9;i1=l5+13f95f=#9;o1n6*>2g8`?!7483;:7)?<1;3a?!74:39n7)?<3;1`?!74<3l0(<=::2:8 4512m1/=>953:&27=<6;2.:?448;%30e?2<,89i6l5+12a96f=#9:n1>i5+12g963=#9:l1=95+152967=#9=;1?k5+15091>"6<:0n7)?;4;11?!73=3;n7)?;6;1a?!73?3;m7)?;8;0a?!7313987)?;a;12?!73j3;37)?;c;3g?!73l38n7)?;e;0e?!73n39?7)?:0;33?!72938?7)?:2;00?!72;3927)?:4;0b?!72=3837)?:6;06?!72?3>97)?:8;34?!72139>7)?:a;15?!72j3827)?:c;63?!72l38<7)?:e;02?!72n38;7)?90;62?!7193;97)?92;35?!71;3;>7)?94;38 402281/=7=#9;:1>6*>2081?!7??3=<7)?=2;08 4442;1/=4k518f8 4>f281b;l4?::k4f?6=3`;:47>5;h32=?6=3`;3n7>5;h3;g?6=3`?n6=4+19791a=i91>1<65f5b83>!7?=3?o7c?74;38?l3e290/=5;55e9m5=2=:21b9l4?:%3;1?3c3g;387=4;h44>5<#91?19i5a19690>=n>?0;6)?75;7g?k7?<3?07d8::18'5=3==m1e=5:56:9j21<72-;397;k;o3;0?1<3`<86=4+19791a=i91>1465f6383>!7?=3?o7c?74;;8?l06290/=5;55e9m5=2=i21b:=4?:%3;1?3c3g;387l4;h7e>5<#91?19i5a1969g>=n=00;6)?75;7g?k7?<3n07d8k:18'5=3=>j1e=5:50:9j2g<72-;3978l;o3;0?7<3`1>65f6883>!7?=35<#91?1:n5a19692>=n?:0;6)?75;4`?k7?<3=07d9=:18'5=3=>j1e=5:58:9j34<72-;3978l;o3;0??<3`=;6=4+19792f=i91>1m65f6g83>!7?=35<#91?1555a19694>=n1>0;6)?75;;;?k7?<3;07d7::18'5=3=111e=5:52:9j=1<72-;39777;o3;0?5<3`386=4+1979===i91>1865f9383>!7?=3337c?74;78?l?6290/=5;5999m5=2=>21b5=4?:%3;1???3g;38794;h:e>5<#91?1555a1969<>=n0l0;6)?75;;;?k7?<3307d6k:18'5=3=111e=5:5a:9j1o65f8883>!7?=3337c?74;f8?l>?290/=5;5999m5=2=m21b4:4?:%3;1???3g;387h4;h:5>5<#91?1555a196955=848:<>h60=0:=65f8583>!7?=3337c?74;31?>o?;3:1(<6::8:8j4>328907d6=:18'5=3=111e=5:51598m=7=83.:48468:l2<1<6=21bm=4?:%3;1???3g;387?9;:k:b?6=,82>6464n0:7>41<3`3n6=4+1979===i91>1=554i8f94?"60<0246`>8582=>=n1j0;6)?75;;;?k7?<3;j76g6b;29 4>22020b<6;:0`8?l?f290/=5;5999m5=2=9j10e4850;&2<0<>02d:494>d:9j5$0:6><>5<#91?1n45a19694>=nj10;6)?75;`:?k7?<3;07dl9:18'5=3=j01e=5:52:9jf0<72-;397l6;o3;0?5<3`h?6=4+1979f<=i91>1865fb283>!7?=3h27c?74;78?ld5290/=5;5b89m5=2=>21bn<4?:%3;1?d>3g;38794;h`3>5<#91?1n45a1969<>=nio0;6)?75;`:?k7?<3307doj:18'5=3=j01e=5:5a:9jea<72-;397l6;o3;0?d<3`ki6=4+1979f<=i91>1o65fa`83>!7?=3h27c?74;f8?lg>290/=5;5b89m5=2=m21bm54?:%3;1?d>3g;387h4;hc4>5<#91?1n45a196955=848a=>h60=0:=65fa483>!7?=3h27c?74;31?>of<3:1(<6::c;8j4>328907do<:18'5=3=j01e=5:51598md4=83.:484m9:l2<1<6=21bo<4?:%3;1?d>3g;387?9;:k`4?6=,82>6o74n0:7>41<3`hm6=4+1979f<=i91>1=554icg94?"60<0i56`>8582=>=njm0;6)?75;`:?k7?<3;j76gmc;29 4>22k30b<6;:0`8?lde290/=5;5b89m5=2=9j10eo950;&2<0d:9jef<72-;397l6;o3;0?7b32cj=7>5$0:6>g?5<#91?1o85a19694>=nk=0;6)?75;a6?k7?<3;07dm<:18'5=3=k<1e=5:52:9jg7<72-;397m:;o3;0?5<3`ii6=4+1979gd=i91>1<65fc883>!7?=3ij7c?74;38?le?290/=5;5c`9m5=2=:21bo:4?:%3;1?ef3g;387=4;h3:3?6=,82>6<79;o3;0?6<3`;297>5$0:6>4?13g;387?4;h3:0?6=,82>6<79;o3;0?4<3`;2?7>5$0:6>4?13g;387=4;h3:g?6=,82>6<7m;o3;0?6<3`;2m7>5$0:6>4?e3g;387?4;h3:=?6=,82>6<7m;o3;0?4<3`;247>5$0:6>4?e3g;387=4;ng6>5<#91?1i95a19694>=hm:0;6)?75;g7?k7?<3;07bk>:18'5=3=m=1e=5:52:9la5<72-;397k;;o3;0?5<3fnm6=4+1979a1=i91>1865`dd83>!7?=3o?7c?74;78?jbc290/=5;5e59m5=2=>21dhn4?:%3;1?c33g;38794;nfa>5<#91?1i95a1969<>=hlh0;6)?75;g7?k7?<3307bj6:18'5=3=m=1e=5:5a:9l`=<72-;397k;;o3;0?d<3fn=6=4+1979a1=i91>1o65`d483>!7?=3o?7c?74;f8?jb3290/=5;5e59m5=2=m21dh>4?:%3;1?c33g;387h4;nf1>5<#91?1i95a196955=848f0>h60=0:=65`d183>!7?=3o?7c?74;31?>idn3:1(<6::d68j4>328907bmj:18'5=3=m=1e=5:51598kfb=83.:484j4:l2<1<6=21din4?:%3;1?c33g;387?9;:mff?6=,82>6h:4n0:7>41<3foj6=4+1979a1=i91>1=554od;94?"60<0n86`>8582=>=hm10;6)?75;g7?k7?<3;j76aj7;29 4>22l>0b<6;:0`8?jc1290/=5;5e59m5=2=9j10ch<50;&2<0d:9l`2<72-;397k;;o3;0?7b32eho7>5$0:6>`26<>:;o3;0?6<3f;;87>5$0:6>4623g;387?4;n336?6=,82>6<>:;o3;0?4<3f;;=7>5$0:6>4623g;387=4;n334?6=,82>6<>:;o3;0?2<3flm6=4+1979553=hnm0;6)?75;331>h60=0<76aic;29 4>228:>7c?74;:8?j`e290/=5;51178j4>32010cko50;&2<0<68<1e=5:5a:9lb<<72-;397??5:l2<15$0:6>4623g;387m4;nd5>5<#91?1==;4n0:7>a=848240=i91>1i65`f583>!7?=3;;96`>858e?>ia;3:1(<6::026?k7?<3;;76ai2;29 4>228:>7c?74;32?>ia93:1(<6::026?k7?<3;976ai0;29 4>228:>7c?74;30?>ibn3:1(<6::026?k7?<3;?76aje;29 4>228:>7c?74;36?>i68m0;6)?75;331>h60=0::65`11a94?"60<0:<85a196952=6<>:;o3;0?7>32e:<44?:%3;1?77=2d:494>a:9l55>=83.:484>049m5=2=9k10c<>8:18'5=3=99?0b<6;:0a8?j77;3:1(<6::026?k7?<3;o76ai8;29 4>228:>7c?74;3f?>ibl3:1(<6::026?k7?<3;m76a>1383>!7?=3;:=6`>8583?>i6990;6)?75;325>h60=0:76a>0g83>!7?=3;:=6`>8581?>i68l0;6)?75;325>h60=0876a>1683>!7?=3;::6`>8583?>i69<0;6)?75;322>h60=0:76a>1583>!7?=3;::6`>8581?>i69:0;6)?75;322>h60=0876s|1gd94?0asW;o?6P=069]5ccX6n>16=l<510c894>b2>h01<6j:0:a?87?m3;3o63>8d854>;60l0>j63>8d86=>;60l0=h63>8d85f>;60l0=m63>8d85=>;60l0<:63>8d841>;60l0<863>8d847>;60l0<>63>8d845>;60l0<<63>8d85b>;60l0=i63>8d85<>;60l02563>8d8:3>;60l02963>8d8:0>;60l02?63>8d8:6>;60l02=63>8d8:4>;60l03j63>8d8;a>;60l03h63>8d8;g>;60l03m63>8d8;=>;60l03463>8d8;3>;60l03:63>8d8;1>;60l03863>8d8;7>;60l03>63>8d8;5>;60l0j<63>8d8:b>;60l02i63>8d8:`>;60l02o63>8d8:f>;60l02m63>8d8:2>;60l03n63>8d8;4>;60l0im63>8d8a<>;60l0i:63>8d8a1>;60l0i863>8d8a7>;60l0i>63>8d8a5>;60l0i<63>8d8bb>;60l0ji63>8d8b`>;60l0jn63>8d8be>;60l0j563>8d8b<>;60l0j;63>8d8b2>;60l0j963>8d8b0>;60l0j?63>8d8b6>;60l0h=63>8d8`4>;60l0ij63>8d8aa>;60l0ih63>8d8ag>;60l0in63>8d8a3>;60l0jo63>8d8b5>;60l0h:63>8d8`0>;60l0h?63>8d8`6>;60l0hn63>8d8`=>;60l0h463>8d8`3>;60l0:5:5219g95<3<582n6<7;;<3;a?7>;27:4h4>9b9>5=c=90k01<6j:0;:?87?m3;246s|1e394?4|V8n:70?7e;5b?xu6n?0;6?uQ1df894>b2lk0q~?i4;296~X6mj16=5k5e89~w4`42909wS?jb:?2<``052z\2a==:91o1i?5rs0ge>5<5sW;n;63>8d8g3>{t9lo1<7b683>7}Y9hi01<6j:4g8yv7d83:1>vP>b59>5=c==j1v;60l0=96s|1cc94?4|V8kn70?7e;47?xu6j00;6?uQ1`f894>b2?90q~?m8;296~X6ik16=5k5639~w4d22909wS?na:?2<`<192wx=;o50;1x94g4282970?7e;32<>;60l0:=45r}r3g5?6=:rT:h<527982`4=#91l1=::4}r3a3?6=:rT:mn527982ef=#91l1=;l4}r3`4?6=:rT:n9527982f1=#91l1=;m4}r3ab?6=:rT:n>527982f6=#91l1=;j4}r3aa?6=:rT:n?527982f7=#91l1=;k4}r3a`?6=:rT:n<527982f4=#91l1=;h4}r3ag?6=:rT:n=527982f5=#91l1=:>4}r3af?6=:rT:mk527982ec=#91l1=:?4}r3ae?6=:rT:mh527982e`=#91l1=:<4}r3a=?6=:rT:mi527982ea=#91l1=:=4}r3a4}o30g?6=:rB:5=5rn01g>5<5sA;2<6sa12g94?4|@83;7p`>3g83>7}O90:0qc?;0;296~N6191vb<:>:181M7>82we=9<50;0xL4?73td:8>4?:3yK5<652zJ2=5=zf8>>6=4={I3:4>{i9=<1<70;6?uG1828yk7303:1>vF>919~j42>2909wE?60:m51g=838pD<7?;|l20g<72;qC=4>4}o37g?6=:rB:5=5rn06g>5<5sA;2<6sa15g94?4|@83;7p`>2483>4}O90:0qc?i8o:injr@A@x4xFGXrwKL \ No newline at end of file diff --git a/coregen/charrom.vhd b/coregen/charrom.vhd new file mode 100755 index 0000000..f0b7a08 --- /dev/null +++ b/coregen/charrom.vhd @@ -0,0 +1,118 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file charrom.vhd when simulating +-- the core, charrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY charrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END charrom; + +ARCHITECTURE charrom_a OF charrom IS +-- synthesis translate_off +component wrapped_charrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_charrom use entity XilinxCoreLib.blk_mem_gen_v2_6(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "charrom.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 1, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 0, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 0); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_charrom + port map ( + clka => clka, + addra => addra, + douta => douta); +-- synthesis translate_on + +END charrom_a; + diff --git a/coregen/charrom.vho b/coregen/charrom.vho new file mode 100755 index 0000000..b586581 --- /dev/null +++ b/coregen/charrom.vho @@ -0,0 +1,56 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component charrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : charrom + port map ( + clka => clka, + addra => addra, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file charrom.vhd when simulating +-- the core, charrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/charrom.xco b/coregen/charrom.xco new file mode 100755 index 0000000..f6247f2 --- /dev/null +++ b/coregen/charrom.xco @@ -0,0 +1,78 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Sat Jan 03 11:56:55 2009 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 2.6 +# END Select +# BEGIN Parameters +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file=C:/vhdl/nascom2_t80/Naschr-1.coe +CSET collision_warnings=ALL +CSET component_name=charrom +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Always_Enabled +CSET enable_b=Always_Enabled +CSET fill_remaining_memory_locations=false +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET single_bit_ecc=false +CSET use_byte_write_enable=false +CSET use_ramb16bwer_reset_behavior=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_ssra_pin=false +CSET use_ssrb_pin=false +CSET write_depth_a=2048 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: 55453eb + diff --git a/coregen/charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj b/coregen/charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj new file mode 100755 index 0000000..c2f3e95 --- /dev/null +++ b/coregen/charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj @@ -0,0 +1,24 @@ +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst_comp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_defaults.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_getinit_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_min_area_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_bindec.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_mux.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_width.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_generic_cstr.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_input_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_output_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_top.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\charrom_blk_mem_gen_v2_6_xst_1.vhd" diff --git a/coregen/charrom_flist.txt b/coregen/charrom_flist.txt new file mode 100755 index 0000000..1362f05 --- /dev/null +++ b/coregen/charrom_flist.txt @@ -0,0 +1,10 @@ +# Output products list for +blk_mem_gen_v2_6.mif +charrom.mif +charrom.ngc +charrom.vhd +charrom.vho +charrom.xco +charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj +charrom_flist.txt +charrom_xmdf.tcl diff --git a/coregen/charrom_readme.txt b/coregen/charrom_readme.txt new file mode 100755 index 0000000..aad6288 --- /dev/null +++ b/coregen/charrom_readme.txt @@ -0,0 +1,51 @@ +The following files were generated for 'charrom' in directory +C:\vhdl\nascom2_t80\coregen\: + +blk_mem_gen_v2_6.mif: + Memory Initialization File which is automatically generated by the + CORE Generator System for some modules when a simulation flow is + specified. A MIF data file is used to support HDL functional + simulation of modules which use arrays of values. + +charrom.mif: + Memory Initialization File which is automatically generated by the + CORE Generator System for some modules when a simulation flow is + specified. A MIF data file is used to support HDL functional + simulation of modules which use arrays of values. + +charrom.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +charrom.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +charrom.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +charrom.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj: + Please see the core data sheet. + +charrom_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +charrom_readme.txt: + Text file indicating the files generated and how they are used. + +charrom_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/charrom_xmdf.tcl b/coregen/charrom_xmdf.tcl new file mode 100755 index 0000000..da71fe2 --- /dev/null +++ b/coregen/charrom_xmdf.tcl @@ -0,0 +1,76 @@ +# The package naming convention is _xmdf +package provide charrom_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::charrom_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::charrom_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name charrom +} +# ::charrom_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::charrom_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path blk_mem_gen_v2_6.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom_blk_mem_gen_v2_6_xst_1_vhdl.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path charrom_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module charrom +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/coregen/coregen.cgp b/coregen/coregen.cgp new file mode 100755 index 0000000..8276be1 --- /dev/null +++ b/coregen/coregen.cgp @@ -0,0 +1,20 @@ +# Date: Wed Dec 31 13:09:41 2008 +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +SET workingdirectory = c:\vhdl\nascom2\coregen\tmp + diff --git a/coregen/dcm_in50.vhd b/coregen/dcm_in50.vhd new file mode 100755 index 0000000..4f5f068 --- /dev/null +++ b/coregen/dcm_in50.vhd @@ -0,0 +1,98 @@ +-------------------------------------------------------------------------------- +-- Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. +-------------------------------------------------------------------------------- +-- ____ ____ +-- / /\/ / +-- /___/ \ / Vendor: Xilinx +-- \ \ \/ Version : 9.2.04i +-- \ \ Application : xaw2vhdl +-- / / Filename : dcm_in50.vhd +-- /___/ /\ Timestamp : 01/03/2009 16:40:00 +-- \ \ / \ +-- \___\/\___\ +-- +--Command: xaw2vhdl-st C:\vhdl\nascom2_t80\coregen\\dcm_in50.xaw C:\vhdl\nascom2_t80\coregen\\dcm_in50 +--Design Name: dcm_in50 +--Device: xc3s700an-4fgg484 +-- +-- Module dcm_in50 +-- Generated by Xilinx Architecture Wizard +-- Written for synthesis tool: XST +-- Period Jitter (unit interval) for block DCM_SP_INST = 0.05 UI +-- Period Jitter (Peak-to-Peak) for block DCM_SP_INST = 2.88 ns + +library ieee; +use ieee.std_logic_1164.ALL; +use ieee.numeric_std.ALL; +library UNISIM; +use UNISIM.Vcomponents.ALL; + +entity dcm_in50 is + port ( CLKIN_IN : in std_logic; + RST_IN : in std_logic; + CLKFX_OUT : out std_logic; + CLKIN_IBUFG_OUT : out std_logic; + CLK0_OUT : out std_logic; + LOCKED_OUT : out std_logic); +end dcm_in50; + +architecture BEHAVIORAL of dcm_in50 is + signal CLKFB_IN : std_logic; + signal CLKFX_BUF : std_logic; + signal CLKIN_IBUFG : std_logic; + signal CLK0_BUF : std_logic; + signal GND_BIT : std_logic; +begin + GND_BIT <= '0'; + CLKIN_IBUFG_OUT <= CLKIN_IBUFG; + CLK0_OUT <= CLKFB_IN; + CLKFX_BUFG_INST : BUFG + port map (I=>CLKFX_BUF, + O=>CLKFX_OUT); + + CLKIN_IBUFG_INST : IBUFG + port map (I=>CLKIN_IN, + O=>CLKIN_IBUFG); + + CLK0_BUFG_INST : BUFG + port map (I=>CLK0_BUF, + O=>CLKFB_IN); + + DCM_SP_INST : DCM_SP + generic map( CLK_FEEDBACK => "1X", + CLKDV_DIVIDE => 2.0, + CLKFX_DIVIDE => 25, + CLKFX_MULTIPLY => 16, + CLKIN_DIVIDE_BY_2 => TRUE, + CLKIN_PERIOD => 40.000, + CLKOUT_PHASE_SHIFT => "NONE", + DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", + DFS_FREQUENCY_MODE => "LOW", + DLL_FREQUENCY_MODE => "LOW", + DUTY_CYCLE_CORRECTION => TRUE, + FACTORY_JF => x"C080", + PHASE_SHIFT => 0, + STARTUP_WAIT => TRUE) + port map (CLKFB=>CLKFB_IN, + CLKIN=>CLKIN_IBUFG, + DSSEN=>GND_BIT, + PSCLK=>GND_BIT, + PSEN=>GND_BIT, + PSINCDEC=>GND_BIT, + RST=>RST_IN, + CLKDV=>open, + CLKFX=>CLKFX_BUF, + CLKFX180=>open, + CLK0=>CLK0_BUF, + CLK2X=>open, + CLK2X180=>open, + CLK90=>open, + CLK180=>open, + CLK270=>open, + LOCKED=>LOCKED_OUT, + PSDONE=>open, + STATUS=>open); + +end BEHAVIORAL; + + diff --git a/coregen/dcm_in50.xaw b/coregen/dcm_in50.xaw new file mode 100755 index 0000000..95ea88f --- /dev/null +++ b/coregen/dcm_in50.xaw @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$9cx5>6339$;9<5>2:35<>71/aI;4=<41Y3861=5&1297?;>;54805(789809=949/0-41o03HXHDZGU169BVR\XGGFRSNO\C@FJJBYDDB;37L\XZ^MMH\YDDBCESHV[ESLBH43GU_SUDBAWPV@NJ@ZBA[VGDHHo4ARQLGZQN\Al0MZTPCMIAQCR^XL;::6OXZ^AOOGSA\PZN=R@@EEKW56=F_SUH@FQ@UURVPZR^XLi0MZTPFMMTP\VB02K\VR^NRUf8ER\X[PD_DYA@L59AKQN33K_MK?64C;^ulaj[fhyhc`7I]\EO36?ASSQVIROAKPCNPQAFRNGG=0HRXNLTG;?@^SM[DJ@l5IABVLV\YMN<1MMA]J9:DA[VIRZJOh7KKJDCC@OZDRN01MECQZNHVP<>@H]]UXX_o4FNWW[PHN\Zk0JB[[_WCOQ@71EIYY@RJ68JJHB92E37BHKDSASAg=W@HYNS^FFFU;8TLHOIZH^_l5_IOKPCKBBL11[ECYFDUJ;?UTNE]S[I<>4PSMS[UOIAZKHXDXJ5:RPGIM13YYOCCK;;QQFJ==W[@DHHHM<;SQW2>TT\VCEn6]FGDZWAWHFD?1XECICEb9PPDTS]YU\MDZ9;RVBPPU6:2YR_YKB_R[MGMTHFF_X?6Z@P59WVPC?3\YNSO[IG89VW@YE]OMX96XFEV3a?]GPW_OY_DAA1e9[MIOIP$RON->!1!QWQG&7&8*J_NGF3:ZPP3=_lkUBh<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl6?^6=8T?0W=48]59bvr|43mifn6xjrrklj%7)8=1}dib>1:z`7v178hd&8kih496~DEv4j2JKt?:5F;695~U3<32>6595120;gc1=:=l8221=1=><7cg59607682Y>h76::959564?ko=1>87:6:Q77?>221=1=><7cg5960?1?2n397>51;3xW12=0<03;7?<29ae3?43n::0zY9l:182>4?65;5868277>dn>098k=?;c5;>5<72=0jw)j5879'5c2`<,>n1o6l;6;2956<729q/:o4;5:&f>7c<,o0<86*>1;06?!752;?0(<=5289'51<5=2.:9789;%35>22<,8=1>6*>8;47?!7>2<:0("3?3=<7):k:39'0=<182.?m78?;%6`>2?<,=l186*:1;7:?!342?;0(8;5609'13<43-?j68:4$709=>"1?3:0(:;5789'3d<0j2.:<7l4$0g90<=#=j0:7);m:69j7<<72-307d9>:18'2d5+6884=>=n?90;6)8n:918 3?=?010e;j50;&5e?>43-<26:74;h6a>5<#>h03?6*99;5:?>i5=3:1(;o5829'2f<012.:o7<<;%3g>04<3f8o6=4+6`8;7>=h:00;6)8n:918?j4f290/:l473:9l6`<72-i5k3:1(;o58298k64=83.=m76<;%4`>2?<,8i1>>54o2194?"1i32876a86;29 3g=0=1/:5489:9l1`<72-{e;j0;6?4?:1y'2g<202c>;7>5$7c9<6=#>j0<565`6483>!0f2190(;m57898yg4029096=4?{%4a>75307b8::18'2do2?3:1(;o5829'2f<0121d:84?:%4b>=5<,?i1;454}r1a>5<5s4>=6>74=2a920=#9k08h6s|3083>7}:;0(:=5569~w70=838p1985249>62<2?2wx?94?:3y>03<4:27897;8;|q6b?6=9r7?:7;j;%50>33{<1`>01<,>919:5rs2:94?7|5:?1:85+72851>{t;m0;6=u+72851>{t:10;6=u+72851>{zf;=1<7?t}o0;>5<6std957>51zm6d<728qvb?l50;3xyk4d290:wp`=d;295~{i:l0;6{|~yEFDs:h1>;+aN=RD_mKg)T}y>~dZ+6DY35y+UBSyq%bM5(2oxU4mvynx%?~> zhKnGMl_6os<7EhdY3%2ZwxYs9$IB4M=s|^rg#WGwg~E^Z0fmV|j@1)^iykY3!$gji zA%vmF%8)|G=^+uv>4_ef0Za&btPeo^$HoDJAdk_53B!-c83u(N;|~TqE7((=Ko*{53^l$zk2;?!{fQZ76 z;VTR|t{cLD2>!-WSQrNTn`dFT=rO&53W*^9ZVLsf{oNKO1XTPBOPC1sn7+V7kpEIo z6#2Jqz~Dl#zhwY}Lyp-h3@(g3)-N1-409M9hWuNH0M!*eUIqx^?{UD9(BoyI!pGVo z07dw_EdnC^H@<**9MeA-0xEiJya*WbSicDPzx0a~Iwn5^68X37!vHt*x4i*nqJR4h zpx1wCD~vqG9};>@cVS48WA*_$jivf z0a~sgC!+v?$;rqoLSh$;#R3&UlhvNA9Q8BsYAq^yt} z0IhHPG_%H_k!nJ6HEk6=h@$CoRKoMEfW8N7r|TP<`Z^NcS~tyk#N0 zaBEnv)Un>^ENF%+FLKacOYTybbC1i;$60I2t%^OvZFqE!j7fvl!!%-VbDL&f+wGkV z%O1Dhs(XYc#YM5|oTJ+I!PO6{y;+pwnQs(W%JYT{zTi@n}-{}P$@ zl?rw?itK~7;xj=-Pts?}VN1x#&^=yIpyWO12N&N$5igCQ;p=KcR~a0*rAF%7PgmF# zgL~@i>9kn3>Wn~yO({bCZ{MA%uJJ5x2ki{Eyoavco5UYsCinT;J!zwH z`Fj}4+U%m9$H$jj8P`vO0?8g|quzz!5`@2e`4R@oKEWf%ka&XD!<~pUjJUkEwpQ^1 zHA6#fh&_Q~KJ9~(4=SSwp}{x zEt{ctaI|%$aXZ$7K+bq=nsdk`%Q@WoS62^O+_`Y!C(Ix8;M)+I6HtNBEuJmO^CW4) z5^c|_FX)F9$y}3E#K?X>!IHv|8!b>w-cI_Op?kV1>Z~0@Pb$6R3$B2fu?QZz*O1n)4U9U|m}B(&}n7W$}+vw}~OCiUck z(uu&73EaB|m*=K9h;&Z1d0&vYe|0dNl)8e1@j}mOYCF4f992hQH)aS<6jbQ>2|Rin zYb6peDKRkN`=N6`rhZ2Tb)?YRC|k4!R$ui!(OO;@Ct!N%3D!h8qdfsr156q)wV%Zx zxDep0fC3FP24#)Hpnw{H(*z2zM1cCRqsv-uXcrkPjJ>Oi2{0=?tYBzl407>ua{B#c z2G+9!?7$k^%~3NwKQ}a34j}8~YI{gg9c77j!jc8N5=iIw%=M@Nz&=18aO5Bzv!8R? zqw}MIDS|}cN8G6ZcB^NPaYFOR@Ja!n-?g#hJE|>@_QZGs(`LYV0^?C|wnST7qpbn6 zJ{;HKApUHKEjVf@=i%xm>*{NQZ3qYa9WYNY1IxI$xMDm_M2^S-i~#WgU=tXX2igU2 zXs|=J!LmS&Ll(`za;`2I;1bXV8z(_JfU7uU1=t_91@?zEf&HQX1NMhf0rrQ^5!fF} z(F|~z7{CdEgaDMR?NP^A(?NT>dU;r3hlMzT_fYOw1pgS|A>&5_)InQeOkl!LL11vg zFd^&?@WFyW_yEGApb*jwtm|co!D0ubCXQekK-l^^Dm-==jGGu3?BnAj=xYyXm9LIw%asenUkNfJ*{{xTzWbXf4*H|=;y4D2nJ&H-% zfMkyJUB?8N9s|)?1dagW10W+k;L;K3mw>{*75cFKFKXC~2y0ZJL*&6aCP3&1Y8=uM z7X6#np<4VY6fE&yw0@WXKHHV9LuLAt+V9YZ9p@qASk8oxc>E9E4ps6`YS@C` zyd8FSNbP?$(!(zQq=qf{P3_2w0K7r|SJV!b|4(YaodI^Fzc3Iw8uNdQ^luM=%|id+ z?a0!!K}SaWA0QwOE&mVJ4hwz{^hm|DLD-oxpeO(DoMBU*e>l&>f?vdr`T{UO9EtBg zi2bc>h<}*DQC|QtY`*i~oE;j?pToo!{O0ViFM!w&yZH~!4rTEtF>JwaVm}S^hv)qd zVt-o!;vZIk&6W=B6Z*d~f+NBH$=N@Q;E*)d*s<#o|1Gn>ZQ#&X{2t~n8~EQ4JMvn8 za`xK<47DsB0LKqFa}{R@5cm)20bW_o)ydUE*9~Qb1}@2YB0(b9Tp8e7&QlaPK2l9N zPlyn3^0SR1;NyYXiVy(GqY8>Z48i6pKs!aiv0>9Etd0Uxe^)DAG{yuBtbl>_(7qU; zcOWpy9qm+r018A~v?FkUnki&BJ11qqCFGfih8~T7NO1eN_*n}8M_0IwRw#uJD z3maGE-VNjG0kl1WSRLhwk+Va2fFL4Juz|fb#?I43_%Li@nLql2009~b zg&u8(0>IY5mSFeT4Le`?-)ukY|D+5|C;&Hv-4H->iro&+0rv*v6o=>77Du(P^pQZH zhc$uH!&X0P{c81tf+#Sd!j@tCIihg%eKfE7#VN3K_wyKg{ns%zQ3iEQ|6)V?hI}$|$KR$?z+qoxIT)dn*)>ih2A1 z4Jr&)Z~<~Ldly>(JQo=kPy1izhw}+6fj_k4P$Q3Q=|8mNC~*DO4q@T{NjrXz6)VG^ zTJc-5KV^ok_vi8NcG#nz`2XDhLi7j1NBChUppau)aMb!&i+|C9Kl{S+fTi;19?Lbh z47>g83A;xE+Wxcr=N<}_VcY&}gFVJ-D|Ual9Rp8U_BVI}vaU|n{}%+Yj^uxZ;E|*J zjUZg~7lPPDA7I*uC3Pr!fP3H^kO6kXmSC5Ku*cXvHYNJ0*Z;N0*2iu~t*|X&hp7!# zK3Mr7ghT;Z0k-)={s_Q9BZ1{p=wX~e2p=IM3e0V>3tb3cdI_w)9qoYyHxXeGpe5h| z0BTr0!P?1@!#FhcBj%63kBsn;U0^i@%LKMdV2Sf^ScfnHUR@Y)Y{F33A#B)VB;e4o z-X5Fg!GV=>Y<2?$vJV)r(gnpb2LuTbI56$SwgK)O{DAvVWIqReG<59Q@xP<&68nwa zunLCVehL*^cEq<3Nc1p_{HFO+tpB8mfFb_!6UuV@+9+U&#@@wMK*!Y?@kxfD3l@5F2fs zP_~``T0lphR@hWjKv)QINI@2xDGESN$@4G zFBn)wv_?ClJRHGxer|R^RRypLSQ~5u1FR3$0_%b8z{+4hunVvV0tV(8_O8}oAF!nd%E}Q9EFail0Ir+> zn17UbU_}AG2v!4o0q}WvTDf|l!3JPp&Hyy^bOgJ5xnj_sK=g4!gFWqi!H1#)1ImJS zaYCDt0^|;lkI1+K!*sSkqz^1o{92+w{+@YaC3p!0feHN+@qh83zhH+SM%$mT3&Vk1 z4DkOEdLR`5phse%hk``m*kz3WgdWhOUy=0p{OMnz$1?sG_C7y_?0X2g3jmEPfT}j2 z0@eW57(g}L0JLoYtW^NSJ&)8u{tz!e07376XwH$w94U)BfUq2ZD6o_RV5$dp2XOtN z99TWVq9F@Fr3t`p2Zi1!&_4_fE-L)X&|pB2`rk?$;Q23MTe-UV9jO}D(Eczi!ym%;{b6$| z01Q8rUj{(J77!q?U<2Ub4WOb9$jlYM!xF&9889Rp03koXNDg(~+R_OSs}Ue=yyzR0eF)7Fb)vuE?XDO-X^lc%odafAM%|$$yyaPu`EDb7Z9szs>QdxgR$Bhv^?S z{9(RWTR*Jf?gcC*VuJzN-3#UP55>Z||0CzErL6(nzSq(Q*`S;}(O_96tVgy%c{u@N zcl%Lz2=%Y52COUdqg-E3=XY&g4G^#hC;X?!_?^W4xLE-KrUd_ThvN602;~Tj!DP%r zCu!iW0mZ%^P33UmKozr(4g4vd%I(Vxo#$!&w7V;cZ<%Z=dss;E2B*kXEPj|dO)}<% zq9K1FSMly`S=~W5oze|I-969$7R3DI`AB&;-huXq9+fWvg>Wa)%P;imPy@vE%G7!Ai_6O_*;0Edg`Se zX`kRQsnRco_p(>YHqft&&bMxV7z`JwjZerae6#W*QN>gSe& ze^zfj=VP>k_p}@|@J^9!FVXnfUH(N0`8TDPt%6>Sej6h1cUye<8kA2STSnEf)amlx zX)b{O76Z!}gU0gdJ;%0HW3Zmktzq0ZH7WFt1Wn$RjN)P)xEeHl(R5N+?b`A!_hCotE}C@mx~y~e!()17;``xh9(Uvk zwWSABs*;38m&eYs?5B${JteF(XFM%t2Xf74@=53MVf9x;G?=Y0Zj>SiIR(3X?H5RB!cRK5PW{pX~*EL(z+LN1!}dE z9=W6sQkOWgBp)qRuGX(nn3c>4_=cKvpRQuNV(B`ZyW%CDq4XhAsFVHri%psbMQ>Nm z8z;ZQ6&n$!^Hzyo+#erF`xv>JWe_pm8yOX-Zx&lL0@b0ru`Ot}ijGiuh*TVY;AYpD z)Sk2QN&Ty+sWUa94X3nG30Y5x9$!WBC7f1?x9&X2t&~aEq#kn(cH5;`&|N9(DI^Fv znMNr7S=m;ysoCO-m!^Nh{kIB1N+0IPq~==h*f^{2rtNR8UzD)mZ4%Btqmi~7R~|RH z$$UF3bQtHofr+Zu<#g^|lJp1EsyN%JwwmujBui;;-|TH~rsAP*bMRPXs$45&=an~x zLop&YG1d@+naI6QvRb{N@Y+Tku1gtu+c&ih6vfc!*BckPrr8-*bekDfaP$Wz_MSi9 zsk;l^WN6tob}4bI_s&G$B}^aE-7Z{D$_->So9vjWGi;yF=@51?Z=&TMU*gN!ka^M* zr2Bm|?qx2EvA_nmcd(0~&dYW1Y0?GRJgJ@QK{B%ziZ)A$r;9!-nY38fu|7Jd*6h$X zYv05dGtxKV)ANP4D`(LTIeYh>(Hg00bSU$NpRQ9fk&a!mI)B1R1b+#AfEFEL_D!WL z)HGK6`qA44A?0lCxj_TV{PZW={8tGB3E$;;VJP~kq#q^2NlI>%W^C8$84hKrSP+2p zW_#-u)~HT>h^mYBm6)TPO9?F&-n_})D@iPw!C^<@|6WKk``I~{x0d@UROG1i0mTiodHxm$Dw$MLra*u}G|od^aiydMYjSt>%s{bB#;zmD+W0 zU;iM6$*9l!JL@b=fuFbCw@Q28chu#2KR*}bl8N7SwSAfA%qh>MD2{&5D=oyY&HWxd zpv70bNf2#ggys?Vy(A%fGB;6#gJSF!r`yS!i_Ln1C+S6-sB^UOC~oyc#Mj^2((Z2L zIa%ew){o&V(tD;v#G=(0HtzEF`$-adTnpUs3molAHjQ{<;TXHiKv@06r>pPX`nQZp3zpdt z^K}t_bhCk72U)fxpGC8q?UOCWi~_6DAgjIyk~U9cd^QPMeP$vO%uM*#+&YX*xSa;c znofY@3Egr;>8d%?lUrBt%tOfy%XkE=-CD~Ym?1ywy3TZNa?H~6g6T&5JFXgNmTFef z5sD+q3q2Q*PuDLk*C*uF#yd7KM5M?*!yEn>KWatUvr$seLN>?wHMeD&7ToEMJ9_$4 z^>SFuX{j;Hl9r&TSt^dOV5O})N}^6V^^N9Z_5Dedq?@Wt$(ag&^J_!6&O%R4+nY|5 zxA8vMx^wPQXI|h%WC4HP3&AYmSzB}JOI=ZMFG!^p(L#lG-?v)O8Rn&^xX+l#S zXHN%8qoQ{_D74SmaKFd3n6PoUs@BPEx!xv!R5#| zbngtirl!2KYNM{&_>G_0C0JU0=u(zS{+@-y;K?_${^q8GjrTRP!E>7|rF&mCHu7fP zQjuK(uSF^ykTs6*zbAhmKJcEY$9#60+s*dn`)QK4EO1dLleg`^J_0=zbaB`7ocj zg_wMmW?nv~F&##WlLr*`oBZ~sBC{^n>t|PXE}Hrm8XE@rqC$KM_dc*ZdB%NP>?Cel z>^t^Yr{(s{rO^GF+xuS@!CZI&Zwo(gEM=XOTfIR8{uJifmiDyXwY*5}{QkT1FKF%; z@=|TVKUHbCZ^=)edHnLKX^nej)-@HIGcl!_fnRGDKU>prz7;m~5Ev-2sWc62yLFCY z_dV?TwHq;KroTVR=5tJ;s*n;+TgX@?^qkNq7$+3!7J_)}4 z)iV_bG4q8KQspiR+F=DDwXLPM)>T$x%JoA7PtMjza=(5Xno4Tz%RJE?=I!mbO}ZvC zS*&NNt9m;Jp*r5>+=en^>QJ>9SDz|iN?9OQ| z&rTt3C1$({P;uBKlb~R65D!h6d&V4pVm7jfXp*FJN=pWw`ru1b_VlR3-X{wY2iU0P zCwi~CHCqSe3eGZ#bv%duMVm}}a)&&on%CZ^9*r;NQSIS9BVsG9xS(O8fA6aV#O1;C zj%a=6Z81u`8yX}Q^`<1Qre!yo#&q|}LWcypAPHzuM~p=;BlBWPtY1!W{loh!A$Bh+ z`g48gshOXc_3NO>MyK=`uj6#o?u5-tWZh0fp0rF1)^fNxuntp=z5toFd04Ufz}9}p z|BAoxtiVEheyru&+?fFaLp=>-x!!|zRzmf<-U64WJ3>@CL@~IRmo7PY>hs@ADY0vXuBb5C-q!d}HVb-_+49 zDlljr<|rPzB@C02mwG1LAV#60)TuP>67->E6d@G67ME3^ESyu<8WZ%~R5gHf?R)*j zA{@;*SKaU81_8Uo<^n4hDnS)Q?E?2-P%+X?sCqRrIathG7kHP^7505)Cx%VT$-wOP zixV!ZKDvn89|pKLRp^&R4ki|W07iRL&dA(BCSWWzQ)~E5=987rnj@$PZzhSd+tAV zu=xzq`0>gl*=uK+#`jH9->z9}5cj$BeZD3ekwkRkqbB{={iO9Tfn?zFK=WDEGwW4m zXYPUL%DbZdx|xCx9%wvLc+u$9pRpd-;5JgnB@wnvimW~eEaf1>4OUV=dzZ~Em8zp0 z-g$fRbI$W{-L1yQrDIlu_@Sqw^j%o$R$g8xGeJGt|01bP(ELb~uC*L|4IFXyk$Q$e zF!^biB_q&vPcP z#^(%JvC@d2%6yQt#xkU(yOI(?U{w&kE7L?lokpN>zpXb%coLcqDRoQZWh>oWMmfjr z!V}n5$tdR)_Ou+%Lv_ZL0;2bCB-dFaKfPEx^!S;lFx1!Z3+i{!N!x3 z%+iQ}1T=FlcOq=_{1Tx#^-c*+D9mpS`2w14rxBawMI~OLJH{VFz868a)F7L&-8Gu< zJm`S=`F+&~zOk*iBb{X1wQ0$xDyQTH&wk!0xyso*YJ7!QP~we#Z_nb1QD!c(CtWdL zN7aJAUH$HRqiAH5s8-~avmQalP1s#)iODOrOP8ubNybg~vSskV0%mvZ zNembkl-V!RKDI-z=$nkvv=&bu&0R9}L&oY(nl8Q{b5%T2UN@P4NxyM1uZjZR70x2YKw&1fVR2P`=a!Wu~6TNWp2g~=qiaATW< z!X|S>`aR{kR-)~7k?OY_Enj{j4Vt{nw9bB=;ql|BCRwMhp7a@7^1-Kx#^6?0lbd6V z&+-(LZ$|gI#87;C+`T|ift$_dAKVgkJG`!o{eV}<8T9T&%k=I>WkVz+ug(MMI5Mt3 zvTqqfU43v%+6BUSam&OM8ZtOs+1$j@x5D#9o!v!~tSo*idqv16j`GG*N4bgXsn43d z_n*Awioa6hj*sxhGy%xD_s6Z+^yz|FVTqKtjkg zL}0SW-Pq$!(Ed$xP%hj0C(EL5YL*5J4d!R+Jl z%_{C#9l>z7hwJI;_qu^g!YJEavWPO`W&Lxdv*|61GzzbrNX_G>?u1+)WWF0@GsRiE z`6AHE0P$M#T4Y}to|7&9>rZ@&@?YLH zw!#pqwr|tCflkso0 zWPRjeSF_#e4Znx@P^@oP&#`31tHV%fb6Qa2wig(N<=pdUVw=2c8Zfz69OktbQx(Ft zBG@}~H!R{l>Rg9Pl191s9<*ur>#EQ`_Z{vudyn99knHDvbTgyA2&ekZ%=v$H{Xgy=0naM@OcP+x|4I`uKhlJL-Weiud7U%u zUNOQUi1Npf%PlqJMV%jdwmCjpng%>EPq;Bd9i+WDBQSFRMOfD&|V65f*s*Ri;|RZTaiV zMZ>#g@#@Q0*LXH&F42Kj+s^Wl>_~pO30+gBVI?a){i!9pQFY?-UgqV&7FU^!_fwPH z-MQ(;r?9ZF|dC)WP~^E@e2`K=H4#>j-TM z({~1he~aQyE>+c{eLcKBd$yY;_*+lhXTKMpwhmmGMHk8h*lm{x`;&E|#j6B~CfoS8 zu9RqJ>If9!?2lFE!dB=Oq~fRSI4!%v$++`aLCL9gXhu_ffQB%51{)C-oeYB3aMNr7u-7 z$E;nr-}G?f$(cYYE-|%hmbqLLua#7glJ@>1`V66IaGN>@gTe4{Q4z`OQnn2tL)w@E zS)+w2O~l=6$`{X^cDSW0Q0Hg0Gsu?~hbcCUVstN3=XVT)$S03HOeCRPi@RdqhZB`) zW24?f^33Q_xO;e$wTjrqa4JH=vpLtdS{OCGm5de{(?7&I+28(hlg+sZ^95yMUr66BvpY-L$I&6N!-&R+f-89z4B#s5ni8`npU`#1j3+ zL;Q~)BuFzNYNI6sKfmFeWhqVcoM&patzqnnRZFV4v~ZW|O|(pLr0r#&_ZQCHPRoCq z`Znp7!>x*Z3F}gm%JzA=x-~RGwOnJ?mjx16r|FB=eclb-wFEUjjQj?E6tD&zWp$R3 z(j+pzv5j+cWIH^{w{Mz2UH#iD9grCZSt+x5b|SvfMlH%gEixpxQjs>(K8_n{rMljI z-!48na_*55Gu?jcx|uz6kaz>~CHrLj;1_mPls4;%?LyZ4qZ}E zd5_{>?RgxT*Lya6TgOY@zt73fS-(3uUqP|kVI@0Nq1DsACKg8OU;dWZo}r{eBPy)? z4lU`L1HOml$BV4x_tz4Z>!gtMsr~R;!GhU4zE+Z)v(hTwiaY6wO$)&s*=}5j7EuMW=Q{z5=-!%Bi%13YJwtg>r>t|IXzS=g= zjHz1|#$DMP@UP$OzmqMoYCz0!Zf*I(qbFD9MoG$sHd#M?Y(2SsyEhiuW7EWc+CWZT#)ISE5PJWV1f^BtXZh(6k;@MnZ%~juyn1TXQdv`~iZ4Y_s@&M5 zVexe3HF{F=s6`7$l^Kx81`_m9ZyvgG%Abp1>x)vz37pCD(VTzv^4RA^{+{3ix7&}s zEb`~A7>zKXeMeg5V# zsTHHp*m|?(U~aZ~>Wyb#4!UFl(syTX?~w7k+8G(~?|4GbopU!$r?>U&$o*cHR##`a)@dr+5ZL%6;2w!*J?;6hvxuge z25G4`4Xm^RgSRez$fxm?Y)TZG(e`C|LzK8llZbplLzr?tpr5r#iF@(FP;gM)+IY&R zM6o4TqaElf@A*r0tlrPAj-Kqhwqv?%f-+R;W-!#|HlNE>-z1Hq7yaJrlM!Y3`22Gk zZk;jsM#d`LeJbI6F;r##;%KTcu1__#eURf zsB>72&wQv^*E)3cQ_CAl3w7U$bKP~Oy|Dts=H=`q$R63b zIe~#}!YXEk&?RPfjDXjRCUAG~m$&Z)a649qjL-+&x|`lmnWV1wVHcJkc@XG&HjJC% zE6h9lG+)KZk=7PYBT8o#zdr4?{O%f?O4*p$`OeB0+RI~YZ~9Cby$tk)`FN-alVOwnjlB$Or1ysI4}lkZ4`ARY?yjd?ct}^Mc89_r(F8OZpIYa~#A$ZB zSd!VWI)3czz>^_1Y0_MB*h3={?i4l&xCd8`lxRAINutl1JnAhlkhT8i-cH)?Uc&1Y zzicRo#BZi5h%o<3R4lC-RkR`qHB&CY`UZ#8;&osMgs~&sRTCzi_a0_FB$O5RLt*nPFWp6WC@85L^A=9|rNtBy4JKryAx{yNstTk>kugqLurA zXCs~rcuKaK-ZB-Z`QbDx5yNg{TDC+Caf5+r#7on_lT@E1JEZVFr_tIer00^dKOCKR zeX^x`{f%CpldFcRh+-{rT(-a{1kqzR!yh;PMIu+vEqIofBw9z4{Clk&wdP>)qVAJ| zw_HKckD(8&zL_t*(RQN4eQlTzC9N;%cs2OAB3PeEoo6miEH1m(VQIvfsJ}e>l4Zr+ z<c9ycr>KQ3*hI$`bOT9*1x#4 zP)l};*C`WsOr-Yeo)4a4RHnC0TGtJal*p8-FbCh+&*_7i1Cg4rDSC1Pq0r5+RD*7e zRf`htx=0qjo0CRVx4|X10M4OT{vFZxugXm=u->67%KVhj(PXsu5(hftxoT4#sx;dM zGP`@_BK?#ydP26QL6XDaBQp~3)2ow>7Ug3B8J{NDq)i@lqj{!onUROT4u! zKy6L_9fn;r;Ho0r_Pj7E3)h?vmMA zrv>#)4o0hJ;96BPK(+!Xp6yRiPZL^v$EU{$wH!YEuMyz)Q|Q0u`6BTDhyYFoDkV(7 z?SSvPjZ_$p$gF&?7)ubp_&HpHfc>(<&rM7m-Ih^jZoGa8f1grq-tjtx63p);_t}Me z=i{^Gx`n2ufD1YnYVleGnvXgTn)(=GQ`|J4J-I{tZEWi96dHVaRy(;LsS>cv5l-}S ztlvAmOx&WSj@-2~rEPS3QS1)G#x0`k4|j^R7P-jk^$}_8+5P*`R8jHeY22D(<zNB{Q#JZ(@o~tDtVQ5c3B{mly|=FoO{#?RA^g5Ab=z-JH3O7xR5;y!zLdUeWa7H0 z53{A;@Kd5wJotplX;j-g9~|DXW}@<;)%QwHwdDzNX{wQ03(3t#@6-%11o7szPPx^;#PW%mGAhF9MuN#7NneXU zbQd3Mm%86bMH6WOG)9tq0#gH#8_b-9x zhjtCsJyb@9n9N_`bM?|HFSTttDDL=GTF&5{IdMOx_1WnJ$DNyn0|j&=k6#w3p7h4K zA90RP>cM*gy7)02hT&{5em>3x?r6S6-hkH>_b*+5B+Mo54kvlHlegh}b4>%v(z) zah$E|zSH)qHgcIzD`eOg?_m*L+krkjxs1qls~Y7R7YL!ahl@A%7C%3cFI;?5gR+hz z_rm!zOspaVtEpYQlkeg~HODfBl#Mevgvl6P!gfnL=^b}Ma?6M{SQa#iTZf+pHbq-< z>b+tw4Um<*Y}GVi6OA`DXkO;ZNyzPHI$v8{9%{y(%pmETs2OsL(VPB7i;1tMYqVkN zJ|jcp)|tnMXPx}-_&611;{)Zdrq{DNJ-KUcmOOsTS8Glx*ffZM-K4mOCa*O}`y~5y z2dxLP^Vt`;7s78OM`(t=VWRiuKd|6E;l*P#ceze2b##Q{9^$e;C}SO=q?%3!S3EM8c+=*h-@V+va1Q~J&GK_m7*1X|CXXLs`3WWtBb$Y2P!txQy%z*5*6Ku$%{tAD!Exh_HUXN_=M<;xF@`{>uKTzHO0Hi6yt6JKBHv=|?Np+hFv)0&N|)Rq0H6 zPr3M~uhkO8y6GMXG7dJ>7pttOoe>|PS6jM8`i)yloq=>gVIck4T7FB|n_F+*PE2d$ z@$qVYPe56>6pRNuujk)-sdd&HcQZoGIxf&@BcjxvxEQz!@{ zY7!cGdj52&S~b6JE13e5LwRZn1+gtjKeF|7(!iYz9WtDC~jQ)rcQPL!r| zRI=~KcbY64&ig$Pcr+|=k+A!;=}i**jOHyO8u&zI>s@z+Q}PobYD5i!0qF6a=jCP- zzTv#;FC}yxpA9KQlU}0fdaSQQahZX|_lcnTl3#A+RRg^XZ%ENosP0H-gLWoEqfrT6 z@rg|0_is;uM7eJf)@YZT@hOQ5EmY2@bTOFA#N99=?9a8)$<_9n(mr9lb;~^gH2KAD zazMdk*hyS>gDiB&ID<(3T(y_f1jUK*+!))>@`dNAHMGgn#(F;3eoyf;7z@GkP17B= z^iO+9e6gTRb+l8|Z+A<5qB7-x&%0$n69v|z4t*A^$<8OHmjhB?d!kjwJR$R@`msTc zi9pHzxU>zzr*vbtw0pb=p=ej$c;DV+h-RTJ&WFvntJ2WLmYAXJtGjPV=3Tya4-s9< zy}%u#nGdAX#dcZ;4?5AQr%M#))n`yDi%nN;;_ z-I^8e<_L=~H$Hr7AV)~k!&__>7p`&zp2<>zv%S8WOg+A70VW&e5vA!Pnsd`-CiC%< z_*#E1FLS^C{sf80`O(*cWYq^B&(Bmh*pX`V-SQ|t{rw9)7^bCby@JI)Ji305+yt`W#m(e&E>Fo})5tZkPdYF8jUPCX7wd!*hHT{#j zs6H`F9;Vs;p1pJ>JNh8lv0v`Q2Oa<6S9DZ?drYQrY~WcNWVWJjP`1Dl5acR-u(3Rsr5>E%zQ@D7X4!Rq@>e?DNH;xbSui`7CeAy}f+)VyuYhng&-;tBU#CUIi}qull&;TNO{s&6@qiW)Z5;L_t;^xtEAU=NYGQB1IiSQ9K&Y(+I1tAxy;$1iV*zD{<*Hkow03Ks@Tw$_8l94d%!iZ4M>NC0UZYRN@lPD z#L?vAn44vx4XFjCbg^t##T%y}-KgJ`>RFlDEMfXypXVzdFY_fYjmBBKTdeDt{b2rz#CnWs`eV-Mevt;FcBZq($icpKrwLl;LM-q+Xh^Yfkh z*upC@cGZ#pjMf$Wd|gx_Chy4yD>Qkrp+r>?*@qaFDls!KZwBqB({q>I@+dEdidMi1 z=Fjg?-rgtS*v%b(-RHW%Q6h`s=bMi$C&w6WO~#6%K^L-F#`|0~scgG9CnxT1_*F%I6BKhaE||%9IdfUa zJIqmRq_L@Z^-};9S(I`|Jc&)+?c1937d&?NOi7)W$x2wf{QgSQaG0u0|PLD-Z5{{ zsSlAsnerY|rD|8wJ!=9wz7eH*#=aa8XpN&3G&j_f!9h~TL$md$DDL$oNU3@Ay zd6Y?~z4^|{+_T$fzCA+(t)8I#%6^*;j-UXu+dbsD=s&*JN= zE83E4dN@mLsktw|QmZ6OOA+U-Ic(g|CZTa1YtQ@mkSM4h7`^M--Edi&ZX+d322&;#O4Qe#n4(ufpFqP8*~*KUO}p+~h8 zX6*@ffnUZ!pQ{@u%Z%zgoD}7TaCO=XP7ZuL?hu&G{<-8#(ci`o@b3M~Dodg} z^7jFyCn+7IWh78A+x6~-{f_~|YSGIdktk~dCQ&z@FVV$|Wp_A8r0eSwk|+hVN2YF6 zCj|KT^h?ATUSn2LLuLfjOIaUC*^52HO!0e{l-MeEJO_hy&X+55diC#qycWVU zSsJ(O^Db*&C}O-3CBRSBrah|>dQn?Gf_Uqzn@{+rf%54bkmyxvh z3|@+fQ&`^%hwu;#=)+Q}*wnLjX>@wb_p{=Rjqjd_He6u8)}^;wM$c)*FYZ-wz<_iX ziX$M9vy)3Q=Jtmi6h0tXlFVgYV&!fU^N;A345P+Bi-@y%5k%iS@$$`W(yZD1lU+eA z5lB2c(8SGa72_wU_;d-?@hW2MLsS;HgjmSrC;W(GW4 zi~aGeW95I|AUWT9-GdPa2j^9>bB?+*kS!&JE;Q>cU%Hc+vlE}{)jULS2{<=X~(cUjKRYRk~*&~xxTV&f~cyj@$Xn$N+(n=>GT2H6`W z=+?4MW@5VV4RIgqrtS`*_LNRcGbXYl>)r(3*A1ZPK zCNQK^403)r;*ec^yCi+0=(?Ef7tPg2g10k^>I)jKT(Hynq_ZA!D{#o*0HhmC`tkzl zwVvxD@^T_16(pmlqC+2L!;Gy?RzwdYqet;Nr7qrq8R>#L^fU%BouYD35w*vxqiGN7 zQVHvp9k0^LW+)gx;xs1qOR}%KWXHK^k~3_KyEjTuJa~ntOy*pb%Dv1vTIXRnPo5P$O8S6(`qkIAUYg69@()kf=c{RN zR+PLgERiW#tIxcr{Uy<5E#`x4ihq46;R!U$Y8>XZ`Ve^u6F?>QYlJoo=M zW$oLo>BMIwn2YVLs@sj1jMSEG?JUU;?J9IqAsV;t&wZrF1HA|@xb^PQDWkKQ?GGe4Pnl!=+@E>E7V(1$#`v_ktrsUe>Sd) zwx7#E_eT9twiKydrzhoj#xg#`t7JOAh$Q=X->_U*ROd{ZfnW5fy^Nait5kU7(|eSn zGg$)f&%9r`pd|JxMX-@6ZXm(u?em64C7+o*Ldlg+7y8KGYOs76i2X)#8FZb#=LTh6 zxX@h`i7g&GRW^Mu{d2`d<_=$}*H%*Vx>5_CTp#hN*f-Tx)oqA%_u}mX+D8Lgw{JE= zlV1Qcn)5c$8+8$WJ+Ym;lBYjk4Lko@DaaC{X4qA6^J}-!Q`JkHm$smepTjKn1hQI; zN6IruzxFA@%TolL&?fRv_p7%E#m8OK1PbEtW&{{-14;s!De`Rrnh!o!1B zcTKl=-LKvDeQt^0KTES6FfLQk)pl|UdWIF5E{Gx6h^H56YwB93Yq?u+g6>`$_;(%r zAAU~+c(M5CcLHHh+scp~PN2M5LTz`kW?A_aUk~uHy6dxspJYGBL1NoO* z_{%4YJork@ycM2=`ZiIDBotD0)7fU(N^>QtO{L7c{{V#Vs)TC1 zM;C7O^q#Y;&BcYsYtyJA!n=N#qHXV?LvdA@1*2ZP5a{VQt}!o7O@^q@Fw!yzqucrz zs!;6k^U5^oDR)ZrIq6onCp5$o#KhUTr4if~*`qcDg?g{fAqo|tZ}s+!)8VtAE5Qp{ zT>}L$zQ86f-3FCA%`yp(FD2YgNY4iM3>RiC=%v2vu@~JdJWpA~uRMxI+t_bDuB@Nk zz>|r*;pPpR`hN&Jrx;OyZcDdq+tz8@wr!iIZQHhO+qP}ncK1D#n@nahf99rAsi&$` zQZIY2TKn5P5PIZ>5nPA@2#yejbOKY3L`WEhJOVg`5X}^zgoG6Ls$yB>8R52uVa8)9 z4RO|v;tp%)b9CYHM-qJuiw>oSCZoKTn9dm&B|2yPAMD%Wd?_B14YX4_ylxcF7Y-H;Gzd!SjGK zh`+<~UEk9G;s8%E+YNyF@y4-8HBNtS6~GCZ2s8 z8^+5*RJ|Jt(D>j1+m{!Zh+KGo%c!`mKN+NUBdWb6)@%2+&~|b9G}*ouvW9_wC0aNF zB%cFD=EdP{E+-(uHIo_y<{Z%o7n)E^k9?pmfz2rFCrU1R*_B+>|U+$1kAvV zYFy+14mzla7Gta5jKKK?QPJ-SGrr(;C#_;hn^YO|xa@22D}Q_Nb&$hAZrcWMZa;>b z9K)Tn^+Qwfy5s7dzZB&QpOVs2eCOP*1jSjyi{OzTVxdH7J^_&p7nuoD(dBAtOLmh<90J37hyyxZP z36B@Tw}zX>+n`hFz{5qX9R)!RE(t+%RTtVTe2?(NkFxvQNHY3^-T?lAoAeR^mw-iy z6}-<6lN(h?k9J;q{!H}Yy4q&T&2Dcr`ekStoI$htZzEo~JyJni4CKItyP}uAo?tLP zvFI~@60`bVJCe0fAcZG*Q-*#*I*Oo3{|U4=&Qkg{NcYsuPJL#_-=335P7znBh~FsE z#{eQU?{DMIG4#M#|H%z})HqK-BK!gTxp%|`NGq5RnL;QpvjBBujdf_}Zlrv5isL>z zF3HM4)HWB;6QjMRLBiB!ucK#Koxp6Ak~@$G>X?0`D-%+ zL<+76CFI|lp+@ytXC0enAa`C8hY7{!DoBf1{3u@6-1I7h7I7-!lK{48D43CYH}O!Z z>?$YRtzd|`)*aW2CcF3;d#+``bux`&6Q4gtU;;Odp~Bw2eoG#7&eQQ|_a?ibLN zSkIC!1RhG7l40DH>=icQ53{WseBEV!cdP{d6>Pj&io=a7j$*wWtAEVEhj^v;@mQ=% z@&<9dxfwa+>{ynWpTiM9IosZfq+EZ!E5;e`W`|hrsntZop|-)BA#c)*Q$2+|_nrW_ zK*aWuYs#N1Ll(Wpb)ooZ)ba50^s5;%F7RFMnaZhPHm&Cfw$SnieRvf`^M=qCGscNq>X8WHo3`M~ibE`Dnv0dr_z%ll&zGz|0L{y5 zr*OpcgQ@aE>w}T}hjr}7K-_g2T&TI5$kc}i3a#;Qh8C&-hDfgmFs1$ixku%bZ0^2afqW0O3z0BG^YM}J!J?q4GNn! z-LFrt@}aHwCb&B6yRHQ!7#0S#R0vUGfS(~qyW{^o-$&wfj#Dz8EmT| zP%h-OCYo@QE)cV19fV^#%8+POAzGWx^5(rl*kRk;4b?V?S@(Ic>97wm&C@_xezL+D z3Jeb(Nv%fR2?T?CddjN4honx*Fu}jp zE$ur}p~w6pv~UApUzEr5$T_5C4#Kck1fM{CtB0@YODh9D1BCPW>WCO&zLW3rQ1Grz zF>B3+D!PF%y59+lA}YpX(q>D@R_#|Y)*}vba8YaH)hGhy37~#aw>eK7hNEIyTZlHU z73UsLnUV1sP4PIrM(Ccm4+EC`62cYc0%gR)4sN#xu92rGt;heQJi`YJ_guGj{KP-f zArfF}h``v_8zw-E;FsKKh47?7(h5(d#Z6xLMbageSIAalPu%YgMPZn_BtD1fL9Y@= zq@Vy%um@+c)mLs&hMX%K-UAX#K}#J1jUOhBXrM?Kx(-7L!a$EY87je;hX4|SBw}|- z3UZ0T^8CGzX?cZ}VCFeL=Wv|iv-|PA`TX(h{WtDuH-+e8M}=SFgpMT?@u3glPtt2I zpxxE2KgnNdX{Q81vf|O>@>_!;Etv&Ol~tKXcuR=$EdVr#pvO4bQIw%^gSi*iIN9ga z6Thx>TN}@df^A3z!~KwAUIz)4X@I`;A?i)M@M!@N=EV47QfY1X_|O*a3Gi?t&(C6i z*cfo(2KqDO;C>$XKfHEegSSyE+N|>RD=861eZAd9`o_wR1)%7Tgm4G~L;a-sH2N5t z(0z;Z?!n=nW~S<5ZkE^Y!%8(EzCw$rTNG{k?X2Mvvq{m^8m0{%)TbVX;? zA41rhe#^yA9Gu_wWBs}1b5Sih`~&7#F*>p5#AbcHlK!#3`&~$zl;W7D5b$id!FiL7 z)?5L>LJA4>RS+ddyQ|nyZOhcwonR%gk*j<|;p2L8XGV^H-jAMrF)uNK_e``x2v--( zxB84{$wOumO5G-EBCX2Sxm|5VFdk$#mH0WNS|9k_h^uI3ixo9=4VJ|SswWKY9tE`z6^Xi@L{B;b5g!jsKqRAxm^v|^P`zm)eQ1)F8ixiHeu`P%QL@BJym+f zj1QSSnU~;I(=ar~UNST1*elAjCXGuGtq#98TMq~{;SF6gk}jiGLCWNpSd@t+RaF3* zbSw#%7G~(5J9)|E2S8fO{iQoPRd3!i4I-HNBp$pPlgV|RaC02P&dKdl13X-hWU=mQ zspC(ps>sJYlRXJJxk0xu635qE(jCA{0$R^x4)MBwA;j6(p)_{@(?5VU9k=xec-!bn zUq1ofPLN|A!mPtyRfT|cWOJ9uqe&@Rhz6=9wHE!uxpT=651jb!872X~i^}%honE(^lOUR&MC~TFce{`XZ?4uDI$H-GRAP7 zyNBN%P!=1wIytEQ;hJ&xf!X$=cWISr@QIfJmVqoHEPpSikz?0i3Am!Ml)*hdUDm!7 zpfF3d&tpI}s|;y*VcbU#eIz$e)oAQQgv120)Bc}6atX3BQX9L^w~^`yk$pxVBP4CI z1$=5ofx5GrbX_oCRYK4WD(Nnaz8pm}6UZl|FgRed6f?`3=Q1OORFK|43^-gj?Z8S7 zG?Xura`1!Tl}dWBNsc|Y<*JOX)iL)U%c=A2im!4Cl~sf>m5bBV8)0oWt}26)!_&-( zLS$nv%K&UaM%n9i>UZ8(;C~w< z!PZ@i{lBopYs@srW9vmE$S7!!QoZcSir;$|R@gYGScJNDdp){OY1QECBGMl5Kreq8 zOA(}GzA(^8d5pFnwUcxz#$6S%4ZJ(x64iya-$}>a_9tZ8C#KMCHx%po?>-8O+9#Ds zR_!YBP{1kzOBGzZ%>J1@o`W*4K3H=(BtlpGqv8j>jpWljY$dE(y!fJ%s(CKYHiW;s z*cMC=r7mk%?2-fD*F}n%AxW_N&SjBRPFgSJ9n(l?>k=|`dn{)uy_H692J^N%35xk$ z#?-%lfV~q{?0LJoK^^_9F!z!qgobEnxlMP9oMNqaveLoz zI8nwt*S;jyxzqVhr(^4UUkh$|x!>X_ne60f*^>b~8CiyDbfES4i$M_Uq?T21q8c6O zr%vJy4XADkN+sc%xa0r8ZPCX7gD(S=IE|R7D=|3_(?kQc4Z^7t_UUp9Z_36M57Azt zVJycwaw04%*G3WCACE54JB0=^0?y=pDJPAvhy^}idUeu8|_FTEZ{gT11D9)foN zTV4-AqdyOq-snF;z&;^92SGmvUI$S>H|1WDKcALfpI(u{;M{WF4Rby%GhV4Oz+MYd zUJ+uyA=AL|@`T*ce_9v~h{ zfMju-2|$({Bu6!&P<5KsQT602pA~Q`*ASa|Qx?)^khqfjTU~P74l_8|Hs0r*6BC_h z*FAH)|51auvLp}l57=kuiET9%4Zp^OwOs0}=^foQ#k+X8bT}aM$YS7Du)_^2;d7~wJxCUWLHKv-MRPE_($~za*c8KrxNgBn`~W~0V)jge8Aw3 z1gog#q;+x!X!Axc@AZ|Rzi78q5DmS3XTA!oZy-2PO97zf`0X}$SrULg;fLa!Jh*wA zDnyV8LYZxFC=sV^AzT6B2kTiMU<}5bA+zXc9vZGG1T(*)<7zh7v=RekVgyn_VPp+| zg2t}0G&Npd9}?V(f7ML^Dv`9kir>@&pKTx@1@nSeFHu+h4r^d>X?L`gl52 zxs?@XK6;%UCSL`o3rQRtDgz2jR$*(L(n6EH=-v3(S3JA!-|m{rwQJ}+HbH`ln>;;6 zS&DuZ(_*kOZ;(cQCUds8d^U^?LT9|OvQYm0o;mRJIZ7xwy6xXSq{kXs(xY$RW{Kuz z?hoXt(4mK3p}s*~`he9p;CHQQxav92v&5JfE77{V+0}jwMC>TL^nQL#OP`JZFI zF_|xdX__b0_Wr=?JPi$)@~fLa{9xE)0}h&Eu93-XR2d7KPM?egyi+XuC5&G9GHLc8 z$e02@-Lv{hBu>5J0C<6hjH=aiENWbDzIL`AFOBC%be|6%=fvE^G1^Hv8EsEf)j5lv za?QDuu#QGvs9hX)2Ga9rr(6Y<5z6^&@PEdtNU|b^kKOpe{f)ti`S4V=dukR}*-!`V zL*BQLZj?@iS&|0q5qSyq_U-YAAZ;Bq-J^)xu9K5P?SYuiDCY${?f_yXg!N|E0^IjN z4fc4>$P&kR!MXv^_TOcIvq%w~>W=3O%CGxo7R{p7plhSntBM8?CL>bm)8|yM!bpL-y^5?~wnTy(NwWrOs6l~sW}i0U2rbQM zXc6_kD1kzZQXtI^;BoSD=ubLuiQq)vEKtNVEudXN|-cUpp@e5Khm(0@c@wY~*me(pFbf)qm zue%N5e0@w*GH$#mArOb>#{6ha`x-0>v1JV)fM3{Q14R)FAmOG(V<%Kf@*miwb;jXq zf2klxb-j>HQ`Lh)VNw95nD$3Bk1$xvMYmuKq~9G(_u1ca+Lw07Adfc(-^7;Cn@4(Wajw)JeZs18*iKv>|I_Q z5{CK3zud#A;g8)&Cr4@ku#W=G!4s+($JjJQjM}#zvYxypTVdbMX#2pDZ4VLHfkT_f zRN8{0+~X~2czWjF&~F8Ls=KnYV_a6b&BCAo(}4Xg=)@iq)Skj?pr?Wwhg7#-DP>H< z!jPukPN?VqwnD-dCR0i4#UIfLAdKgskXXA8353Ej-PHS>?B5Ei$}nG|NU#dJj#_oU z34shiV-#Zgfo#K2>wY>+sri zBLoi7kHGw`6mOI=o;S6)y%DdMsXvy9dnzxMUddbs1=nEf8IH3w=+(7e`6hV630gLE z1CI&1MklO|AD4vTVm2(*XbVn^ec;eSXY;b^a%w-Pd1IBHs4yGM+S+E$bZ4Sep&2Bc z$AHUN&YMiMO}-bLoo-k>rW}!AxRnvuO~?#oO-Bu1?1ZsWFBBgO-9aS`J@3eb4aQm3 z5g~kr!tG75Urj4>rL>`;M1pWQjtgrF_J?%bz)wGiddVqumfqn#-Ba97ngO@!Ve5Ay z*rpd#WS0k-JG&|k!3$FxgD(zs$C1)6WGn=NP^M(EVqVm8fSoF}ggE8(;ZTNk0Y;vq zmBf-|4b(U#;F|wZ7;yHgfe}_Ocmqk4Pi=BJ*|6xaY&c1MwuxV=8e}j|n7oX=Pp-Fa zd>C~m7gg}Z6^+|PdaqQHUliYv1L5MfkCoJ;8SR&!Ib;TM@d`PnV}VbEgbB8MxmB75 z#?C^G9bv7PU4i+?nrA})3RdjM`3T>O{K*||NI8aP_~&DkK8pMZDO8jG?5)noPHe3y zlN=Bz_HsLZ0tFP!2j=n7{#)8w`0cB$1}&{*hEgJUn=FepdR&q3W>-AL79UD*P>GD1 zO%XZRYMaNdPzV!+67|-H3Eboe1!JJ3TOblN4Gu?~xwu|V!FzyF20;~}bV|?ZLdU^! z^(CThn8Es<={ftG{p+>MvFlr;jg-)Eat1cP{ItfH!7Q6*OjVj^K&KOU&0X*WL)KFyPXQfa8g zrC0Dq%<;$K9HWfmF~#_iaXV}bB_Ix1@7Y z;P0M&ul3RjQ(ZdIA}A0a8!!M@oVRx7vxg11t+KqKOw!%TAP+)#Rw? zh}uGbu*gJ4d{T&th0rcEB0;fNojNWSgeIfZA+a$$Sm9AB%P~LNmCSB@vk^AK*CUeA zzw~RM*CluupSy8!R@c|7>`~%HNUCKG0dz6>4}H>I(w4rT?~p7xYhU^xV!qi4ML2sI zZ{~!v?XI%gPz*EYoS=Eub?3yBTTpWlm~Xtb2GiJ{gv2cmC2%fft7w;V%a#L zmVe1P+}w|_8Z@%z){y5%pqFn+B)ygpusg@Ir!gMEu}2jsS_=Gm4GBaIP{RE6^J3Sa z6p%iipxNFFkU7(Hv^!Prf-0_*_poKVk<7^ZwUML>3y)IGM`elz$goa5hdhV3FC5Dp zRK9JfP;guXW>?8PuHu5VUUiPUPI-VxD%Yw7cGpB0Ad`gTtYMb|Gl4HnRp<08pbz6M zf~Vy|jRqm_I=BNT{S`Mn3ZIIyvmIH#B1bO*>l@|_l zI0qpm_6#cM>m?zhQ_Jh*N4{0hYnPncQs=GR@xg!~lefZk-6W-_mDv$P?1p=a!Ei(9uowl%LgmIjd)&bnk+TPR5)U(covmDiEH)mS> z6$9V0Qrk8ulDdL1$Q~rO9+R=&D?z0NWwXFnQ#NKrAIJ3?X|chy=-|L?4>c+CnB(o} zJP1*Pa>jRAip0$-FK{fvKyQn0L`o6;^Yc;zGTr1eUZ^H?#P{5rlKUsM?3)DJzhQ<1 z*DYPyGdXZ(!r|qBKB06way?r&0v%iVE_{2!E9OOzEIoqxPT|^_6Y@d2!mD@<^Gcpb5aX!afd)OS z@XH_xi~KJ==A%7WN|^!BD~2fF$h_rS+~R?y{rOUcGKXY&;{(s84@GE&ZPgZb74#Kf zCAnS9?_Ymgsf_h5AyIVR!aNeAUbv=DNdtrIs$u7JVM}ZsEa)V2Gm~Z{5+FNxp$_PK z^@!BvGR1=P3H2GP#8+3k%~%F^ddAei1noKF?vn=ha(bF#Up0KSIdlHsoc37N_u)Xz zVc&nLrO6>i*MCke|Cbl(|Cd^_Gc*5Bg&fw(7RtyPXf;I2RLlqrB9tU9qzxFD!_IY} z7AEs(BBH{@4uisIkXnNLKyZdA;UGvNN#4!|<^*(Cj4jkuF>r0n1=kBNft96Wp{Q|-52d^cRLp0D1oIq;)2wDdTmrByFFm>7F})arPDK z24om_9qUK09KH;Jct+$xDSvNu*RsMJbl4iZyeP zP&vei?xSNV9bCI^)bf#t;r3dKu?ASO&=NTV6-9( zc!{L_4J2Wt`sraqk`2{?LxLL~3{o({t4E66D0HJ<4|8csG~BeT>)6NZkIOjhXbWk7 zC4vyIw?wv}Z1E`x&=au7w7SeI$5k(8#jQNCr81#56TJw3hl|`gYsr-ojX+ic$Rw?1 z>ud9Q&M%eVE!tvldXy8X6K(q=PD z7tXa+68hsvVqooNEG8(IIa1D`h>3#hEfm7%rxtG2V1l~#x6j=^_JZrUIvjMIGFux+h(F-niKkoQjBIK*En?B6t{`NMnXhGV%=6+|E!qkhA}g5MooO!Y7G;i9N7$usfHblE5>Qd==J2{6g^Uem=&ro`BKP{ zQes)NbN$b6A0;-uXgcgZ`w&FOQZ!5hwNi5IKtdmRILvbF3X?2qem@OF7Iy*6@i{u9S!H+es|xYUv`Y`my(Wm zcLN=-K(VxVnF?#zd#9KY%bV2W-`NBC66kjRT>=;|p&S7x=07|_+$pjpXDl1v(j}}qxfz|j zqlErmhUQEy&CTbZHrf~CweZDQ3(@H!F&jo77s!GaIqqpiGuPwr%5GF$Niknpr6(h! z>|K~ANx6B6W1%6TBVw_57rm_xJC90dA{55t(AQ6Vt*J97cn1$S;X_ZEmO4;41s1Hstc zr{aTjT@omjFDf=Pa9lL5TZ>!Gt0X|=tX298V;_8-$r0u7+qmeRw$NfYy*Z}kJ;0L#_N|EdtVNNZbym}2|q?)J&YzMgzm%&pgl6`%lB+|E(NKtsKWW2{HB z!}DziatOUO%B5|(fs03W?*W+nh@W=(mi}ra<;oqU{2R$9$rzH7*4pbV+NsC|@NjSp zHH{AQ=MaZ8UDaB_3pl4Zq)OQ`%-NNE^Q0c0M!`ew+kb^`w3Un{k?lNlx=R0ginyJ- zjPm7lWvGw?p~p2uOo48Sc!#xGIR0ILQ}soLaSoISWa|ky5P&;d14Zrfg@;iG;}uBp zi8#Z>9#*Nlcow_s9OIG{RYRcQMrkLqD?%gM|0l}bOffJC^m3e@w+l0@Zc{?C10NtY zOvvlVk2k5gEIUWMagd(u3v?YKv+6`TbU>XOr3g)Q*8FL7uy}vRit`1Of(SZ= zdoI$8sofb}T|F~9Y>*SfFpP@e7SWC36*V~2oTWV@vPHw8>>voRw0&Ag>pN@a?m2m) z@Ya<7)tBPKX~TXA!WFzfgUaxTVs8YVGxN!A@ML6QX`&&d=o1PX%LnM|hojjAe6|&d zT-6A{YTsFUwCTMI9DR46DrX2O3DI1z8&8Gy7D{oS*7N;#_8m;V)m#f#?JgONwX?iI z;_aH-c)jyV^OY~6p~>0DR?Jk)e?#kHg{%P2KOjvO@cx8X9S!L0^@F!Y4hVhyGls}9 z~(SzdWqk zzX}C3(a5adcLybpM8HorMZ!Iy1bReT@PHuXMqzlZsiW8YS+<~gSyO|Czv=wyMt(Yq z_sT}Jq=x@=mGc#!p7rJP*q!??M!3CgH*-VS!uxFc0~-^F?KThVFmIZ6*M0NKdX{@^ zN&k*9H>&LcI-|l)B&`VZ*9t^7;;dc>{UPZ>I2F&|`TAYBJ_1EuoIEBW@BqC>DQr7n&@WlGk@ z8%sw=);I}^%B38q`?_1l$xhAgR%3ZP_0!ZAPEsbOFFnxVH~krAncl3eO%CIqzvY1B z73xkaj^@raGdy^Q=GblN% zrDUx_W%F{@M_uNYSE^6I0-Y$_P)A}5oJuHxa$e95DHZn^$IG!a&^c_fpvq%M-Ly&> zt0EdUUBx!=Pd^H%{2cU6S!x{iluO$h4CwXituL+Rkl?mI2EbspiEBBaS8>>M^h)Mg z8w^p7^}goYN(x$w%83SDaNR7wGxErEou>w7PLZt+)#u0Cu8J!<^K5Zr(M}3WRqaTu z9`$3c`$rLo)Re;}Y$DW_@rc zc01VfaU{mt|%49Hw)aLM?nVejW68=py?!#9KZrbj=n5VM3O^a?B^L z(p#E(kD|^?V2I$db90--5emKgLH7ho zL5&V%#M#*f^p|Vmjo`(#Bkg-H_cr98>(Gp|Was5d+^ZuvwCoyNLcQcH)spbClYb}Y zJk#~m;E#b{;#W#my6~REzuPrPrF+t6j}{))Jg@$hU#zust#qmOT<1+ui)J+TC(M|h z98t-Plx?{#1IFXj8stUbOpq4eaxL3QTo^@6WijYHZ<;o+{T?EL3Wo)sAsD zYjIR(NYek+tai(18j0gM(Uh{dfna=p2&LCHHNxN%99WV5mI9i;3qJ5I_^p}07y3wr zzwD)SFZ=B{qWliL9E%S+x}X6(z;Ft>J%Mv}){22)al$pLGeM`8A+`3-l!Q}Cym}?g zjYQnHC6#XOJZ$BA_$VQhM6lgbwQf8*!m{vg(VHM*f$C4Za)R(Pan%QUV_52yb4|0y zbSiBsvQ?}eHIZLZ+mJ~G?WxE97L0C~hhl~uCc>r}y>x;h^@2+H=%9viON@4JLBifv z&(abLQokc-4uXz%ABodT5A$fDAjZ3d!j}zdRXAw7eb^d=Y1@N=$N{dI0oac!h_3xZ zlMfvsWz(!3i^{eLdqpOd_e*9Q*tU*rKF7FV7}g9@iCB}V9>YXm7lpG7R~QVp|rOWVc5?C?euO=oR@R%IwhW zWg|nYMOhw6!WEtdPXcSWz*rF?mIu23JSHI8UkqIo#(Q4_Q4`|uBc&*e+asqNOXN6Z$z zRL4Nhz(qx@l%5fAM2trsIvc9CWyO9n&hOA z?e^l`W%t!KMOz>(z~pwWNo7E3GAXGtK=`1b2^X(gN16Z|4Rb7%D?+v)4QGq|BY8&a zp&I*(@)gB5zQ0V;sr0T7Qr3A&6*cNujn;LqUFmOQjy5*;Ndb#*gk7t@+jxcq^ zg-*SYA|`L5MC>lT`m~iyt%Qp;2L+fn_M*n{$=6+t<8* z{I-)CGHs(_Jw+5dyoAAP8dh{SX8R+f%tA2ub!)2|$1#0YL!q{ZzFamXmEStEz1g z&pTR-E;+Mj+OG^oyYJ`cUChkBE~mCUXWn~mU%gLXzk5(PMS`{q@N4fhg zy32Ltve<|K<&etW-h#-z77MNNa7|p&0feU|v%oc9mc$@M+oBI?(Y4Xt)a` zQ?Ha#coSQjXt8KRC_-;Vb2?cAxkJ|oxiV;h_)^@}1yqMv)Msv@a7=!1swUu~pJP44 zhKYuSRUZ7JuY*!%*SyHQ%mAJJ_nf2oaEeZpf_>`z0>%uxdsJ%mO6yZrRLU&4h2|MZ z0?gp?g?Kudw#@F<$pAEd=V9$j>e>`Rk$Q@(2Nc+q(=z(Vzw- z;hh6}TKQh=5U$TNTW2ckLM7z&;TGVs*Q`NS3zo|HyxnTI&+ZntU-XTb4uu<3B;lD! z3YP`qI)A)p{uo;-^!{Ykr`lt3T73$8Yb&94R&Pa(nPbu>k3T7NWs^uASW>Uqocs-XR=Iuju-N^o!|E*Av`_6A)AX_-X-k-QV4c~XJrkAZ z{mPJ#2k0zNLS%#~Q2%0^wH`2PA-5LSGgAxpsMgJ#9pF@aE~jX^f=!tfw~sdIB~nG!A{*n5ZNZ2=PH3d0P%R7Q2B9vAEHms#3yoe%eSIbP*Kz5B zFn&{SeL;fv4FmJx!%h!1pdIlyh>x&t@xXhc%9@ci#Ji*O#ACPD>+sUQb)`>a)3utb zZhckyZr^1XBQO@UvlJin`;^ktK8k31uR`7K{c-ONP_Wz zSQTRblE9qS?Klx$XT`E$T}v~R;y9dCasELiwLepT9`-iqcW{fFS_607)L&+BF-E35 zP?jfr)fXH_){u%UYdxGTy!gc}o>59IO`s-5=*5iO8Xn!S(0+c|Wbf2Awx=VcPub70 z*6{ch5(|QaVy0}VQf33oza_<`1v*{hX|#&_da?CQE!$G6^4zcKx;1)6e%J zFjRD5to!Yp7j!(-Afi#9~+Qk=?S-z>OK~kn9HjKp3J2|bX5T2La zGrR0z-{P;c0adzMhMuzgP^8p&j4oYJL8hG_Tg^6Jg9`GDZ8GY(jN}9dML@0SF36*J zU6QB6mT|W@7EUr;C<4JHZ$LlIPvC4*lrZiQH!IXbZHN~eq&T(}~CLK zcPlszf933eh~^P1R9kb7lufmz39$ha@fU7gDRfzl-M4rG{6MF`RaraN&}Zw~_}OfK zj>NZ_x*F4omFWd0?+$BTbN{er(DzaN@H(=vM0`aQJELw6T?_(UdV;4#fM7l6eF%l{ zU`shse%JAuJfy!ndKE{|5qcMSBJ}PTBO|{Ryuh6+ar&wnVwxPxsy)*Ij5n=r>7c;E z^0HI&*^#)=D#H5i4zHW+T9Fl%6^VLcrrKfr!CP4v@Zh4Fw~_DrR;LM*zm9I5^$UBC z&*|P$?kxT-eS*4Lvc;O-IT~OQF;9f?Z|!ay?hN5uf>|1RMc@d&n$g`8$(;1x68wYN zKummq#a|R@Ux2AM^nQ@?v%ccS!&O<*E}1^vCY4(TCnvX@xX>eTEhBjZuREx$RCRgX zI5F!8ehT~4&%(dQgPXHilM3MVIqfI_z*%vWeHskm->99y1!*MOMv`<}ItVIsV^<=X z)g6pX8Y-q^iI4_6QfPzKLQ}gA?k*|XH#0A88{2qts-0O!vrzi6z9(jKQ-46RBt^#D z`!qPhbw+;xRe$-wZ}KLWv?3WH-4$dV^2^$k?I=iXB*xzSdUvHhWZ7$X43mEdPw;LW zT0ObfZQHr2wdm|-AL71nXGexVuiDNmOhB>;c==IwWesI^d(zU-fi8U*DSEMiRyct2235s16d#q!UQAjEC) z(~BcP#DV}3BDh0IEm0I!vTLr6wp_+E+0Ngr%u{vfw0_e$T9>kMc6O-NZ>Dpd);jil za_zo<_uPI8ATJmkC3NDZC5!Ja?qtmp__`6-lGciBP=Q=fPi?Gkd#G1kdO`0tUw+GI zxzOw)j17OgLb`vD>4L`Y4n6Lty&8wBP+1$Evbk0`2&!rb z@Cx~QoY=iHIX3xnqw2n;`%-r|MyCmsTKc6Vd+5-TWxM-TK&wq^)(>xr^emlxoU>u~ z*~E5Igc9sS=6+)#I0J;@e-tv!*C+Y0LU>~^rAz7XgmWjnxE^wUl;=mH-`%?Dc!w8_ z3+>3&UNO=>XMp)_IQ5#^eOd*qhO3`0VPa+U(;M!{kmK3& z?AE(`PUm_-xlNuw`gTn*y2?%^Go;BR;#*WZbN1MnXSYA9c+1>3A%xIsr?)n;?q&){ ztnx&Az_k5fkn53Yjd6Fh;{|7%fL&$5+?5LJ2R57jcJgQ7gtdx^EssVsE2@-?NSm8p zD@n?}{T4~0)hv>7tP1 zD_c6NTP!|)(E8*&C^4p1cg6^X`1(+=^XrpWV-Yf=GT!B3Q6Nob*wQfK&|>{QUokbU zYg$XW7V!?tyBArekzVRjv0A9$ro)KM{9%&GY_XNG-KF4fUPEog>S$8iAOe z?Mrbd6y`%lZ`wCfltpI;sJW9Q=dh9pv!so@1l+ny**X6>)8p;|~fJ z$v}*keM7sL)!brD;)AGkb1i>5?G9xtp2?d+J01|kH|3Kl=8BwG8JEs=5vpjqqGD1N zimHiimVZ#Bw)qln><*%Bps!NN z%t#JvwGg&B`2sT%E3Hg{%wu+<1Wbc^V2P*-1r^m~ywq7X6SU!@;p1VDITnne$@7I~ zcbRUjRasH26$4j%nS>!SGIDeHP2qNxhLVnYw;aSIUUzVIpUig1&j>F*APHZHe%yze z91J1f-J#4JLRBe>#srPKDuokB#dAjBGLfTAvy2pc+aZ}b(t1#kuuTA0O}35E zN#%$JLm%nha4j5}ZCYHlQQ+|y-vUsU2&{Gi(k5kAt4!K2h8>O)CnS93>t(7as=_%Q z72OO3=eN}XFXn$QiETif+lkCZ`M?Ul;h)Uf&-tg9=ZB!i)f5DUbIbvl$> z6(7NQzcHyk2{`G`7iPe!8<&%rlIfq4m(&+^^u%L9xxCQlKQXxBUyI+M_c_C1YJVOx zf9|$#NMrY_`3%K?bQo}JeM!$2xq5J+wxJ3!>7HC4JcT$5C3`(xPMC=0UKB$F!q^kM z^B9ER&tvy07#tO{+s?%4aVq;}E-voo20@#qPJZnXU!j1E4~zcS7S;TPxwy@fqyL2LbhUpKI{NWTolYh!=Uyk2<(f*g<1 z@ytZ+v!cx=2w$L}T^^5qTRMr-j>=e%vZ4v0VJp%cK)GUZ5k-W7OCmb;02_rG`s_-1 z6rPA?k{@nPU-n9W#vWfyA*Z^im}X0uP>BL=P4v)!M5l~&_b7&^4hz?x1zsXD=vJZG zlc_4XuZBk2qouPtd}pvnGOw;?@>lIs*9193Fpu9UM(*G;SoY2dzzlfn+`=hf*QBhz zpQw~gOxikMo>|imtpEcBHlG5efDjFB0LUj=2-=-&l;K{90O+J3odQWDuiYF?vme@o zbt>ezE+BOcM}4M`n>nx|c6Ub2^{AI3No~Vw)dzNw?z`ty5IeDUw7}6fvt0tG>w9Nf zt7i7rcOkW|BcXmDsN95TA)o?Af9^n^m7BAgIHWX22Aktt{}TrvKpD95>mTL?09zCrn9_;?g2IXh0ucuq5Sa!MkU)(Plt7UHlt7sP z6ju@t1dR&~2%Y2KzHVmVn>NNn=RpS&ISU|{ zx~`;`yeXiQxXGarzm2CBzfGi;x~};{7t9F@3IYTmag#wKbmC0HJ7I_?bzS}k0S5|D z@}`(p;x?6G}kN_fQzT|*_002D0I@lPz7(l!u2DlV9G!POuSu~P2rF23^9wdVM4#Y@Q z@PI-G&cqDyd(-`gK)OMOZWy||yE}*O?hfe|r9m1*KuQ{=Qxp`C7EroN z8op8VQQzl1=R5p>VP@a^TGw7{U3=#bEm5uxB< zVW8Cc+0m%2=@BKqdQoAsU_!H}iebP&xbV^f;UPnP=cS{@Oo)qn=5L_QRhyXFUKkV{ zsw={Sp(o0VDb7NJm|$6(O;CT$@ubSrsrq8wje*I*NU0cI-dBPAXd zKy_?lWl3mwTv>QT3IZG~l#{AFMOADFnH(yXiY)R2hSs-(`EP47^w)cVf@Uk z=G-)uXakLOd4(7$5oGtCFmhx_U?L*IL8`L*YhgtMcIvy-Kh2V~nP31ddD*L0#I*^Tv>%OABu8NtRrMbtS59sWqUm0i)5Dt{J zsAo?Jh^Z4}QZ;5bB)m!}u#* zQ9qmW=1psx_1XMlru$<3&R*ugdO+~f^4qspdjjsl$3l=oMs8mRQz&H;N%;PHfp*#N z{+{x59`F{9_4Nt62J+|mZ_K%k9Y?$Sy}o{l2eYru*IAx;?ajAj!}sKM#0X^gaoIjI z9(oz*9XxNnf%JU$vx2;|?Z7soI+iyX9CG8Xl9I9ngYBmR58|~ABx*qvXOi1nbVH0t zC=}h2mc<6k$BCf2knV@#i84o*C#(I{Y74J#ZFY$X!rm0zn7XL?XyXu~Fc2^mByZ#ct0|<& z;d;d4=-M~)ft3_8Vqwc=$S)1VyCUiNyXm3h#J7k=!pCJ6)f=X?@&p}J$H<# zPzXhWBXEPilIjp;!6Cw@crxL}h!6X-)^U*d&aLJjFb%?>yjfc9>{-eO))a=qJVZc* z4?Ptmia6sVLz27YfJKNv&lxGMiN!r%ta6pFv zlV04>L)9Co_HY~Rc8uCF?43qMS30Fxfdc;17apGW{#muyH&i6!se}Q0<**!gy-ZPd z>n99VQbvKdaweP3Wep6k7s!HBo1JD6t*B4xR_uhfH=nHl~A5(q^ zFXN-W@GdMACiAf!`*IEQqd_^V*ycLXj?HUMJ7~O0Vu?mUPy;ed>$sdVUIdw2#b%XS z5l*dGC}Ox2&fo-wE|$4@`O|>}B?acjIv}auTX@|X2h<9s{G8`B{Pup6;Z_?voFec< z5yfX?5SOyQ>}G3C+jn$ zSY0^+GQuRucR%)&%RPq!*KDB#U95FJjz5q|_g__GUe>7ZV9LmIrV_&&bWFChiG3DQ zi;T2ca(w%S7C{E)afP7F{((BxHOi3qQQMnaG&^mEO>i_6Jl8&$kf0|_u-uAm-iia@ zJ-Q$EXsFwRY_N|fQht&qnHBI9KMJO)xI8bwAEKFvN zDQe%@SGHK(*|#vOFFWK>@dg8vW1UfPj|h_L_5)Aa8=!8&!+CC=lA;T~n)>SUBAOW$ z5r=?6qU$l+{FA8p)1d^fdQo>wdOaqbvrtVz^FeDzm^-;zas3eLyU#gbKkkDDMTHB? zYv`Lymz!~R)ZoV=*wu*INeSdi4dK+w1QMxcD01LSmBv@?HK?J~Dh7kd1RKOotAQB%dj>Yq#8i+yLqwe{&`0tiiECk_&J#=K^23+WfRme#nw0@{s zge#8YhG29wH@}t^2+z?RBmAOnhT8K^26CV+YSQYvJVnnoD5i3mnm(&gLAcCWfap$S z9di4Yl4zpB2vsh=pRLLmwOxtCqfjj2J~H5)12x7RPmPvm z=L@*=jiDEE0s@?*6g8%P0bgrE*bw$;fW>_0qq-%=cb^gD@xzhZ-qJP;ojR{{gJ9Am zol$+|Kh-tLAmPmd5;Tr<={R^IkB757Hd^_$oi5O|0jV6fcV%-lpO6nJvyQ$w zFJqIxyk~(~4F;#p>t?1WMo^iY{i;D~PR=ADzO zBBn_?qVhF;UDFCI2QqQCCaAmVsj5BHZoAaT&k}>BbhhNk-9|}ZC3!AZv=p_h1qO%o zkCm}U+O>GTD@($81b;bANBs=`jAn46^rYh3AeMmpz7YQ>zhJP{n1CXWUh~08OAUyC zsAnU2-UnMUwp%=R{4nJ`OeS=uW`F)HoJP)srS(AgNc~DKI6xAWiUrlkRL;U&))}%b z>WFAQ9n;t`0zH~8h&^%~Jl(H4U}M{}!D;7YhYXOwY&VKXST6pc2@M+o?sCt0_8|nG z(p;6hE5b~3bIM{F>cS=%HRu_mPg^Skp@bTwTv!lJKXMPu?wWrCCSZ>ds4)nqnQe-o z)uI)H0{g{_nlRk0va+Qsx;`qR01p9GZ~loiBxwqOW5&gzb!mwe^L3S-81LW1^$@`H zZy2OJTh{}Bt5HpzQwik<_|T*25o{o2Iw8&~af@kMKu7xI5fbz#D^2XTR)^zSedH-D z#;@y)Z%Le*kVIkO{)7Nnv}B=MR$I%Z{6PNvABbUSm02~ zYL!JXr)8**FUPu5SoxM5%B3A4E5Uq14sOd^wAxhox?wuc(3B535mN7Zooms7YM)Y3 zmrZ$xj8nENM`?z%@dCWTRcEnad3QXY{t!k!lscD?cx+Tifw$Fk+9WbCBfuhAjk#wM zlc^?Q*^j^CtIfucy$ylzxr`yhuw~VtZ#a~{9^H1-B)hUd5?)6C(sOYw64ING)C8!T z3ocT#05q5#%TOlJqA8 zS8gIK>Venfn=Ud(0RRF zjv!vz!L&9%-+DuMRYMnmmmZ5}f1+S!iu$cy^hgv^s$^U<-Xb&r`lxr3pjRR^*|ml$ zz#Jn4o*i8;pK=RY7f%{m>yR_D%%lt{N?ch)S8tbFLjevCWg7@!6rO})0;G3srmz&* zB}Ph0hWaXec`c2p3q=lHRdJcZqB@mXu0{Y)OJ`?_DqgcxZT+OZ`h-$1!@?pa zoee2Qyfyt5#rzo^9H1CFOJKocWPultJxUKdDTytBngXRbJqG3zL9c13q1lsp>a#*! z-r+?!S2hH4qtGW^;YG!%JBML4ATC74YDQGZr%Mhne&pZ!4^He4SyF=%T(lo~rE?4b zx?$?~l6rCp@P@5?IfA1Z`~lrX$vc|1!tc>Ux3KAo zEkpau^h;LmJIOkjV?@B0_VGNB4Mc*(-UUZe6C_^q@1>V7q+E7 zJCFLIcZ@(%O6-QH?!;N|O4}l)=SPfOm?qH!_VD-$U-Fh2J+tJt>IX8RM0g(U7$C?Z zPg^sYV?;-2=#T^QDnua-N8}}^?q*oeo|PxkC8`wBl-hp4rKld0Y$CvE%CKp&HGgGP zB9$-rXp+ECO*JK-LaGWut=4lpbp@NNT=V&dV);YTIg^UU$wu8*Nh0NKEdJJB@8$&Ah)@LsFW?xhdntnDH1y#iDvh zWgK;Rl|LooLDO%4Eoxqbw1JruWL1bqjwkPR)QE#;N7X|jE4&ewXhWMgwxqKM%I>EXG#nV49v>lBy%d?G+G;t6jW z6H`H|oK&(hqy;?pA>$Cof!9+9)ZtpuRtsIz*dBraoG9Tu3%)eQ zQMLwSs!s*A9`Hw2$@S+y1X#jiF@dBG_;^l9r*{+C$`fF&!lw@0JC5yizU_x3NB4nLiwOo+mOQcuB2!o|gD#ZYO8n@cmnz+&5&$2F{gu%pv_CN!q6t z%hSR*fVfS`mvoA|$U(sxNKeBu*+azbKqR$2ug`(OR3>ATYLDUT@Ooe*-il^|P@jqN z20VNk7Q-GU&H#cFh?jhcADu30o-Y!S#q`LFLRVL5AStd#b72*>*bJ4O4wYYq9G<}D zqfzjLg&bSWJad0e7E|(vwHnzJpiwtY7kRzOw32zUc$e5*hQyh`qh&#*C+JG?$A^Na(igfNv`ST^Cg_tJyG{%P05+WTyEh8j+- z6ilgqdl+0*c2xk~Z+fE*nwvF_P}xD}b(W?W zg}?>TsWH?m*fd5)#B)kQE(A|KQ!y1fERx(d>4D0)5GH7`93t2jKP-Sm9vrH(GJaul zwt!Trb+cI8*BeBKDV8;rV&TOA#h{JJEr3N!f=?1$% zipSfp3;@nLhZ0|PHxxTe2-YG=>qTcfAzXae8`7sgo8epHN3Tp13{JWmQ=z^tiztuA zqZ{lT``}>F^zyA2*ERT4Ut|+?5~+n=2tK>QO#PSzs&OZkE`WdWoCD_V?ocC=YVY&xaCEaNt#w&?8L)(LTww2C9{#DzRLQfr-4Q^B`u8Bii{P)*(;Y+$c+z0f7N zrVQk+UF+Cx0zAGuE{ZQMS(Wr5I6~Ohl@`y>2df%5b%ltF_2f79uYQ*3arwxJf(4Hj zKI`|M2NpT}PNJURc#T3Wy8B>6CG)Maf_(603h3>D5ROc;==Xyb2fMnHDIbwvh8~!8 zNcH45KP-ilz=@zoFEwj>fEK>e-Lv#&bU&eRiH!cTixxiI2{?&+$>I9GUeMQie4Y*^ z;?cZxQ+~@V;lvb&!`VRB0anC%?Hi}{&G)_2s!Ks>*aUPaY}R9-c(e|JUV)zN7$qi@ zM@(maT&U*aJ_POQH2qCfMHmrXMPLHrt+x2c<@w3!$U?rLJCg_pBzl6iH+S22spV-M zRNxRg8-o7COO|z0aPx`&vO{!OBzULX8EzXj&vySDj;`1AKneQDr|(E5=*b1uD;I_U z{-Gp|sp85q7@R`TwGi>fP(yHUmf($csm4Ti#liBM_bz_+yXv% z8vgswhsrz1#RfO1aFPFh4)b3%7dhED|3A9{VsHgLuJFA>fKpPRU!YTcNcA`e(Kgk3cOg-Bh8 z`G*RAh3B*%@4AbldRt_3sDt}2c-&a8N4}nb_^9M?KS9H_x;*pJ{_vt8I)K}Xj16^@ zr^#9Foz(b$*_Ugc{#b+AWZJN zW&73b_f5xtnJQ@uVP$LP>0xOBRI+q*cJl?wSUOq$APV&2VBz@7@ZVeJ|HS9Gr~ME9 zJr89|ABZvR_b2WDFox$JW0-##^Rt8dag%fMaC5fs{8269FWleTr2hxfug*DmIr+Hg zSykOEy@1?opg#zzTRMQhlaq6@a&~hBd)PWV{n_=8w)f9#|3}yNBtZ9r^$!jY7bnL* zINzW3{xy&)ZWfkqwocanBhdH8{|nGhqyGWo1^q$j`{mDHKoZVwmOyn&cV|yG$fXf2 z4_gOYzkk#EeZlm<(qg+e{U0=*KZoz$Z}%?IhLEzdHUBrj_eS@0eue_u{}Kvp_XK{y zae~;`Al|xf@8v)oAz}V+TYd}nFS2a+9PWL{@pmA8 zN9U&hnX12vlYkw78n%v}kXZT80Qs#H|Izs`HXJ;h-0Z(%g5%Gakby)Vq@aM8<^S0E zZzcHE`A;dhxBMrKe~>shK%Bpj*zR-f-c3q!(m+UI6-ywbskxi2%fDFsR*wG;bU)nx z0P*p1{|@tejkyQXaIrMEwetNQ=s)E`!r93K;uUwGmiza2!9d7;-#={mE6x6;9BlWA z_1~?zr}oPlPA)bM-haa7mva2-;77Qy|C#bX1?;;u9yXT1?+NplE&o;%wtI^I0D`!A zAs)SFETN(<^@k=x3CEuH>S_8(^al@;vw@$lbi{*B7P1G%Z;ADTQr zvx5CTb?&p`r=;E+_DAUc4_^NP{?F2DK5i+Iy)#bmWiv53) zs-FY&4;m*Y=kHnT*UZfRr&NLd%nubWOE)iDOYgr7__sd&bmYAoe)jeYl7p9>4+44b zWHA>PhoAEMcclGWmi}YD<=|ucElWQc{|p?+!p0LaiD-B_I)dGN|1$O8g7jC!{EWtb zOy%L@fke#D;QUjNbiiJg-zVI^jsCX^{oVWDD#Qum0sZd%&r$w!)uN%U$i~eq4t9r3 z^6Fwrf3f*~%zq80p9BB5&T#N?gZ@!H_IrK0AF)62Bt5|n%&N|A9{)o7x32un?myC< z55)ct8pogd^(UGJB>5aH|0~{)WBxaG_YD5=6)!I@WXbx|SAR}Zf8wdD{566 z{+e2U&e{K9K&C=S=-y*+{JCn;_&#l$12t_OzpIHDBu{%*n~w!`c!KLX9@*S7*L&igPIH{jy_ahwrk<@IyU{<-Q@cZRs< zdvP`;OAA}@zo37uHr;_glL8{!klw8=e}6amZq~i=>X4bjfSnJ-!pRL}=l=eigNuiS zixbGf!@>qB@Am7yAVpgzdsZ<)K~^nwIXZ7|Zx){)eq%9rcBE(3`2Mc2Fsr!p_ht2u zfdCoZ-|F*Y9DW1;cIppcHg+}^b|5zwCkr=_jgOaw6Y>u?#0uX30RFqzreE)WaE+1!vneE-Ste*n5q{{IH_TiE{uV&`Dt;svsEai>rGTl0V5aDgCUzz(^k zix=V{E(j;cZp{B@_uQ|L{u|0~rN3tbaR(O<*LN-uMv%<@f%ktKvi}+6x4{3tISmrP z67CQ%-g~u^aOZ=({*fk-@Rf$-8Ki+U8^oCV)RF#f%Dvc2b3k6+>##H@kd5<49zzaN zb#pe?u=FrsRh5)x)r5SNhGa5C5G>t1Y%Sd(y(vksL(UOE@=YDmSjxvk=DW69LOzhu zfZ*`nC%cRWq!H(jbQgyF{5tpW$JgCob#&P{IRAfVx7u}-l8ofg7S3M!yjdzWv}`jp zU`Imce4$6d?a_@oMo0ydaI{|=Xn3~pY4em$ed1f&>e2ckee2Ej$uhdg`0n~k6{c(}RD(hpYnCy%~u=8nK- zEjERtdo5BOsIc6QBc714#8|Hc^Sr+CxjHtP-PMQ>dOv=Lws1x7TvReBP|B+=%fZ#J z--OmJOOVuBMXa3pUV1@03KZa~mvbo3%8z|FH-GvO9x0;tn3olUZuBapzsE)K{o5X> zlqS5h?c|PHY|Mxfu6}sf_b*w}+W9{D?Kff{EzXL40mAGPK0|fJZNeEP-QDA>Ykx~p znnD=ZiE9IjX&l1O)uFD%}x12GF_2z)(vH1-%@W`1!qm_Rx^OIC` zF+q{QQS3B{txWde&eEi9qvOX!#M={(+KI01a#`I(@YF6{KPmS0P2me#=42$#-Yybx zQk*ZSk+KU72kya^*d!{TA8oiir@UE5Q&n9ywc%Vp7sF=cNa(cJiw?^Y9Lycr&<0IH z!*bRreVyBQ;tIKFWpB)q)kfS#_1WG_E=VjBDb4C5Lk?YT7HXLt&<%IA@vKCboq_+v z1e;{N!f#M#y};KOiMBf6;$2lnDLUC3zxu#a-^EBXLY((cHqPB=y7aYeC=Dj69u&QAjP$bib-J9Ej+z zR+Cp!5wZ;6zVxJTKbb*M!@-+GUkb+*0;aIF6$Er%Q)3%zS;!aiTRCOeJDz<%bv*RM4 z646^!JS+fE2RFQW(;1Nf2C_fg4yZCBYRLG~&)J+@ykIHGC%r25-0<;myhX4irB*2p z`=_)t(r|VxE_^3lQey+Cl#xagcy+`zmT#iW4Ue_!1(Gd=+Tx-a;%r`CQ3b<_S22pW z1VYOsr;Kf-&bbBq1~D@=8@`X(!?=lMr2+ae5VcRn|GNhszEwC>rZ71-gHet}*<#xK6Ya(*fw05AGS@mka3Kjd8FR{}fRaes27qWb6 zfWjG_Gr`U*qegaD5-tolwPd>quY9@>|19y-b^fZw#p})G0mUase7xco>aT)cj!$k~ z`g-u@F>ia7+l^Zfcby&tqJJYzdb*?LxxS@UocyU`K+$vJEklIWSC8y_3iBs53-M*Z5!*b{RX;mHQa#8y3k#KZMOFrx^*&4&isJqrA4 zA3`jA!~m?ixvzl51aT9um$vAIcu>B1x7)gn;HGXnj;Pae@13qV4d%ka864V526=8> z*~rDWjKhl_+f2jPkifI>JBK6hxc3ZniraS)_boClQveIH(|~yDceUAptPd zFkT$&EP4BAq_KxU&M#YqULTdI|Fc%=xVh0tXT?K6FN%`&0ql@aGgj?m5u~7|W4Nid zIn&DR@#P5{8w~ldCH99%B$aqhg^YnlX!C4t7&*__)6vywHJ50~ z%6UqBln!EREopyTeSxH;G-H?kt?+p@xzwi>DBL$Aaxr0FCb1fd^^4Cu{W<7AFNPMV z5tB*6)c1BYYpV5Dqh4BNzdtohs-1yG2@JVLpdIeTnBRhRiuk-Sk@D=L+9RU$eTM;1D4#kkKKjVg+CWD+|V99vS#3V@=lS?>aj)=k5=SiU#q zp|I^!!ZcE5JC8m})&U!}uh@tMq}%Xn$eBMvwsPo-UiUw+u)a;sf{NH8;P-`WE4N?X zug(h73rF*nz|JRiMQGDjZpTsBjIq8q9$_W1LHT*ZRE^(j3tY+k5x%B){L(!2$Y&QM z#XjJ%hTx(J;P^~)Grq&Es2({M%3@A1XaK4 zw#Ti&hc>lC+Vz%KpP61beN?YCspi64TCo@-QU8!ZD&HbMPqD@YZM#DV2MHrv!43Gj z*a`t_^Yx@!1Q4-uiXztF%iGSLFeEBl?J##-_?TH-f7JzYn9DuM}cNz!E}RT`#=n(yfk#+Hh;(66-L-X$7QyHoFi*_r7 ziT66guhae1-YnYN(j*cuv0RcGVc?)!gdwbIqoun;wTkW~+v=jd;*6K=L8QDX{z^h` zPdNP<9r6#jhi&8_^t3Rn4&29mN-X7qb<<8AW$1Hmo`KYH0VR%4b*FF$NOqQ=-M)28 z**^&nI8j?OK9IO@LnEH*G=;IMt3;-$Wjyeg45QHUdJ)a1)}@Ew6|<#y0rTK#5DdL| zM$z`JBho&bzC6j>E28oaL@oxp&hd(j@PT#;)>CmC-x65uZa)w)R2HV6i6r3g?U+xF zrVvX+wofrenp?AN`!NFFX1N0H7sWa;Ah8;TVIhgT+1W?YCk2v+0Egk!CkV>@ zbLR?~4GaThQw!-b0Xk4h=Lj#3H!=-nZ9l>n(LN*1I=-kG!sUDV-V3&<(WW%Q1F-Zc zB!Fvpz2wcCm>`&srpUTZB_<`d8gc^)?gKev1mZ9Sd|M@LvN3xfNxM=u4+lN$AKqP? zWoaKXw5Y&j@Zs)tM2E4hy!N{w)vTYLNsn-wYXCm%C1AQZnxGeLi9s~R24i+yVZsP$ zOy`=<(Xu7KXNlY3R}U9TRILh`&JhH^vady{EVDx51x!{;(4M{0T@PJU81%IfL#6@f zvJPFjDbLBsV1&%#B;rl;z#Nx;gT5{^O9nq87+5H99YmPzFja=Z!@gefHQI5D80;mu zyyYB^krMpK&)OhoGrlUikN)26W9D_?CV*w^iP6Kir}N~jmlzG`m{^g|zd>8H8CTho zz0{?7vWhyVDMs41pP0?qF`*?o0MBhvYc7&vDYYy8^78d*!32qpoUzXb&2aGBZ)xye z0$nmXHoXuRIb@Iptp025Cy+ORK!$En`=ab&=?^z>?8?ul_{DE7b)Ryyg0(Q&oYL*l zM~S=C#pQzR*#1WY8SOCFwLX;SyaDh?44)^55t+J_2|=VT1tZohxLwe88Sx#B#^cmc z0j=I|3n|A=+{_krwAc$jAo(T`&wUXzNBAsP7s85T|Ebh}Q3)ZOd06@bLuIRsi4m5+ zGpI_rq&tl4;=sCxT!w7gG>1YK-hpNz^6*LH0KQkO8dj7Mn%npJtS@w&j*Wu zE__^>hGc%yTvsblq3seKTgq{Xr|7<0gizwih(;M_{+!w!VRuc{=$avALuH;LLvTPM z(XR#;R>zM6k00&vG=%P~F!APoG&$ebEQ1lkAbaS^P)eN3@D772DS3CSJHc&TTVXV# zwc&A!TaiY`;k$Sn6GPfQE-=RI>Il`dSJg{1nskg3uWv- zkJ@XVNrf-SO}g6KGP1#Q=A}vQks&wLTBEga$;qc;0JZoCtai*0IMy;do?S(cD#L7; z9?+lRwua{lT&jN4Pq$)!qr~x6>kR7FVJ~}Mm`jzW11VvJ!h+uXW)fs_mgswd7mZ|5 z6yI^!_PV^4g`w?~J?t=WddcSNWpK+ol8D#tMFj8TeGJ5kre%i)sfZBVby&Cah6 zZ{J`Si`O@=g_!e7OiA$DJ<3>$03ylGE}bJd(S}xhP#WDR#?9>#-%b@N7%s@{^f7gu ze{Bkb(Q{GrE~zeWi2p_i+JHf;coYBq z0Y>Yt$8lGqK@$_X%MaoYTjN4-*S#nn)gs`eQu4SZU=twpy4)wGD&ve z!G?Sb38zcY-NXLiJB#S0Ckc~b)#my5`L5Jap^FK*VU9hZ`g|u%cHC_1W|Uz86{upr zlFumWA7JFDrdKi@6^tvzcEYy$X^#}}GJK=4XDdwsOTGyDqPDxVM>OzG(InOJy@J|D zX4A6n5Qx5 zqe>qgFD>-T_=h-G3NAYVssv~u2ezu`UyR_~6G~0^}@NlY7;|tp&yJ)<5u|cE`Ue5Am zli)UsNp;3Yk5e>ub#*MVOH(9as*x-%VW@IwuIUNf}rVTCkV2n7Svwf_$Bz(Vg6tHb zON#i=Uc0FBquKYos2aew4{!8b?GY_Y?D}4TND1Ywig?3&oK&pX=eZWNc`So`y6FwJ!{A{P`_KPmf*SocYb!1hPHULtRkt@L4=`Y3};O- zS2->SZOwz8w{eL2c<}Jcb`I+fR4_3QU%u_TTh)EJ<70{NvRkGXY9uEDqpyZjKV8Hj zU5=*#W0_AWg_}QfwFHq75j=c>(i*s}28{8~NqEM6I5p`j)WPkUon%zXWiiDZqg0alnB{i+)|eV_5C!a+;BZeJCUdbug|$4t;^5YAx#;8m~UcVQY}2)>Rp~dG}8yj&$OE znZ>=}_J!ZU+%^6H)C?^Z;0ozVCVq3^2J+n~2%JunT8`X7$|sA?_9YONc@G`!W*FYX zYW0}`fdcH(^_Yj)EaA#-?Z_ptK$nK`p?6@T>S#~O9_}}UHAWWrQHp?pJ*G@Dp9I%v z3RHdJ=a^#UVPOu2)b*Xn)j$lSets_D3n>A?kFNq|zasZNt$7WH$^n>lR({rM%RI-D zsf5w@$rNgeF$e#gLd}a*?j67vwbUO;V-<^yW@4>^)uB#Oe~8&U2D{P@8&7VNh$~Xy zlKv}9%Va=jBr!GYQq7(WxVX6BK)})+PYFEoIDJbOfq?3z_~&9MItueIC#~$XR%dhi zb`kDhdov%ad9A-g35ZAIDp4&%5JC9Ntf#bOK5zU?a4~0K-xPI8v@ain$0w=0k5O{i?Wja?L4oFLDv4btF&OGd&uW}(&Q(l`n~inXup zQ*0k!nUJMyVV>c;#3y?&N)D;oSj{f=IQ_-eXQEB+bk969E4ym#vpt3hhSr`)$84!J zL8^D^pxH&0HZ9&W_4=p0Gx}G^P3YZj#YAq_)}af)&nzT1x514sN@U*6AuXQiymkEs zjB$9KHPGvY3U@H%&*2u0E2Ww*1Eha^y8m%fE5~Rc6#8Xs&zj7)qE`K@kz#d4K7iqB zD|1Hqd?P;IqQ{LBkte@GKIiaq$C#AZ$sdtjun~gpDg~yAUzA)X=siajGYr21k zYKfOh{+r2jzT?MA5~du&jF3k2^-$AHkzpv5?7arcE|Em9RRe(ahTrOymTBU)A5k$e88H8c&qY6s(nq)j*-c5qorL_ ztHgOlN}n!>ax@dbZB#5buOGZp))_=0riG_DA?IrxlaP4YWifNbJC*uEdl=1)&Remx zdEK|24eh~h*sR=0w&Q{(*IS#SB{BY(_zGpsApPa+!N3|31OsYBwaHWnxm(bEONJW=|jMZ%3?V-+t_zACwQz12=z4s%E2 zkK9GXwqtP0Hrr)E6ZUlH6j)N5=(g%M-k_(WCsNgaRIg4LulPu@W${ru%FCf{n$)_I zb5S{08KqLvte3nro5ZQU`}1QjP^>Y~C!w$1jm6?7R71b;u87AesjansR1_Of1G}EM zDDwz29eSiagaO346eKo0X;!G5))1iC!wR$3r;oZxcwB+=w24!1CTr#dC7R_DtR5C~ z1z)A$PZBXfL}=&XWTT`h@;qafMxZi~$#0kEwJb8vbV<78XH&v+M?dx{=*XUc!skp# zfpv7lg2MW@k}R}qxH^*80Pl@=$~>&EUZ%LhIH~v&hE6M{8Mm0TV6$?#6kfDCA^2H1 zYDu~K*->f}1U!smv0O?`DQ-t#J$}4qkPtLjD9figW@mvtP%xO#Ux)2H$G_iQbKOJfr&N42A~k1tWnLYjM~=raqi{_* z6Vw{?fgICM3M@6c(&ZNqCgMW5^hY5Z-^lT~ zRD8F3*wp|Bkk=G?dIzHUCqv^T;SRVQS(tc#S4zL928w^mO>bSpTl)GZ(Y;) z60)^Mymy3EIMU*3_uJR6&5fl#?|)G7X~9$hqXm$&8C7oE$R_7(nVP=ntoC-At25Pz zPhU42FH9bMI2A#yypYsKVnmfqERV~XNGRNEOx3BYm(94OZHAmf-CnG`#b#QS-k%0} zphRkp)>(JN@y%y~y~AtrT`Zmo3mCbe%4jULm6*v`IubLSr*`u>;x%pvjX1WtbH^m1}ePYO185f zTA3b$k*klwg;u!_(+BkY9q-%>s~Pz~%DMbZcyssm%F_#^>&EfvVD5MJJiU5BQ;LQDwzyB?+w;Ucfi1J_8Mm7}2g#soSvxqk zmz?>fhdJy=*w?;|*(SW54c+MRL(+pf8pzh4v)Fm#6GPc*W3Y%s#wMm>7ZyQ7?+l!6 z!j<{~=AR}$NtW1(^*`&EX>icwXj59DG5k~u${YVSmN^eD$DVgXN}ubYeg1$x+B9o| zSW*tbTVCu*^`o))FJA1@Z+T=^$mPhvWQ-p-cDmuR5(;eI!M20E;;zTN83wqR)tNKU z0hJB9A-8}Wcg>|zrbQsvlGEkd;7X$pueZerx*>kgD{kiDI_$Bk_C*gbPwgqERC;Ya zzqsKJO4NBahSVBvycf02zH*?%+Re{7*qQrs;_oTpx^=?iA_*+wSX!5F z6&BabaZjM-JeDif_^_zP$IU1|iD?8eeRV`i7F2If<$x2mJrX9SVVP{ruwUoUwtTGl zrAHtZ7E`OxDKTRZ#bmfhI1|3(C_d&ftnz*j*Kk{quzt3-w(*Po8y~2}+;nlX#YL_b zO6mZg!b}#-nOq-EY8qy{x~o>4 z@t^njhE}y)BDe#!8?}#0(vAcvqkBnwY9E_4v9n869;~KS;54@?gVJR51}H4KwTYN3 z8SELHweUT=O4Xyi9V{2c^}ZM-_-OkTDr9punpW1tG%5D->SrcgAdP>xHQP=bVrt%1 zRLo`?=Ze@;&D!n5<@7dnVYQ!+iCd62jM39`h5rndtL0hGyE#XSoVXiL%jE6J zs0~_uZY^{)rFHXibhw?<&4CS!Jk_B*g)67;Jp7S-_F=C?!y<1^_a`mJ3|9<*HEU>v zL``%%vlRXcpU&7mOj2NJ>Z1pc3tkLp`ZOroYmddsl+OYl4b_JFf26Hzc8eIdWXz`*$6#?!2rIx#Yj(wye4J`Y#VlWbtMB1Y+iLvKER zV~TwH4JSC4&%?^-)LX7RC--PPYGSG6V1B=e_OIqc1PcUJBpd-0Go*etJ(P zZ2X$@_R6>IZm!2KMLPuU>9M|6ImH@uFuf zef!hPvy^MdFJ_9Mz`UgH>c$`DrgDDv-G&R2r}%MJ0=>sEeob(aJeSFJ^$vGB=XH<>V;#IBUQ|;ym?+$ z$R_5?$KOV0r~t6337E9m(Cn-Y6KOFivZ2Lh{-4)q5vJcPxL35&%PWWJnVL`;XH8CO zl_}@0T*XCivTw%o)VG}T<_Sr!GP_#bmMu@)$dPNB>?p}#Fgdg(x?PiMOW>5bpZNHM zP&D}a?iBL2G3`_&#*R!ZetKaSf(W>TebC@|3@i9`#(O#cWvt$i%frvWpqQZEi6JfV z3YD>Of;QG~H#$+tAOjP7d^wwkH$xm`-lB36?*T!^Ec95?&7hOaB%uxCu*1VHLK7lH z9Js{S4Uyz3l~dB>PeVDj-A7=$dgi@wx6LD{hYY{K3xDA9bbioLt$+MclMKCzH-3o~ zKMt7&f3XZ6=Mk@^wi#!AH4zDxv-e4~|Co@^sl$@%lO+#2OC*#wq6fJIGM#wt4dLT{ z{QB=ZJU{htJh>FR+qQzOKe$d;AscN?+r~g=(p^E~B(a`ZEreU`bBGzVI0&*JI^nCm zTi(jWywgC4DBCyiNw;d&Z@K*dT6=-l2=H%xS6LBhonGSh4O(G;ZnrV;QMT3k*PWi@ z#nWJpm8tk!Ccp{wr90Il5m_X;5Nfchq79Ha>|?!Iu}r9tJ#=txj$w^(Q!HxCOw`%_ zbtAEMmae6cOU0!LiR#10FRnMBTvQO*caRaAg@*W{un$|La2i=&+qQK9-`tleb6N8_^M_cN7;27?;=xEQ$!U z`!n}q(~YvGD1^q@(pfAeS7m;cdUvxd=6;8ghYHmuo~L=yU8R_F1eMno7z7q}tX*=u zk>o5H?{|>k-}LREzDr*bb@MQNJkU3PynJ<|%JGgq%j!MOlVYK|XUDmvm--jDb6bhL zeem-VZ{G?%vu>Ph@V*USWc|F`gyqK8Pp!~4&hskZGh-$yX6iD(dPh6gZby?QPXVpc z1Kz4$spZ<&B4Y#ub?C-C_LLYacyC?pdPrsS%B*4)Cq;N(Aa+T0yVodi??R_^p84S0 zv%#Uit0TaW!KHQb(GYc(M943R#$+e@#Q9NGG$4O!*?u)$pofm~wSm_Y<*egqVG{FT z0!7`5XU;%Cj+Yj9ao>k8hk&FrZAF|#$qsY>itH=xON6)wQRx*J7gQyO_8nE(wCUu?wWJO@BvcrLa28cW^9+8?!|--K5ocB_pO z!Z4%?u)|-2583%hDVZ1*F~9(eTx3K>6><()X;cYbAi@u-o#GNX7n>9Vh*}iAD4{oo zSI9@?1|%>137C-^w!LGn;Ii*SrH4}a_|YJBp89vtb$^8dqJ@B`p$8e9Y2uGvJNdn_ zG(_S-iWqkGs$q0fHhK03NXLh=QWq!~?yGj%u5Rvz$~ zk!@Tn;!bV5UvWJ0K2o_n%%Qgzm6jv$-Up$Vg{nYdMsGA!E#%ZygNeozG;D32UkLY_ zSii-WH?&ybXMO8X$a;MI4E;3W&)43INP9zN_bmB^BVZG{rvz{aJ#IQXp9k`3=yoqD z2+g?}5)ta$9PV8~80dq|@J+|A{cI4TjYijADEUV!8T3>n&^JlI+t3_Xu|qpz0U~?| zW!O6iEj~S$KzbmQut?O!{EIo=4D69w_E4nOE%E|yBw+lw2U&rPbZ@~T3F}O;w-=!I zsLvG@eL@ZX$#L0&D0dxqN$6hCw!MY2l*v=1a8g%(s$xkf^FuZ3_b#K5zDfk~;kM}w zE>t5Hb|8!%21(ZqwbqFR>R*0sb_bqeOIvhe<)4z3DWSbM$QOISgGmFsh5 z5A%&L4}+jYnOPby+Y68dX(O}GAf{A%GsVFj0vs5PFO8=>aqWrwo0&rSj1nI|nR^w} z6fh6s!6}KV@dx-Kl<*^>JAcz{ctrV&#jwajEH8WOfwp(}zJ74kGmM@uC$b!jVn*bd z{epFOe%(edes3#v`@OoDDG7q|YN?M;w`FR?`qJo-RUeVUlpnw}9`c+ELrX3zOjY*a ze|F#wpgc(FV%&5M&pr}=35&{JOH49?v~~@e~aHNYiaVl26R3We}7fREnaYz z?2hOP^Vsu|@YcsLXD4{=KnyK^OYK3tq9E;NFsrLdAhesH|F(>czYf{k%G;)~C=&g2Rq@SDwdB&0+x_r=1Sy7{HN1Q(3D0 zlf}<>Fb{bF5r!#Q7x`qomTs-7Sz_vTS1*E3Wl7X$Z(fFY!v5p_=+-1~Yw}=DcZ*8w zV}#&KgtXu9(#7idd=?Sy^u5n?3)~VnJ8b9&u6iy)^Zkp9=($%W@7LSxHYi~~SqIfU zio7ejX6P=DmJ!Ym%*SkAg6f?*b`$D^&?b82KU4l7sxfgoG2+ovEyVs#R5X zw*$#iP06EFwZ{e{O-s~*&Zy=VDsN2uYgB_k%;=%*dkmZ|(HpoD68#RCNcIqalN7w@ z&(?Kla5aS-Ftzo$7K;EFegoz+kDYeDZBGTWILp>J_&S)?qHWf^=97?ZEH)!}j|_|j z<-s$(?e4CFCUzvKWg*+omgMtbR#47(T>g_o4^Bu)RXm$lTWF(cTG2JHLi5Zeod6=f zHDk=1Ox3zt*5QeaJm2kiO`NFP8Q4mEdPjoqfAmrS3zQY5O^?w2Red+$uMhqqyxkln zzOPA{k8fOZ8f)=wJyVp$A3z2zp{|gyTq0ezZxnD}7ecwHzXAcri!tuu>p2B+LN8d& z5%7;8pT3g%ZIE`2(q>%c=z{L}>Z! zPm^{iS0u39t@Wy{B^JwkD_WeWufuPU;j%B?wZR`ICekrQeuHHMK3M$(vlBbB#mwXW1VU(axPr8y<15k~VJ6VL%_tc3l`u!s_Ll6232#!k#p=tR!e5-%vM+(=8bAUEJ8QZ4`h9=gJcD47oW03k zu^9*}@`DZyS0|w^#NxP1hfu~poo}gB9%yaC6{1R+N)yBErs+L==oh5~KkW&|o9DJe zyo1|(aO;(~QpX`}G8b9eyKXn0&KL)u3esC89bkVZ4lHnzZ=ve9sdY>8&fN*y6$}QF z#@?CJ)8SB|7vSZ;5Mvu6l*`!2u}*eBf1Th{kr+&*rEp~OeO!KBMbYb5t#t$ddlv3S z)x{jcyBpqFGf0(=K%s{K#OnoQuUTS=^n26Sd!E;H@?I%pHiN@s+dGPKkz6cL=0L@I9i*drAp{PEZZ3Rl|mOs;K1(y^0WCMZKIAomCkjIKn1BQKro|EK}cQ4?-*c+JLA= z()Zg_Hd4)`yX{%S+N;ItdA$d~i-*mN{m$e+$bCC?>mb|r0OzPLaxY1hs3j2R{PQ3Y zhEzSpx#dZAnnTHogz1aii`ByA`Y!~hOD}^~Y)k}dqBpVqgs(?Qa*jBHo?LA-Ip=UP z=qvgn_al*0rhkxoxrp2`Yi~JtA5Rai}N4k{zbif4Nl54{||EC z_eJhGLM^+?v~HN5;TK%tI?|+N7|A$`wOXHZE7<>_tzk~LF>Yo2v)cj?8zRr^U zW2Byd;ZI2OcX)>F&&m3K#WR0_u74ZP{D;{6f9sh)e}w-neCE%0{VyxCeEAu6b^>NL zjz7G~A3lSbgW=!SChQ!4%EiCoGk;#ze_4l#iTN8nBLVZ5&H17lOl-BSM)C;#0{gXPOq{J9JMEvCWrg-`z2Q(t0(ncy!u`Ts#|{8P64huENJ z{WrvhlpT&3T<2re(Jl-^L->Fv9x@k0Y_PwMcsE`oPJG;%iYp0eJdMbwuY~VeHl76b znF%oPLadI6uXmj+U*^oyzVFk8H~TwbG;_8e-V4T_9=?*flC;r;4|f+{?~@6H5=2hq zA=j&j1-Bm-ug!+uI-m8}9Gjb3mvxCu`*W*|Ag{J=BtBi0-DP$YU)Cr4T^MzpIIkaH zW@>(E{8^C{7N7Qp_Qw00y%(FU+^8~J%qnXVtlh4uA@a@pGl6?Zrl^8CP!z`=WlW6> zVYFLHpP9PgQ1Y+?$IPmA$Z=$Sam)ZM$1a8nlg*%=UtdhyIpltcP31#0r7zP9W=%Ig zZMKpP^M((mVcL#i`7@MmV0sa`1G-&y(_-B~O$a3_Q*Bhi z$!G7cZ(#3k2~O#b+^k#_VAluFRp7(q9CE=RCy+7>c!ml0XGIg6myL1#FRKw%Z6W6p zL z*FX$WN}gONf|$py-V_z@yYAe*p6Y$0`}U1)WAma@S8szmvIu|k`f2^u4GlP|w+lSJ zzZolJrBG8?H7>Hmp&idMQ(bwU=Ef2GQK%ryTt=-X_Z!;-oyZxezZ#IaP9DG+`~ibOdn0 zaWP+U7t)rDtcA!8+k#+N_TnCdWy_p}l30$CRO%-4UO4VV!ee0AWd}x|w&a~idO|s; z1T8ZZSJTM>@%g*eCsJ|xO-#z*aDlPI(Mm%v7;-;2$3Dg({UEdJT$o@r1AXR2 zDa8dJ+e?umtSH2AMz-9wYla->ETL#f)793UIU3?ggmP!JR+8af6ydm`p!?I1%izJ| zD+@$O;ldlr7~^Nu;BX4C)$+6oQE3}U*xdU3iJf#?KLi3$O8541f%3H>bcFZ&0CS9X z1HT9BD%0SA*NCSI;AzxgUrf;JjFw}kq6aIS^e$oBu6tz~7>^Y;i1FqQ%vU>^CMr5~ z|48kYuC8l7ZiN#%Y!w}{rx&XD{TEX0 zPz9MTyD34>1Zfd*E2}F#Q_()e)0NW{f;f zDM9je-Yvzcr6ww;)Nzd~W*Qq~Z5Sw#6$!|%$U8AEg?iUL;gr34YzC|>;Z@@N6~);? zvI;GWg%c#sQFFEx&n)Q&;{^zEM0SB&S-E`M2|4T@sZ1^#PS2sm?%x&g6yWdWWF7e@ z1VQzp!QV2YWGhuI>w(Q7tjXh(151;5@3<*Kh>Yv^_!-SB=gp-|GwxI!Pv90uH31xZ zkwh(IgbTEQ!`IfH)CuzJ0WbD0;uZi(~N%+J<3qbE1<6CP`xBgHwX#JUKPsf2+33ny=7$0Zp*liIRWFgYGs>_WxQsD z!6a3!De4W=i1MdJ=s^TQ7UlJ6A1aag(||_U3G0-|PKDt>B2Mm6mAj6Lxyxf1+gaCq(8pz#Fn;^dE~a`={xyvDrE^Rr?xjLw{qhH0jNo#FYg|b zi>1q4I90c}w+g3x@13cy;irK_<7&fJkUaJD6>T~>wI$^&M<0=pRlQd1W}WKyfo0D- zPmG`3i4w~kK3W{e;9o4zkwZ8t+^5)dDrz_v(E*nu^U58Dfw4#PaEkHmvzfP0J}mF2 z`JG$0#i|eC^Pu|mG-C_*-0D}{bNp9%D*Q%U`vGnmXrdc8V@4a{v%#)hh|Ht)Xpo|# zjaiE#$f!ztTb+CXnRUXsrB*cF8F_S2A{|TOpiqH@_0~@6V_vG6_&HeUf_6f`fXhQz zun8Uh{#mrPAp;6 z=Rk@}f#8gWB15rTLgTd^5e{agP-W?mEshUEq}t1(#Czx+ZpG zi&@m&f>rSZnn6H;NCP8=DU7OCAq0^rtOki})}YWbQmFWf2n{$89zy3KG)(KKqg;^I zyA}i0&Dhk~w1U!~dyq3T+m<2yBwOu9hB5+FQX?hALALf%)jb4`hPsw0s`NlJXEr3q z5x%O!Rf`(FH@|Q2^xBoJ%^@&r#0pwr)K>!oW0EYj(oG0FhUz=>um z^sleYctd{|n9-T62IRpIt6aOWkKFk;i` zKd**NwGswXdrU1NJ~?r8a?5OFrUFO0XMKHBsa{ep%e--$ez+`96Gd0H_Z+3u_B6dH zealF-hmC6VDp8^zVs)!Jl;s0ESej*h_*({Ab$${qw+&M{wqW3gO1x^AOQ$A#L3L;f ziO?}(k6T4T7R3VHQZ~*(>e1x_tC+Uzu0yg9G7QD!Szo!PM;(c6`r}!E@`HrkSSeUY zn;e&W;iOiE3&XlyhMhVpe5mx%fbpq9T}=#jqmOet{2{8$_&6%_)P(1O`D|cdqH;4$BR?+BcMkp%eXX4yT z3KS7Gql45<#_RYzE&EsbnR@W8F%>z95fjkAP8h^8!Zw~6Oqc--EPr-8DTamPb@&i+ zLnYC2%trRX+H%Bw}Ee`3t;8|!W1+5cLO(A z(xcF1dKa;tg@`LOKs(c(SSi>>CsM*WSjS$K+4xvAlSDnTZ>Awn89Q5=`=ID+gTdTr zkb!Z~<&!ZskBC?RIsuy%>*ECUaA*&GP>>dZLF+)ucWy3J^v!p8gQkp;WiK{GwtokR zG&Zb!{2CY2v4&cB!j8U*5_NfBGbf>p#eh>XN!=CB$#0yHdiA6f;-Q(m2~qYLE^^UCwLDIN#eibCH8m443m%v`sJ_B#8 zcUiUJbMRd;%&2~C7AjQPwo3t!w+QHk(u$Q~3Jjfu@*J`C(0A;FDaBZ@(XbgbmLbaU zkge!4JdFVB*}XlJJ)P|*EocbvSq=>P*ssMK+b|GL^BbrlaTg5Rs0t4A;*>altl@9u8%LH@kX(uThkOx@8E?s z0}kv$^57fdzg;DJM*M??ggVc)%U?$mvISEzhew$8&TqmUXe?1PDkh}VFyP2Sm4dQB zf3#<&WI7)}Q1BzC{2@c(@IZf2StW}i!-hV>C{FpOm=SB`na~d}k4_iM_GBauC{F~h zqhVga==ex09EBo~?nIRw3an5AYnmCV5m5(r+u=`RcW(O>Ypv$K1?I*d*&2!8=*HX% zAXT)1BSmbog}up^&)vkptXK`1t@$;lbcwY_j0e;;EJGo5N5`DWt8I1)O14iegRp!^ zZ$Q}P`GZJz=PnK^wIeFFC4x1S4+%r;+M;x0dj>>v(c#!Nl1TDUL zoK=F_sv`n9YlO_}+25NORVjn0^cVst{7 z-lkgqJ1^M#2<0$dA_v-4hFF {IsV68_61>bYx^8zU2`%aIb(Ln|v3&)jRFWa`y| zo)p+p8~@HLeVL^yF%UyOuW0MRrGWn+FU@kQ>kFC`Gjk=5zo}W}=ohJC&slhU1`!-S zkq|$R&vKvP(B?jhRgzeab%byt>#$7vsS$hYIRl1?Jq%=Xl9)xQu?Kb&+nWkhQ4pCC z&@vgMIY~X)Z1**FZ7t9a;6qbawvcf}Y_btYO*lFYk4!S?LQL^>R`Q|cFyu!Q)~Mo! zbTMthf(%8c%J@$dl@fFC2K$%d&#h~A z#CP-??nJH(EY%+uZz<>D}k+R zDONjme-b!cXW&BN@~J%7%V#;R^buj|52N4g%wG1ctEXV5vQ#)ci;5|xrz6y5g^Ik3KlJTt zyFS?Hb5k}u5A(V&z=4F0^ua4V@_zM+Pz&>B+C!FUX7`SSNkpWD0{7<B*=fUrL7hfE`>804|o z62MJQk3R`7pLHpU3eP0{ZEXp{$>VB{XHcvaM_X$>*y^Kq2Neab=C1waLy|@PTTD>E zYhXNz23;+ma^H}K{kkyWn5;97?J;MZ?R3&wyJP5L^oWap&0O}15R`3MEJ$+B+54=}ZQ#ZVxy<&>5 z&n;jS$D!rG2*j4?T?pFJdsm9Le>KLkc%%o!lRP6}WeNkL$@7xRHL{n7TJ#%ANIRR# zNAysa(e%)~wd9ub-ZQq}gn9*SjiS4i59ZT}Cf2eAi+tK_^5b2n$kHq!t(t20)FXs2 zoriG)w=@vL5qCu`RVV6hQ3f>Usi$XeVxCRxVJOvfy~>bBZ-LDkO_ZMLYAAe$KySrL zc)zPadN5%HM>OS@iEoL#D~O~xVoSm&1Tfj^2O`iuElmbAFV|#4w@0vI z+1c0Z3s}UWhyqfmsV@is6NQpY?Dv@F)q22k>Zm*Ad5W8YED5eQA3?}1cQwV^K>DR& zxDoh30l*Zh&S7!z0nJPep$CaUKkcsednW|H^1xfe%3VpxSvK>1JpQ!%d>L;14x1t4 zTgb&{Sum|@p)riF_7q=G+EW;hg7Xg zEde#I+e9LPYq#&kq<;Ppf;vsK;k2R#-{_|US<@v)OE%e-Bv)oAk!qwney4xt>p zoTkz`mP@-B3O-zjfZ3GPy|S6VQ0Bt^K9dwXB1|s2X6`70x+7}E-+ih$TbGE+^uW7e zmr7Y_BxwW}jxps1v)%B!hL9=Zz9gZ0?6^>Q_V?#719KFwJPF8Nj1I>E1PLkTqr|dd zSaDCuY@7#26PrrmL%2DIaNX|2u1`5vR1%xym1-Z^8S*22Yx;7h#AR8tSrsLgrWlj3 z%TKFXW_WjfV~y5!l$A6zlBW7(`a)4PhDqh?E4ffmd4Y%!Q7u6t!nM<6I0FWc+*{FN4fkRCw=Q1pX(Q*yuwQ3jn2i(@?bvnMDpoq(( z@lUzZ)Ht%anNtTpkj+3e6@y`LC%q6W_i2{~&6-BvPUHiin|5z9zS_furd$u0-M|;z z(&?dG{&sMC)+|}VLM~Xy({oOSxOp#d~(#~NzUz|+gDeNkvO*5X=ps=5CVb*BX2Y#vo~B_`mUbq4%oFw#Br z6J@51n$1?R$xLVBqliV+p>!*`smZxP;;6Wyed29Sqey`dQ~y=+PD9x`&2x0!#r(_}#%y`WQgP{0DlZ%F)RzQZRC`de#Ac5zE9ex7G^4$NvHA{ zpTxR2A^CgTL%j!jqg7yy>>lzYXU02?u{=j`oUNX!o=i#koE0FV zMCs|%uIr~|^<2i~m$@iCd3YZPe6M~Y>>IkD39Y-^C53 zAT@zcxlsP%b}FEmrz!eF(Ftar(ieeg_dZ>Tz_uLf5}W23(zbkTYGhLj+s-ge&FjlQYM z{XmP4eC^zguk#l$4gF>~DYKa~-%`F?_xzrn2!;9W*10&+9p@5GcP8;=RZe0;>?MJ< zZ<5;TBs;Cv>LXw6rq^v|q#4N`+;3(b6^Cz=E;%lPh}~%75Rr0F^+w{s$I@o~-5^}m zP8Mr3-YZ{wZfeLDc88Bn&cvt`52Fl!Gs{sY4%n0>cga87=<;!Yr6xmoCfuxKB6zm3Ao}h(MO| zhePugB#2oBE(^dIWx0*QTl+Fowx|WoFHRwjtGVzgaqCI>z!1%gmqsz`s+}fId)G%C z!$r;y)7-PvVdoPp-{IpSxJw?vw=wDcM9KR@@*mBoP%ys(Gd_%3wbk2^#BJ#l%ui(@ zY!fw7$;><6ZAOAgt@>Fd%u7H-B@?|T`^wh{n`*lVp?^3+eTqRFO6iSGo(VPjJsbpn zdb^n)gX%h~49~V#;&wKt-(`7cFm`MO=<&o;gkxE(CgER$FrKiZj2)pmH!eLGPtmL7 zu;`E?f;S9C*fa`icRUyG^pw`f(XDm!-nZ+48WpuBeCO`BFIeZNn9&JPsB z@~rgT&#ul5KcVC6XKWMHZ$N{Y?t)dM`mGxJ#)$Ohoe*?vOY|V9Kd%uQJe5QfG zJS9E@pwZ+|5H+hKiQ6KYb|#)6`ZvOX8*eN{+}I=45e{%GCVkcc=VSOX-N2!vpJr&g zYH!p?Pc}cFb;O_F`+VO%cDp_q>9B%1$|0xiyVwK32O%gb%#P%XiTfun+U8*L#oLfHui-RhNg!UG+v5JPez1-0zKp3twYh5N^Z zqu5UhMHhC$&23=)oH%vm$x)TKg3^s)abkVGhhqZ4qE=4u;c$*)EekQq8E_KbsR<{RL zbqux`8=m04qpK(|FCtWrw(3Ns>f(5U9&WRB5Uc5b*0l3~!g+7%JETv1B7xt0ZV|TM zJ3<;;?nMd?Qm2(+UZEa-usT7S&2I^lq@m7k}Fzm#|E!qp(^NzyN2t92w!&znYUfVwDVWT85B}MisUx@a5 zfGDdF^(C-8RfdD&Ebn!I-=%+NTpdURTzmi%P#`t;K1DuIgyUR!x&5k|n zdv5P>egz1HrJbQUGP3O&5X^!DIeuDNan`tOl3(gvWGl0h43T6{uu4o@E} zztDcCXsc|B(UM`{Z|jO_?uBA)7f>GrIn?(MaN2f)(pEC;aQ;TsjTE~Xko9o18bCO3 zU398PJ~p++wY7*JIf#f8Kx6>_X<4WY^G1y~s_yYvmrDzj2#-UDfSw5mC&C>Sj8ZQ` zku`wWWn7R{dT`K??y;k^-cJGuI!NrWtU^pJ`q^eSJ~5bxu-eT*PD5DjLiw`74xe}8 zlMse^qLgBfF<0`r+a{TeEf#&0rKd0yAe67J9@ZjbbzIF;YpUt|G|dE#Kofrz3jq7Q zqR{TcsY@F`5o23o z8Qx^6>dXgV%nx(Yq|QW+pA;w0z*#B;DYCN6GzG zqM3a-K_5B5kY=i`At7h#4J@nmD=VylJ}{dCi;H@ClU$cl#^`q^UW3K=6Smmg%JwD)HX= z^zpXPgVdu@(rtn5VhZ`|#PN^Z*jRR%ly>nd^vDS=BI4&t(JlNMxX=8<$fwNI!E1LAa&Kg3DJBKYBDq;tu znE=11s8;AezBf^r^{2KQE!^fRn82C+_Jy(@p zn;rWdEv&aOAOorGK_^xnSz2jK^2)2}kj`17h4Psg8MF0@ZCS$;xIqW;SLZoRw+)fi zmIzWFQyBm&N#n+-LpF&Z*Zvj`aH?5GBR+h=CJWa&igesfVS}{ zA;i++l;oX+5Kjjdb!3^AzCZ14rP&*PalD`vk~bced0KHsT_hS_wCu3+LbtJs@ENy% z`rf$=#Y~7+p1AWix0a$Xm1%~S<_>za;6k@9WgrQJo@2I{jCCj%^@P3VKDv0oWdg(V zqa_5a4#@*R9wpFW#O;LGMJ)F4BdCIfV*IJ1W(cz*O5glo8?CkY3*aPY12hgW2%mLr!a zpvX}4{b(W~$Z8AOilCD%co{EN;=vlM);DfEf%UM@<@@*Nqqa0eJ@{=H(qzI{ju9lo zYkV-rGdQO^1=iP2qG1it9HXjfKQO%BooU`anH(R+bly*Pej9k-g1)dxfk&WyM5XF-f*Q zVEVhK1cNCU0BlWZ!%_6Bn0-Bbk#M5G43;G{z;(>k5(!D`0U0EH?kVV2&JUoX&nPV(hFTgxKde*w3Q6cBxv~=_3HDfN>QoY+%?Dm#p_9R(!`03Ma15 zkNjp%*;Lj@RYdI**U`KUHGz@=ua8`POL8pjjUetRknYY*v8cHAIPY-T1# zMp9GjJ)#6fdfik#Ts&_tc3V@?v)cr?P3hqst(uMCpd>fz&38;mM!lU*F1G8`l$=E7 zu%fxnD;t4cHkM-YDi$USDFkhE@IBR3N7xC-x&&zZNBX>m ztp2VXiQID72t=Yds|;6s==rgGelA!}uh}T0i)eodr*Qh^eRdzrF)CdmDv?_=lj!d! z#dIhLzM%KXabjW;f5XuMkU=P50kZ`WJ%lJ(a4a9z209+((@N@#wc+#%iYZWmd$9ZE=pY40;=T}3})i-*CE!Fo1rK> z^2V%E<3Z9C)Yw=QWMa+q?R(;xQ8v$IKIM&oh!Q90C0kCZ@@y{YFRLTY?Atobxqx1X zR2=0-w$*_}^d23qy9j+e)&?xkJiD>d7<2utj^t9{`34rPOwjnM6WRE-bHK;15rh)I zxpAh=n&B>UEMR?i2*Hs-)-a+M0WX3r9Os4Nukpf6QBx6yM2-JPhuKJybzt&fpxRX1EnPDOx-kb=PaP`Lc<)j-^+b;c~8|RwrSM-E5T9^=Q(i36; zB(hwVT46H}BFB~;_JIHuGo#q=H{OPCL3FTmElJbvL^J_Evg2DM8w{9YImBS#Z+-*p zt@I`Ssfz`%$=2MUutkNv zCbH-;jT9`zC=Ct=Z3e2TkXCe^)H1OaBL+)|z~DQI0IO*dUC9U6BIr;>!h5K=CdNWoUXaFF)NX6}pu;l;51 zv;{fVgw>F~(W*sXpUp&aDq5#kXY>rYN4JEQxUNkBv|9}{6K`oG!fXri_WnH%BXs<` zDgia=)?8zGRudbddw3;cSb5|FRMAv&rk3|Nq;wlL%TP$m>gTJFB!R=b+`HgGY#bIy zWS9WsO(Or3P}c-n1fQ%`g<<>$|?kGH-zwselTGL1$6CoyhC!ME42_x&gUN!ZgXWWU$z)6KiS z%m-nI{=KP<_q#<0hYvjsK~Mp(0j{=Q(nuJA3c6Hbd3y-Kh?*P*K{5;~Q0a%t&Piiz$`srNsJfBzbJ{_mN3|LX+#|7z;}U!p(%*i}p%9RH=I z5ctX$B8WWlfck%10!lLHFh_(;2I>=tqrpK5^Ea1LG73Qushz_x^lA9!n6I0YXxt_n zD>A)~?|3jler=|<;iv!{&thFLdHi#8Dsh;qC`KRkK)<#1A3}GB0IW2lTj7>C9#+7CRs?t3?U?y z7W8`+)hozZCycMf%59`YUqsRg3zcR|?ht zrBV2g6xCn*$DMDf96KM?7}~DBP{>f68!(qjr{qp|J5-tvVCLwLJ~}j-#7@E zSed@Qeifs>Ui!CM6U<*Fy06B}-zkPauj{`o#K`bRCWC`D5@|seZ@}x z?Uk6n8ft&L(m%a~lDUELSD)vrC81=_K=4uUe8^ZwUG#h=^ouPgf>qJn|pE4umB&-=={(TV=iixf67vNQh5+kC|_O$=;cp~S=b zzZw`M60jYMRl|C*$kElrIBKSdNapb7@HhzQo~9`}rQiZWc^{8UzR~67C%kl67IUs9 z>~GI+0gXSu{q%hVw&Cm0RzG0PKkLO#s+C(Wz7%Ql^XYhVf4*Bi?DBoT**{IW{J6gR zd04gi(c$y*QD@!td~sV~{SnjHrDvXUet6zX)po?nd1+FA{@iPHk<_YT$F)qWHXG@q z%43!IIOv$!%A4Mjj$Uq3l-$a(?(FMaJL^jMHlyQl^fCz}{rJQ?X|ZXpMmE)7nH3A1 zZJ0fL9k$8qR>C%MU)U1NU}0D1laKiDrYrYjlQLOnjrTPxu%ihayl8-5>}-lHN^i0c zMxw{RPSiCDXLLhkD!tEy(ugiO8lG9~>ss?u;hlRJqhFNxM+W`;zW#W5Ns)O+N zp#_z-(~VNhW3DN4@-#1t?3qTj*MagSy$+8~=Sxz@vrQCsCNbr~@j2bRfwuc*tHBM= zw%IFL;18K-N$2$;m_EkAmwbwE=sKo@+ne_@+Z5S8N_lYWohI- z@ulB7U|;FT!c6YFuZaq*1kWOW-YMb~EQ^CD)z` zz1AN>K2A2ZBIoDp`uk zyeC=wK3X&;GYceT3kD+qb8O4T|A4IeHY2OIv_t7!TfMi6D^=I7j`n+`jUf9Qor557 z0F8?z;u1Z5t3_qb3@d!pSY3CO;xoyj4^l8b+r&|``e{&6Br>p~#-^3OC`i}pWPtRe zBkn0bRd~)t)*6*|sraV@FiIlDWjfxlW08X(g6LL*w6K84#onleGiB<{cc5~0@S6(f z;jf##Cg#P2gM&e;5w8iVkxhBBfC2?iG+9pEbO>@Q0!K8Jl*f|P-;7_03`Cs6^42l4 z!4Cc>g}c9!hD3~JN!CNYTVw4LOYZ^Y$UX{VO*-nS%Q%X>3usYG3_LlW3jOugOgan zXy=E6Wz?Ao=M3!>a{qLowD5j*~34^fIbIs(|=K$8SJxq8$3Y;zakk}2G8!nxq-+NT6p}a zHNbVmCHr5I3>;V^iSk~V>fog0v_B#l3N-|RbBpplCMUyQhj@%`bNiWS-*YuzANdb* zdPb#@l^0a?P-b~UHJ5d(kKLo-C8d+kGfsRX`5{xXh~3G&rZ%0Ny z)CiBA!;A5&lkxhjTRs9(C(tZVuHn=b6vMN+w5ft}b0(fS$XRV#{*EEv-;JzymtHSQ z=p+Sgw6359r?$g&SZqIm40AxTt6t8sTD>2ZZXt-)tTtVLagS#TmUn&kV z!6kSm;0`TAu^vM`lBXUlZ^%*(n8|A#af~IY2cG0%3#K3HgWyRg23h^mG_a;pD_E)G zmjDGsz=%6Rb)9B&SY^G-yuDb20KM;MCa-w*5fC4wL1H;QK{p&iVbv)og7P9u!2o)N zHxtRub{*g;V#r7@t26oyJv=SFv_=KAWK$wQLCjz8Y(#ny7 zc%p^LUv{le+EMpM8MwdXv4^Xia>ZeKDpt0VU!!Dk1){AZsW93_1#DJwo!)2m!PD;r zg>DYYp9tvlmgs+B1ut@`Fgap&=MeG7DKg~x1VDzxG;91S*PH6d9i(&I0;p<7r~ff(~d1gv>#2UVyx+;POHa=Of^1{3Kc>KiqE0j^b;V(ptPbKjyKj)872Ha^L&mP29hLj;ewh$9e*h}lYd@uo5 z`2o?5*1L4ypP>xn03%e6FilkR-wB)t>;dS>!nOLK3&ch=FbIcYvM|`PC|M(~^0#Sa zqlVf`=+e`z0yAcs+kqtVu%I)iD>wb-TTNKAhK)RGXs8Sax0#sod|H&Jx}_5DmJ4`w`>#q_5oPyS7yI(pfdCdW_e`tim z*OQ?pf>O5@>q!M5ADPiE@Adax_6Z*ifFW>_KTnO&@pn?1S3QqOboRm5&WNR1^}-K~ z&D)p*20EmM)q@T#B2A-GB`&))L2mXS!*Vyj)eqHqEUAmL2TjwLs4N;iF8`NyRd#1BA)f+O`G z{SWruF*>%cUDu6m&Dgd*V|&K7ZOquVZQHhO+sTaWWaoXqv-Z+=&RT6B{5Wm@s8Q9b zT2&u4`rSq^JlAtonK)DdlxT6Vd~;yIPz6h${dP{haUuqJiM~n8S#kSf+Ih^jjNOsl zal+;1?|Pdbw;|i8d`w-ve>%L1(BxMg`%el@ijO^hTAB&d9@lsFw9u%#5_AH!nBh;0 zyttiif15G@=M^D^tO~nJ%*MY>85YAE_kWo(S}zNm#R4zKar$Ff?uf=KosOsJlwDbD z#ylZ=Dh_S4X;2~%pURWF{mpwbKU7Paq$PLxBlyRni)n$!h`6s=5SwP+Iz)0@Fxo9F zr%7O#RhosJ@lJcQmP?lSENL=p*6UY5&I&QWrbHUw#zya`A3yl6tPZ$4a$w?NE1D1Q z*iACdh(P!ZFhsG=gMHi_-T*!&83yR*BK9w4I`n}rv}%$7B+r=MD8?yuH&; z2hg(2w0;aBHJmu-Ji$+=?J_+G-~CX}A(3^jc|3-5pAF9SOp76x+5nH)cWYF6cO8Vw zuPCjd`LPiBbm`H0D_IPEBI6IMk-?okQkEwZukz9HYHNP!D9+gllX`6(8O>wm&}QDn2=Xv?6(QA)QBkPJN&^c`QoN;l%O{Gd$nJtT`^`+YMr) z_~uUsepl-=#+e0l42NH2avBtFQGBa1u+L7VpWK?!VVX)XN6TZJqPu<*KW-vuXUYf{ z@SJG*!hLODmcx&;+gw*>4Dxxz2=;fqfwFL{fBvP)uqo(jKUiou(MPpmO(0!i_a|0B z4%y@P%;UOs7(~);XKf(|8v)qnh_qnMT*P{P99jEq^h9o*OJAI6PJ2rXQlua`n%O_C zTWYJW!x#FR^{qjNBM?vsIOH8Ib&>SY z%~2qmt|9a8K5KibKINEcJ368 zC%b#4Mt#Ee5eBQ)w<^Q@GWn{DTP~?XELtC_F0S^Ied4Lz;Aa;oArJ?#fzA6Qo$7Ky z-7p<8IS=k z5_cOJhB(6&z%y?)zp~4NCkZgoZ`_`~ZhWjWh_GMhPq&e4F64#NKIbr)BCXpu-gVda zHJT9F$5PJ~97q(V4L@gKG8@B_U4>j6H`v?&#*#X?VS@p%%(7|P^k=1rkSK5#-;FWC zxs4Vc?_2uskHOa)e0zsnm7vXUV5NTY`W+9?5{CWxR%Il79W{#P8T@bzq%DRi>iSk? ze0|0f_$ja|LAS~HZutyJMFDxHae}Uk=qdAemMBt2O{LJ5tU3YVFRHxy$H=3J<@F>@ zSq|SS!|MO2!QzeGZt9s3%$P9_pz%3IH3cs8%fTs_TwH?e7l8inR(3mqk~P%|r$I7k|ByUp zg~KFKp6?#(^b8HVyBBL7N2kKtDy-lzD?uIISep;;G& z?fuSq9E!7JGfxW6T)CQk`P1U_J-1ikt6&iy{3G*7ZE^JN^Dk4zarF9EX>6iL#?o$6 zcZuHaXUi9~sgp&;^XtLU%a6(BhvN$G*@`rnx%1}-42#dQ)FC$=<-2$7o5>@*-sewS zko*r{o%fsWFBF23+~N->#DqA}5)fZQiHsmXLoJf-*M93~I#_ztoK*lx9AvGxT7M^g zRwcR7e!h_-puSxJ7e-D@`=eJ{I;|l4v*fYTk%Fcx<2>9Q&?J5L}Cjn z0ls93B4}ZXDK9kQ=T@Bu1`Q1Jgfnt%?pUYH%OZJl`#jqjtVjjvpF?wKG?I6(yEsjMC#57woG_!Bt}`GX$~;!7ABybP2pOK|D4o`W^MTaLXPp7RV(ZTc5cS6kfd~~g zaGPXUaTY;Ceyhp=OE<;?^%W764>1t4_--sM#j|V4o@&E_?6NMRuH8m?$H210D3FWg zf#I3fh^-fU|KWA+hQaAwd=LPR9!zLs=VzAya%Gm(<1!^dn?NXe-E9@Kt~pr$B6@)` z>Qw2{4-sH-EGZi08hJLD7!Z`RDvAos-GkzT%;~+9)Ctn?@mNxONjLL*o1fTeRJRUd zRg}U>PmYV;76r?ivrZC1Ev=YWKdBYbHkJCD@(I&9iLadL*EqhWog42*+^~Az-orR9 zafxFmRqimmeE@ijY((Hf^B@?hAyxmG3gcDT`cy`TvMC_G@Q}^rNklD54j79HdLDLf zvk=%~`IE5}MPUj^rPUgml(P9EFpy2FJ6$Am3W+?@zJ-PtEzM}=?H0-(IGIgAZ0GX|3#U(<81#*#~UA60(HJ6uwc`Us?SN1#Wyg9kJUb zzD~e^wGP9o$I|Zu)J09+)J3zhNw?@2C9$$-lE8Q)${4Ne>6VBIF2B({NpFq<9`7Ty z#KTUEQZ-6xlR%p67$Mo=LgPME%%?gG=Mh#SrpNliWU0B}d6Q?_+pA7EW(Nfbu3mRY z-j;Iy*jJ(;1&L*?kjZ9fpuGwpVb-{MWUIC+3xQSMg;%cBp9Gyz#MJCbQ@sl)F@uIP zty!es4x?_y-q0a(U7|}yCwm036^P%+%-mqFux2rF%$ljbOQLwP?;fQQQTpVYMIej zNNBxeR+zCmk+|x&>SwciZa*0IsxDXZE9 zWX#$Llo#%mlAyci#eX)3D@xEP5`>wFrH;HPOIg%33TJ^AuKgBCC*KF_d`dFQrV8ej zo)zKbvrC_CN(n8HO&!J<9i7A*KOX8fh>G~thtpO+KPh=(VVL2w?w~D#&l+E-a+xwd zFbs!gG@FsN7J>?vJ)9?r@oY#;QQbS-)8_Qcb3F@-Tv>)TXO+@<(#TE&&=Z=r$*{AkrK=vDEo&TDh=esb-_-0p$bn145B|qkAmbuBMpM!Ykxq}D=!^EwIr$nnLyH& z#{Y&VSDB>)P<^%IxPuf~_JlBQZGG;`N#A@Q^t@po_YPI=F$L}_p z67u0UU?SGt2Pj4fNlep6Y(XyGgWyh4G|9L)|4|#WFXmBbyC*mejLTumPuK>-`Hmx+ z)M0kcCpaogPxrQ0D1ujK8c9W=>Tb^6Qz=xH30_tBvAS2|LxF@&0KKUueJui6Qc?{(jfjW`YD!44b0to*(MHn5p zu-byAkG%rMfDN>0&+WiolDzr+ ze0(zFpIIS^V;?8tzH-ApB}-9gjYtw)jt`@%t8#olPf$}~A{-$i%ET*Fz@1X55ny(V z>rPCURMj*wh9rOgnaqS`sFfd^B3aU(QX1g8wmtkT3oRW0W-_~p@hrnHMkw&)nFk z-^?aecejMKrW=3Lx5Dzk^2d= zV|LQviqJ>>KvXnnIbT4>*r+WO;cQ~CE$LkxbuBcwQ#h-)z{`WSmD1sL=OB($)ohts znTd_-hG9xcTY2_Z5Wp@GW5gAagXYxM+DH)wmalBbLPzd#F?TT3j+`c_OENh3M}HV| zSbH_pxMIR=2UDo4V%=HgG;b`&t40z?!7At;z0NN)$$Kc zVg`ctATv5CF}%kKjrfqX*ew*JK*SvzRz{p=67Zniuz-l8QLYYPd}Y%*rh`Hy8)=W$ zULurIi5H)*qxSfO5=+vFNbCBNZ%bs2`K!4N@(z6F-)tjqtFoh)iV_o-|G4ww__!Pw z#-ud6NMDLU;$@i@NeVfW;Yxp_)T(AxsIQl@D`mFbYS&m`T>;I-&iLR!#m4>?8;1OA z!S%M~&+Ec}Y_)YQs=9iyLlP?!JxU9?ry&R#z_lw5mMbHq5UD&`3n@XXs*ocNe=XID zrA8)-K$nlDjcF_jYt@vZ?BQsnHp?x!st{!*W}VEDM$({DS?sD{J<$@tG)XQp>zL6ZF<* z-UJ+0Sr0-LaX(auZnc$tHL9g1i$u|oQunqkkG2ttpGmuM3a$IQhAd$PD?GQEgEGPC z@1B#$IYFSsHW!`~leEaemA-Dik6w~rB@Mk`*Tm&H$N8~zuBsT>(TV9m z?S3tRGYPX_;YwLdtYShfg*L?WW-WWbPQGP2TObqBFfcpgU^o$=c2;DZVvjtK2-%mA z7KyGn%qkz+oK0H66g*W?{z(9tSnq(V6@!WGsp+Xj(MnGW;9TaTWc~BC6hpt~W%u}s zw%Y4eqqFP7@nyXKa4{O~_G5QD*^}l54+5*b8vcsz@b&Q)D3a=VZ})*jL-qaa>@d0g zYTNVm{rNKc$(ZhV)B95AzJ1!})9K@7@+~_0iOyUq+~wPovH4KUhPTE2x&J8)
  • m z%cI@>?a44||9b1!q2uM&lo(U7`41OH*BRAoEC^xh=A?C;n?uGpoH4gu9ttd-d2%;QqHg-Fp6HC(*Y;WS*ZBY z_=1$8eRIaNx~ZHzo~~}4+LtuX!Rx5wb+(l8>C`9mJGh*!oj=Ys?W2k#|1s;IE6t+} za3S_7la)}9BX81s$JQ>JKR428`(Aw;$_=Hc-#rlh^Bp0O8K*_V;AM&y`5I~4Q)rbS z5*hi=i-XmvJ5GQPFFHFejKL90C`a0)PB$%Ht0e|{-|XOv%L=x_(O_OBWk?D+s?&KS zeJg%dB(KSnvgerMIM8hh8xy2}*2tX8LlPVAnBI6fKm@qau)36jP2v;+b-zDS(4Z`& zU(+|d|7`SWF$7N{Rcl&s*muMdJsdg@fY7BTUMy7w#pz9cHvri|d<9OqjYZJU&>{Ya z6Qp3coAQgAu<&;Jnj8heEQR?fs$N3}qY*@3x&t@SiW1tuE~#`l$EKi+2jdq7wK?(* zsUBUVm~ zXM;i6QnGa?D1+yBUIH)XJYe4oA12ouzANA=xOdwq!gwIgP7YLTG?r3v<=^u=VgL|3 zGL?-sbEiByd4hB)Syom`IFa+tOIf%_j=RvHG7 zp+HC*c^>l%Xau-q=U4xOqech>r2eY2?Aen0cS{K1_Qv{cFk^kldP#1}^xsO=>uT(s zjyh~-q0gr>69cTKHI?bt4OO9RMJ66sr=NSV`VTVH`JDBjc!qT){er)j>oECHer?C!ue)DYTFY32V4GxS~^+-TTm2Y9`z09KH?h~gJ4&9!ekOK?$*w(^=$`%>?>Hd-^IKq-p%uz$h#XBG zE=^xZ(OR&pz@mxo0^~K=ipipM)wR3wSQ3V2ucyiEuP(itAA@orJrL&U))&P6J z!-(g=s)X!OBkUad{I_#jXF6@WB7GpK975>hVINl68 zx@4h4!OWynqA-=C?#Z9adu#q+&Gr1VYa`-8_%yDWkwQ|()bz|HzXLs-Mj5}dzF3BO z%kH}4bK1F|zk~#M5g}1nl1t|@gaw>qV;GRm`OjTL0=!O_L+Wi|L;-NrQqU1d>HPb2 z*zC>*ZWiaINlZJMHcF_-ki(GSSg8!Rs#jMQ8hJm6TnHTHm80FX@)g56cxyTHxi8N} z9cdUUB`tH5i6HMZc?&IW0;&R2QAp}4%1B9O4iU9ty_fF4ZRQCkXX**amFOb)0gSKW z-MFU`VyvdYML;V8uQzY#rK!Lr2xk(XnU)pwtyKsLIdZlN_79{V_cmm}MpP`F(Q2!Z zy`@rnI8J3yv-f1z7QNM{4?b*T73k(@kr#*PkvO7fzS#b@`pO_AY^dsS z_HnRNRa4~JQ7={S_ON=6fCK%Yk1ODyaRs)StT%k?K?{@%?JQ)142$G@)DSPr<+%nevs8*u?iNoLP3#yvWAwl9w>;4B zMDVgm%q58Q6Z=_|e!)(Ij-?__x9}6ebY!D)D2E~wZFpWJ%%jo*0G5+QxCW7%2n{S^ zgJ!Sqw(@Z>?P0K)g4h<6Rm2vvKA)-e-c4nqxqY_4PRD#}rp000V(qQ_pKNBp zU==A1OYc(=FTJwvfFf(^TqzNz5m+8F+v}s^@$`f90gPM}DVC6;oKc85hq{Wo6#>R? z^~E49(`I>=oLsnu;R5Xp7ybEiVDQU$1p^Z?Gu)u!$HKr@Etrgp!H?j3zUbI;-XraH1s1!`!vC&CK#Tdj)};McYI`TYrBRWb*>(@MRoCQjBMe% zKN~(jN&Faq3CeRti9nIvjaMl-@mx^u*=mILbi0~{Ci#5z=}8l$z!KL(oBm{6!z3tk zhb#q%FQk;gkbj(wZv+Daw=10p#BUICnWFLrq1SDj`Fc{QdpwL!Z}G>JtqhZKMDCD@ zeCZr_wpviv4Q!c3Gf#Qc1<@7t%%pQw=R(;>QTom~CJd*kJFlG!z6Fa#1b_~oSmTEz zWHCw1EspVdh{~BBrEHbk>(?M%Yvs2-algN9*a_=gz^k%V+{5g(C-*?d`jOOKQ{wOgP`A@d8n`HY2(Sd2DBRY#PYCYA%OtuM16#bmTE|G%RdPzq{{oBK zGhR~ARA0-NfJ_i|{{A);wWX<}ciV=i)semjPVa{U2^~JRlR76z@UF-Bsf*l>rS;c| zFI>3OwKEk@`JoN<$FfSG>%%Kle_p#5gw;7n3L?eQ_o{&yjKK3h+IH;dNaC)$^6~1IOQVqJ{38Eyg8Sh=+PEa4+sS;F{8#2iOxe zzpoogse~EmGfk}U$)W2g!>lQwY2>g4DN_-@5ynIoF&`)nEgUreRH2wxw8m$Ip-cLr zh<(tK?4Aqj0O2-~gegIc%jidcphJKXJNT`4&j`&d7TSR(IPlL9TTy;@&zXtNY>i2d z2Ba^JYD;6-C(p^N57zk+OF%R(` z@&B1Bf=<}_uM%DjdVEF}7A-mfGe-wGBYOosD_ct=e0Dk^8!J6C>+eSyz6-U@ej8~p z{#7h4uV-!Y*Hak2D~tct78pZ%~d}WBC>L$Vl z2sv$JfRv$hRLUAkA#H4-h@tXS$_9)nZFItzp?r118qOhYeB+3r`enif5L$ht53ROj zklGqjQhjVLskY*f+6I(LeRNE@wrsJ&8rI@9y0O9v=S+S2m9-`}($W$+eQn~Xsiv^f z(h6&RZR*jvCO`As@<;pHcap$=e-rL&rC!B4LKlV97Fz3W>lx;yi_67Pd=hTgi zZC-rFIZ83-}mxTte%-jxBfHR9<;pf%CqOPJ7*yZ@*u{d%uovdxM|@ zaF}@e{<8@EUC91_fDJa5Hueg(dIm<{v+8X2?VXiYll(4lx3M>L_@3aur(NrRrVF3( zAIy!gtD}*%p@yTqlM()3oA1p0qf-9w_%ZVEz+3h>y_E3|JLJh2a>>P6C*VX-%pb+p8sw}5ADPymnNukcG?Dr7eK(ULj8zF)ZT;RDMrEf3sX1a|FgNmWm#Bt`^ z&{ZdlFy_l1-_34l_o{!ca=NZ;@q}5C#KleBmdbisS$qRsm5%YR5w~;H_^@qU#tHW{ zszwbd+lb5}N=T!`GI<9H)Hv0-ELDX2&wfXu3M#boZ)e+F>LrYAA`KJb_I7%#GyZ%vqQIamZzW{hxAhc{aLu!+s*6 zP(iJdf$n_A#7dggjDPZxl05Qbj;0nER*sOH@C?4>bhy(l>gGoUsRivuSWXa(f5^Yl z_5f5~e!~CL^U=TJOaJRQ*WaP|m+aWvSp5f>i|H?&&A&Sn{dX|HUYU)*GUEv)`7=d= zcnicg%@jF+Psx1+3Q*qRfwMjwb(nRkLjQ4*{XW(eG{ev(H$-soUqZwCloSpYL#;>S z#Gjr0DNlIj_sO9(f%b@Wc{p9^zkYGWRcj@rJb!0@>9D~UZc#@H2QXO-ofatxhDYzf;f=G&9r#|_1F1yoEzZsZgbtJ4f9s6%) zC)wmZ*92(b;Le9iZ*(kr`S_tR+jj^twp7A3rD(@ue?!B^%hi4VL$D_MCdd(5>)VL~ zjY&e8azu-A3FsfMY1c_x5^b(}6DgqnO8t^Gq}%SYV;9re$K%SnUT6{%tQ)i!V>v@G zMSJil>;nj3hVlvfPjmAZ@$~ObKmQ9D;NQXcm)!h?0W$u_Git=am=^oy{4-~4M``-|X{v$ru& zFmlwOlM@o5Q#5jQ{0@@6jjfTrqnVMzH;pJQ_)R|k3;Fj=SpF}Pe}58-4<-##93cZy;;Su-g61gvV)UTg0k)>z^d-JQ>E?kiaX zX+tm@cFBkSM4=?6Vcm0bOlQ1kH@~jYhffMmUthE9V3e%lWu|*h8S-gjG3myGG z!fiA13UFn`eZX32yu;M3$z-~_KM!yB_HOq+F1`iwhm-xTuQys)-R@sg`Z>RzpZMa? zRrcl96~jj;;}}??mIWh`!^_fywXOHPN|+HVPbrlb4u?JqLpt$GxN9ARmLBX6@C&30 z6PMNBhdu*A;webtu*JsrVv-7m$fYU~&aLHRTWQIvg3*dG;UYfzRC8EI{nNH}4UJ0n z5@%FkAW#QA^RSm^BzB2RyWK}5el)ue$Rx#lICNmNJ!>IZJ&ru|$(hChyycRKrx1xO z!<4m3s{zp5>xciz)!y2p_PUaO-&%&rVm!q`yZ0Pq&tMlRgPTc!QW#Zti_?&2;pkDn zOj9)H?wuSURynXHf(2O}?aayn!>%MXI-n>t6wj*9BA5S3QH|azlL1&k(x?+qno7yM z=!aY@XhBO$h-Z7D1Ei$zc{7LJnm`Sw)t-c&Z|;YwK-Sn*K#{s$Pl>Gl+vEPOx51p! zgr1OND$(bQge!{vLwW?SFv1&^GCWt-0FyT=+Y4%c(Drqg`p?K~cRmlYY-NI-6-4A> zyZO~7Itz))a-(S(J>h+!AJyVsDIkv&(z*|*fU$RJH3n+2V0^_n9OqpR3Q^`3q^g`?8AUP4Z_u$rS0 zHCZ0kq_RdZd6GqX1N&t{?-mPm)8A<)wcRb(j)+yA{SDP55*X2K@+RgT`WF;}qQGOz z;sEq83~@{Afuqp!5S;N*12!O@*Chs!L_r{rNOi^sqG;l!4&b~!r>6_uWm~de+x8~R z0Hc>9u922>xqu19&m~%-I}s7qT0XM+?5#bSyk*LloMc%#ELOm_7nmX~6y(A~{OK`4*tJ#ssC1Nc9=RPZgy(Z$U}H zptEf8Fp39VkcFIO`do^_-AHZDVk3}S3#Aq%kj^3A^$wgJqt7S$Q^E=ed%`{9aGLc#)xAIb#Ed|s1{E-Vu=X)QZ6%JMm`;Qfs8J0^!li(0Klog5p%pZ)~NW-6xB)Jb?IQsJ1rB-I=Y}((q6llIgn$;FDFSP9}5EPn4c25zrP=d z)sK3@vHp-vK0%UWPh&+3A?ejOaUqg0)0&<>QTE)d6bgPIpAXmdqAX=^xx1lnxCK6JlqXzDCE+Zi>KMM?DqoFcTjoV97G!4I%gm^QWa=gMD=U0_3s5}=qs4$J4SKKpG16&-SoX&zdZA0Q zF?68qc_J0|*}(6K1)|Xn=CUEk@iYMvPkZn*y*ZepM=2ig$^(77QCJLsEbE%c?F&EX&Nn)<9K>(-MD{LI zk_VwuGavg3y^SW+Kh>?^rI!MnVm>m_PZn>&ld|b&{>- z)m%WBE0&+tvk+pm6+_E2%lN!vEH@y7MtcjDDseG%$X)x6n~S{Z#wd}yyVl|d-fNnf zwn(5yzP=?d1?su2)z+Vu`s!ZQ#`p`(HnZNcwN-vcHh-wPhLswLIm+5873g9Vw3|3X z@}GpyRZextgRh|L@%`AyADe4OA>(G;Q*}0efP<-?DN0l}NdwY%Sz0k;Jf~J_i#xVY zX5iBcZWi^lMQ>Jju;qJzv5=i9mOsr|AMN^^qNAR6JMuzPTm6n&)#%O&=);8nk5 zPs*AQM{DR@3!r{KA)g@&Rp{5tfB2*9K}KIu1Q?I!)a_Yvge97Q6_I~BIL&edw z{@Ur1y`kHIgCr)#=`ZK#8JHNl3^dsd>H3H&E7irADHCE#do4pxHDgw_fw8uvWJrPN*gqY^iuFyigowkQwxQbM%7TG z`~rT=V_~pJH?n&dV%t=4c{)-guTQ^kwjOR}KjGaE>bI}+=*$wk-h!*sK5Xh>Y6rvg z%da}8)<#$TV7nrqS1ZhiKSS*xwsBbZfh+b6X^4&$Q7isl$T0I%S?muf&i(et#_gE13kG=? zsQ%g9*AGM4Hh$CE+Gd%k_xZ|}8{oq<;!9}AK(HvrB)tk@8)yEZt4+A6wd?vX z(^0$TW4~_EiRm1+nl@)D?Tu1BJsR(DWXHKPh=uZDov^Sp=?NP*0a@6$TrEGksV${$ zGKn5rn?kr^xxhw)MU#jAa4M{HC81YpRUAXkRt;@6P-<4FC9qODAm3LFwIwv-HmcT_ z3Wo-!CkT6eK%RWP`6Y6Rf$vAcCz-$YqPyc{AJbg zKD{tw>ZUm#uuV{cb6F$Is26!B3Oy!xC)R8-!?>I}Hv{)Ln=lwnc!}zM27%mMjOa-( zC#rwtf{ygpxEQ%Q!Gj9;yf% zI}=J83ZM7@Z_K{Q_7A``eC6flL2IZ8OQ3``%e9YhuOIAVO{S4)^vO0L zX+eiY8NUl}!fH&a5e0Hx{ME$kPqyYCXF-~1q}p`xeH|&~g| zK)V|HBeQ?dAC4n20hS_|-ej&^`6U&Uqxy$cOsy~VOp(lLYMih&K0HMP#fl~{1N!*h^A_;`FlQ#x8 zCJ*h-7E%uN#=NqnG5Klt4$xFnJ;Zd{_BEU8BrY=z3$xw9)1yf&Z=}lmnyxr|NW<$c z&K@8Iz*j^@;~9fkwR`JW+C|jnC1zle0njXDR@V=Eo=S%i(rSX17Npuzu-ZAj^PbyO z&bdsj(mLUca=xb5dINC%%-BgI1?$00nmes^j|%!`9cY})nzB0T%qb5YtRNmq-_E>& ziGIIaxbr}rd*Rauz&Y=vu|aWaHV7Q_Lnhah9a3auq%@?2vhz`M`n4w4$KyG-aIjaJ z_47N3xnZ;ll4UnaS;XgRW2K!)6}0klJW{tE;WqlSYh*dlVmelg7pdfL!|RwJmS!S{ zqn_axUpNU{@;g-BMct*2vXD~DgPX{AX`}g#mXm5;27_y_hV?khWWx7}1X(O^-BXbOT*9g{S#%5ZlUT*sEaMjbmb_a*1fL*yuOUO!^`|zelUVjk zP{1JQw4~H=5L1f*sI;X5W0>w7hIvH>e9c~_kpn%309=vi{#C7wk@GR4R8$xtap(~K zV8^u~fPDb00(f0HMMWegoH?{wd@%*NcpQ9guQ-Y>;Gx2;VR(O7iU@VmfRG7TBYH>O z7}7*vHr3c41-gE!=oV3kDi6D1bE#K-AqsZ|)%)#7*aJlG(*Zx4TB{*cDQG+P`K%G9 z7!9sH`rGvoSjkh+EW!}3u)@=q%-$`jCLw2M#P3sB#Jdg_^V+sLP4|_@RS@Wnse{zDcJYHzB_UIMPE>dq~n~m_OzSk-+=wl zz)b)X*F*8K4>ZDI#`hDO)5Nu8rA%MWnGa-PD+@Lh@u6vm43D}__;9CMO}b7FwF93k z2I$c>7p{!GBSQG_@ZfiC@Zs%}o?ugJ*}m&px(4aKF9>?u_x^f$td6#XUa46>jM1;4u1^vAajhBTq@9=@}Wr%_5?KSYO&ztq; zEfo^!+J}i+yheebT7GzWs9E5dJ(TE?pKHRT3bGqjDQX0OhD?u>Q4BC&R{*`gd&c(0 zz*_qQ%dT_SK?Dqx{f@(+4c89fRHoJHYEzyM&?O_gTISYEa6+CU{+yEJ=^Ia}a8(Jg zBxB z9>k=$P&y^>=!v&Ki$W%63KT*2Nf|q0q@9pqH={L_Al0zl1I(~TcD=aDEtzUNl8S9xc%?-#We1HvZY)N=VvX#XV?7ZP{49&u z*=D~_>g2w(cSX#?r?oE1g5AIRv(Rl}4pkc=j1m3Mz$AkypRzA|5l^=d%pz^(GDlD#f`iiQN15D%9G_qKLXb>d8%z@F9(b5J~= zg$E+Z42qF@P`K3dzI-SxV$Fo76i-*R0x|xl<33JX*&lebtEDTLV6!m}r=fsV!6G;{ zwjYR{3bc;_`f6L#SsL0FT9q@rBPO$DvD{u;2BQ=l&6@GuDg9lY)`g!P3&Yx&cC+j^ z@nOfLJdcZhxy!Igs~GRN;%(||f!V_*xF8VWc(>^gT;&l5;yxQ&F~LW4-<`|XupF(;&-@k$Dk9^+iS!Gc-JXMW&HW?0#1Xhc z=*kd6?v<5#TD>5h&)MCIh7WjB)V7}_Id+o#6YnFNMh_kx90sdQz6N=$#|||sfMqM6BL)#;KKUwb#;H{BPWPw*JNnb`0=PrC#s zY3~TD1aeQFt?h=Fi*JuMQ_MrtJ}(l5=9R=YX}uuI;^#*R)<$Uan}l1*KEf!Vs?RGS z*~wXStQb=bET%_O;#Ocn4m{CcFGr_m+h4y@s2n?wW@!K#OiYTE8<3 zX3`A7%UlXuWwx@UxsIVWr~M!7-BXZkZ=9g(vTfTociFaW?y6n3ZQHhO+qP|cSJhPi zrzg6nyCY`KiHW&5aqjXWBUeP`7i(qax1Qg-DgukdnPL&tAV3op04U2b&V9dCTmkU> z@||}eM>xD*#`*Pqaq-EXh?mo&pt?t-KN&{;s0mb@0V;|H7;qeMYaakBB!7nqZ-6~k z9k&5atDl?lNZ+b|CybZ}I>Axj;Yz(78N+{B#i37Kqv{wk5DIFrJ$Jsjf-C>|I^gC~ zyBmtXbx%$0qmuvinX?m=xWjija>MuaLI04ikUEeK0Q(X_?5ZE>JMSJ07sMra`4>** zL9E97ca+gy5bkrJ9sjplC(3jYs7J~58IQq5a&1^BvZ1FKH)%Bo#S4}l#yCYIsF|-1 z;*6+pr}ScIfsLgxZFT7K@-2JG0jQHAW0O#+#Bwj-8hB|c;jH}L`rm=Pfyy|ZMt~Z> zRts3_*h@SOoG?z0;nSBKm8f3>sD+VB(Q#YAz%#f7*w#XPn1WY?kuIld(kq>2t|w)d zBW-@5YWk#Vsm+m_lS1F~n&Hk^{zt9HT6y;w0X@E*7icahQkgYRXrVK6KHQ#gLSb_E zw^=z-AY##Ub(yp@3gV$l^TGvP{?piZL%Vnn!Oz z;*J1>c=U>P_lz&`o)~oel~vR1plPJX8*_G&vf|S#qj5p4-X6nsv;!l!)p(u>f`+P0 zbt9HnuVyi|>z0S$`OUINxw!*WI4=xv3-k>-(i*iwsV%z{G08NA88itQ&$`T@7Zcha z$B_qvqn3Yu!!)Ec*DH&*+rh$@_#^NZyZL7t9+@{IA+>-c&Is$KK^?s%`<6P6h zxw5_|9#tto`dMscE~OND<{_;TdUtR{ zCNg@sm05bKOxblw-~4B?U^d3RVcK4OT$lT}w6RqkzNZS*@h#rx-y!WSh^o`3VIH*8 z=AXKESX{y&Mn0{cY3fSA(p!83d*PqVdd#l@Ff!%<05vpGcGA$JucIicxy8b#S#3|u zk4Pamdcc;1USldxUWf!=gf%6(TcT%dFWtKL&6=~$WOES_(^7_A!Qgm4B4Ig_vhBvLHA?si>9r}@gv{LeG zq8=E^x7pKD^LU*gL%!qmoMdbcL~nI^fMRx+B+&r;2|k^l*HbzklG!zMI8~li!l~^^ zk4Wr^6_+`CczKu!hMKy{#vM9U&>;7X7#0>58c?#*LjY~qpw@PY_!mZ(dG{&n+mezK zMZ-A+yPAn`UpJMz0VukoFWKTM1;s;O&A+p9ucw z_smy>ss}40ijlNy3zS}q^b=N%-<%aQP_T`Mz?eq3`Yp_% z1Q|iiBr7wJMczX{vi^%QU~#3h&5dV(hcGeumD|RY-n*UIa$)Khk_f23P`y851B&(t>RWi&!=m`-|lyl=Y3kwGFA8ne`8wQQFuoZ zbJ(HyXzlW;$Y}qU{W7r2i4t#dpxeqA&uzN9cDdu*@@nM5plc-QXU(Uo^A-$B$11Ay zq2g@!G?g+aQA5Ws2Z?ctVY z3%M*DorO98%3$7!(k3H3G&mG8*draZ_jCkvI8U*4GBWZ;nQAs zwg;tpc^`>map5f@2!&Hz%#zDo# z^m2{Iunc~}qyapnTO326NtAY;Sm0gNLBce%^uIl|q5SdlByK`9uT8QPx+t+EOQe&M zPuYg47o0b5q13xTr*@o&Zo)`Z8P?s&tWoVXDr*K3vq*>;@syD98cOP~&^5cEC-*q$ zqkc%@-2%kq*`thEToGdc%Dy6<46=z@&M{A#HbQ+hA#3*vm8w?(@swJr!YgF(HQorZ zoN&BLT^OBTn^3;(W{Ae2CB6ar-)bOf2p9q>Nwt0Jb6|`DeRA1de?JePrEs@iqQ6VO z-Mv1(@LRp~rM{$=wR}0h$Kw;b`T%4pDhy5GjKzj9%k?MaW4Yygpl^!ZTR82^VFI{{ zp0Zbv3jkOa0i&eATRLd$Bi66#q^e)YXGPXlaLt$;Kw*)!5dLzw+2rd`{Gwzs+(g-@ zf1RI3 zkQb(37WDomkaTYMqCP{a$tGqM9(+$(V5%Yg!877XE?{Fh_Z-Z7`?FaRN+^jkk1F(VS84o!{UjyyYtJ{3qk!sMM{i-bduw!%6&kkPE zO$}Ni7=EL@&2`wsbP?Q$lCil}oGh58*NOM~z1t?Z9;uKfxAvVTfyCIv&)I>-%VihDlt2Z^mh2yW7C3U8r`b8!ZkFcE{E)!qgd~|}|IuMOKPcbFk9r=?P$JMw+ zU-kq}9Cm+v2(QrzxTdP%JPFbKDw`^v<*%_un|||nsto|IVe|i*^h^HPc$b-sLz=sr zDNA6c+A9olC;Jvd%?ws2Q*Hg5W`Jl>3k20Rzl&$02SpRu22bu5dMRq*q6g0-?(5jv z>tQW0?-@%85|vmjH%ErpsYcr{Q=PXFyO6MFqa0*-K6V){G2$SYOMGgKa&hFRMPUJF z-_IRQ_1GiJ4cAEAGv<8f;eik-*3dKT>KtbIs5qv}y9kc7U)VJT5Jk!D8n6FHh})-4+%M-`bQOB2f=99`gW+*MY@Ah)5yENuJP5z^ z30i8oW44s+xmb!FLXKW!L(ycNB>3?w3^k5?YUrkRaL%G)P+f9TH5mVZ<%yiSOmIe{ z5H+*US`7>KLGGwUWF8p990SdQ>fQr6%%RecuK$SEy1-S)aEZ#REVx5+4JjIkTL$Ek zAR;ZmBH#jdEX$)6_Jt@k_Rzr1Cj{emv^$&lqO8gK?NgizZg=_=PWYRhNjGcX(W!+j z+}>SF2(`du-iqyFDje6kvgn%Zi4v3`$Y#8%o^sHnI{N9-;CX*)ue-Xuz1?PoeBSAN zXzD_+tKFMNi|Xye$W-9F594T$tcNBMoI`;wGGIMO_9UwEUBnL}4`2qOv0b47oo`E{ z>C6;vM8P&0bT#bW8RG?8bl>}7EO>|R+_Xj&-Pk0;B$fauUb%yYRk3ic0Y*A0kMY2q z>Gcn2SXmCl@kNY+$qt!AIXk^XD@fO0uiG70EBG61b$$OnG6Rg&nd{NQ6WTjY#d!}= z?wlEkO1{oKCKw_RSQI*ZDW_{vMBYyCkkSN`9?@}-;ZKg=m?fX$&j}oM^aWFZ`KyvG z0Khx|r^(`I8Q@7r;#3KyMj;Z0KrhSek2(8d^H#znjZ5q)f-qycRNE>?8!SoMLfAK4LOUJ~$yv4nxe$7>t4sVhKuihPz;Qi?ki3lYF z({HBIGX_+KlQG!S03!^i#Bo<;&H%jSw*(sm=h$b^pe43@`dj~ZgLTWA|8cS(3*6w) z&ku+L2n)*Io;UiEo_HI$0~B}=<*--U6p$MnZ!F*_4#iS*xowvL$Z$HGBYq@qQymYm z9MVJGq%wxsO+4o^pqYa8Kx2VZ82EUM7r;Vy5Rnr%9RngQ`&u1MmNs)*qkVsjSI)LQ zhv)Af$kFcxd@j5PVOQ~0`B&Eu8W21?=hZQObQ9&dzq>J)e3xotmfC74n-|pXDdYm| zE_n6G^=PucB$$i|{So^Da!s(M`p0%k&ZleiTEx*bIN4sC;9oZPU{su(Y51uI{dDWG zaJZZ{hf6A!3Wix-I~y8)`~K7)wC?v@)e{>o9HEiGl5x7uiQlImLW+rA{q%hJYD?iL zU;u((wdwnYvEjq22|t1+Uq$@cqP4j4X^B*_%8NLvI#%XSyy5)#)SFD$URfxG^|T17|-))41i4KO;ZU$R771+IFArFxPD0kL8wM&gPWWzScG zvA&tVmupFtI{fVZa|G4a^K}Y^S`l&qC6#91qUP1=D6lP=$x+96<;n5R_=(Yw6&q+V z=1V$OKUcyCB^AQ!!^=8f7ah$-H4$-9RvoYEB%eqQk)QG zT2aUdlHj768&%3q)1{_p=Bs{RmN6G86SNx(Xr#XKSVaUK_fP9m@1i4iFR4p!0_|K! z6$2t^0o+Pr|KS-coD%?R=qJbN`bka?uf2Zw!a1f1t;p2?W6vZii=cd2Hqp)Gp>Fp` zc+7{1txvHK@NAPxe1=N=OwpdbMQdI>Q6fRX-j@L-YhE~KFw%D6FZtr}ex%=?_M!es z1+BEn`;FJSQaM|O;Q(04HK5+f?egLQVNIzB9-AW;D5BZ>xgY*FKrg0 z+0anv@rHsD==B#N6fmGk_DoDD@Vs1a?i{tn?NdkqsMG7R(;}PW zSfj^T`Q3Ibrx;#RB*co5P{C1q{A6>3N0_@WW8$(wL*rj=93k!El`f!Ad=d z3|o)s-(D`xaMyBs(AbpxYMU^p)DWq?Q>8p^q5^sP^1Y@DV`D=HQ_s%>SNQDkEqc5) z-JbkpuGq#%UVf@^edNtK-8;OVnmi+z74&*-&!$=oV)=_KLDHh8#27CcW~yJ71RFZ_ zq#uz{ZGYN!ViW<=U}UG`SeJU1Sb$B}d&ydk+=0v`BEr5HL?uhR5E>=z>HX|Df7R_K z3bm0n$LiQsf(N6ZX6Jplb9wl=^~?4&*N=Eyo_|2S2yUGw(i5s+!Mj)PeT*Ygp{RuyMDqN)#J_QG@FRM zzwC14Ott^xtH!t^R1VsbdHn|(*ecF&TCt2T4L``TC|DOEk!r)b_}%<;<#=MV|bC_d%^FuQBN% z=KdHM*GvhMGF-Gl!FpOTlOjwp>vT;y2N1^l^VkfK3ciSM8N;}71earMY_)jFs&>Yq zoD5-w@$2^!4Iz6j0@5Q!!Y(DYF-TBR3xEyk2%|ayB(!cALc9pH7EQByq|y-5l4nk8 z*SNv}mWYXZ+^hqhlKEj_^7?f6W9i6(!QS5_TL{I}DoPi;XN#Lku_?T4%iC}L#SI*+ z`0~m7>`sG*+(}d?`+ELU9m9)5GvLvgGsNfgBLY)sdv|SvN)Ud@ClX6Nq^6qfVrtBC zrBSrZLhS_*lg!ed?u6i5ByDf^IQ3COWSYhWs_!-~54*Gs80>c6&}u~ZQkbmu%5CAp zuH%(9pqwM>y^)RTb|1hZvB2?4-RkJZyk-9UK=KtLZ7zcQ-Wba@JWPgWKelTt7{@qa z%4b$JU@;_{L;g5XrC(7fYcz(-5SOOt;$M9N8%oIV5< z(pO?QHme(q7d1_%ptWnx%R{Vy_mGGc7?nw+?ALkrxY3f)Gj8nub$@wk`x97%`HRN+ zUwJ8(|KukBe~tV9A&vWw2>S1w=l_Vt{b#xS4;g1}Vqk1yuV-y*X8Rv}9Ls-_l`IVZ z)dYfnKOP`6{yzj3xn+PLlVON|0*l%pb$*ur7FhIbqWK9d68;1hC1OS9{xz^z!fe}C z3NVJ%tZ4Q)v%pMRKY3d7Fl25}vn#NDiA(dsr)H=ZR#@2Jd9I|lffb9aN4-_ZThPg3 zB_447BP+e2RWWJNTz+I_k}y+P0>zssTlC5-mRg*hr@(`plA6u@#|1w4kH>xYHCGy5 zZ;tw@Hnh@WlS}lABD19l=Mh`tvD)LG9W(Pxj_tD)XSA}TKr1n8)Y|d* zDWUezv}Pb0gYsedfi2w%k=f9jR_~JO^AlL)`w1-e{R9@f?0e^0RbCq+r+++d#bWi3 z$MtFZmJI)HmJd$=?rAw-6NJCeYxC;5q!x7%; z)r|p-LPCXca0n9n$K%>E|9D(B&yv_%2AEB_&7|I=Ffe?cq%SsDLh0l9KAu(SNfrSrc>D}OqFKQ8XS#FYOa zxPON!S^sgD{|_+bzeYs=Gp79Kp#P2<{@=rtEdMs)D*lT${dvqp@FP0^`>XuSe-Kl0{OJPzSVS?UpC@tr^ZGxJnSPG-uZr>C zra=7jq5RLzkePv%<$vJ}|F0JYnUk*Acf7h2r@rT&U!bq*KRb2QP0+VQPr4$TdGuT- zyA;_Uev@|`Tc+2|l$%A}Z>vWut%xzJIRrFaE-JE@WK74%I+GcYo#E|_ za9&|wjUSe+HX+CNDorAD^4@Kn(EaoUw^>>k$gX}j$FTHg?_P}Eau3xp)V@6L z?lxkahJL;k-%Yrs5>j&fSsty96I$yy)o^H~F}WO?zwL=TW#VJ)~Xtz1kk`v8BaI>~(^9MdCm|#k~G(*^`R;QNccwa@{2y{h0w|b{smM znznBB=-NW&GPSB@>w>jy(c3eo5LW$>YM?%>o`W)Uls_@a@wbDQ3umao)^ag#qvcYd zbuYX*H7H-sqGoAk?G7^Pa?q`O@M?f71)HUb4*cfwC*OEN>-jMq{^>a$BE#XvJIKO3 z{v{hAv@(&?Z2L0w8W7ggV(>jgQdjxqa&Yx=HRD6Mfj$z4#c@v^{626L8ds7vzWQm;ea!eT6sCQvj>2v(e*w>@$o4t%(4jqPSpLwetJ|uZ% zGar(43;o$AK;kOgx3_u*Zo4MeeqezIaLmyfs zbKuMha$NMME+UYlDEkmg{>`z?LQ{Wi%9k*daO(sOF0TC!({kwr54 zgdmq2`ryJ5sjNAFY>_M_5H^@7`xGn7`f;9}8``T1RnB3%T>kArF(xj$Rn~2yogqKf zg$M0y=&s9#M(mkxwGpnk2;*W`f>w|e>KUJnuRqd}&H}K;HH$1nKSXD87)9x5mNsV; z9jFqXyf5kne|HibCVA&Y^0E8;=B7HSTa5#KYzzBxLZ6sdA+>rOg-uVJ>1@%5uf{@;RLV}2B&ZwH|uE4 zf73*gVHlD!Z{K0*)y70yWL^$JMguEadg`+U=wLq7$xD;`CS)r>rdt7E65@!K*Ivja zwB_KNBlO^hm*wRINGm604caix50Iz&K>T2%v-5&Z1KpIlSIm(0Pf_OR_DvYN><$uv zUzL-VB?mKpCTpoJ2+?Ui^9~<0-yYk3rt>IhwGPE4mkfai3x(*Uu#RNw4~h4~esChc zjEQffhM=ZM9P=Ks(uJb`-K?Dm7qJDm8*t1+kj=Oy&r9P+39M^kT59D!1eC$+3ZrBY z&*|m+3&J3kore`!PabR=^(iya?*Zx;vIwpADRIQg-n=+^TU3%Jg{OvCK%yrvgR$!E zb+8>%lyl}E?J!|7=#_584O8b?{_BfgNLEj`D0;LJT-c~ee^heXh&b&g%VWR$0L6n3 zg*|04G@zJ$!rFQQOwqIpXl->2uwMt=dnejO0uh)tFhS6FB#f8}&B<hi^@P)9C+_HUds-v#e^(E z#5DLd@{WJ<-6nt`n4R^VNt!nEDY6O-Xu?!lA)9OGnG$$%k4UM|ghSu4s9L3Q-EKC8 zj-%T%7l7oIt-kR_f3c{iS@@5ypGO$3gDX&PK(pc2+zEt@)q5#z#UN7b)jNR97~i~k zMBB_%<9h@h%+;HQ)T22CULL~lsQJLZD$%$%W;nbZU&NkFmS{RJhElZ^4>?vGITKZi z4|%1^;aju%OiVs<-RtWbnnYFhpa`=z9anxtknO9VW!?Ir;3mp)*XR9eAec3e?ZDp2 z$5Ng5i{~O4fDSLR>0-7Q5NARg^Xgku`dp=0-T4|1r%jQrP9~MgR&P~H=pb|fL#ULf zMSNQ;D}g_*y7E)xWr~l?m7^Jt|G7ijm?kWlsS*QaE8BB!vvKAU6SA!NCu4binZ$xg zyQ{D|FpAhqf#zrT2xK=2Ol~G;8d7Y`w-dpdrq2e!9?EKUopM`ehW*hIE|`rQwxABa z9NB~q9FF0-AEBGDOkr$eVNl6!Ik6|{`7@%s+ReW&(-D+_(o7*4~sVy0KWLA*>q^*(%% zo(nsmi!E!0Wv7Zi<)|zWpaKk-@F32r(Cl+b&DB`f=8O=-a%l_TW5_zYA_s>Xy6oYI@00jI5lV`q-#V?(^m$H z+q}Mc$=LY9Kn;VeZOV#+>zI!+=s6X2cwP;_D3-u)PO>Orgsm#roAy0(WyGU#Q3{{| zD-@+NT8`Cx?SJ_jbj`)LGg0wDOi{4GlnZX%ODsj{fH{;wC={tg8|4@X?-1Fc6Q3^H z)D~Yw;BaXru22Cauwww z1i1fqG|XTiET7p8-;&osMI#dW!yi(Uo#I`Z+A|iUJdeO#M=Kix_OGgu=4A89cs|jv zXErnNxf!*|mK1B5UR4_l@CfRklh0_nKjGj^0#Sw)?GuauDgXi!7wF)43Lh{Y|20F?P zdd2F)Ip*>z!1hkzAoH5%+SU$BtIq((tgsA#yo36>_47-OsY7EhmEwd3wxjR`@g5R~ z=@UdTkU{He!w3SOQ45mmbO@M z!)UYS#;(654aj876#wXj7KPtRcLUst2dSTq@;m05N+SMpIO&5oD4IVOB;?_-zUYd5 z;fl{w-`KRwxDIIF4}`Iu_?J7|36$@8k`nP#kY_2syKuDi4Q^vXc8>Y+XF26W^`eh~UR zwQmO4y>((v%GYPcDLR8p691y=dqjG3rekNL^Q24+AqhhIg|2&q@@e-bBaQ|$U;U=0 z%hzASAhn0$%@lx1O}Lje!9EPT9``ryQnbyrm>|oIMijh3jKaUJKhybt^#ki68$fr> zSb?~w)O8F$YxnazIB6Nb#Nl1KMOnfQF3&@D@I=Ve>ZTj)S}S+dWd52l+<|}G7M&h| z9%oqVb=TsJaTspn6$D^OwrlM3hDc>^f#2;x5rQF};@F0pqGp@j2DO5$4crTvzA#PU zmLVIyeQ8OPwcblxb~2z5h_C^7|Gurn_rh2&feh;(^a9|_ zR`VE&QM+UqPlwvD7ua&WnNFeZacuX!W?8Kr8hZ$@CW2EsHyVSy<`CN9jMM37@u(B-qeF<83*;P6Ju9{zaiS5j zLx_@)Z}hGKp%@ey$eB7v&{tx&0=QOpP>AyFrBmQ!{S=r~xYpULhUD-)Ulz&XwUL_B z@^)fAHJZI9tAGeYUzpphg;vuAjjlY~n93?O=a_voD2Mg3V-T7(|TeXki66gB34;b3wY{YD~rqIhO*6y}=#%=;HnfzVpao;}Ck& z^*$X-;n9LvpSs(g+72?k2*w8EbVmUiX6msB;0Be9_bVIPAk8TlHrM*utL_oQaYEt` z@uzRQdBi>6oC|4KX=jys`<-pD-~_*0bL{)-dX|y)`9m?CxdfPSj66*jK}W> zAKyv@sOeR#;5Y@C^?Zc^#3V5EFarGZ!Ncr-Iv`M_T38AqR2@N~tLVQ1sX|Nv5B!=e zel8mPlVu@JJcTSXQxeN|lUx8@-)K*5?yETYeuz0P-}vyS!<_wjd|6*SfE#owg=h3x zj0>mD6I1ZbJl!VPeg&ChysTqeDCf6K*iOZ#}&%3xzyN2)dDqV4o9J`lTliWXSz1iw|kZ?H* zsGZu6>z{wz82r8A!zAJ`QEJM)KskjqpFE_PEh$r~{f%OZx)>o@w0|0T=AW)Z4xs2& z#0NoX;zt5yeKzS#W4lD)4A^mp{Pr7q^4B2bZ_!mCS6x3g`Slazw^|@}V^}p2!>!y- zRJJ+o(PATH>U?8=c4MT2jzgPeY6`d@s{mF#gc0ZfjO6>5&)chj*_RV@izm)U9x1=a6Vr= zv>nM{}HO~_kuzq3WrKpQzE&{z-L8EzFK=)|`ZQ<8C5fp9!NpsSCIj{J~oRGqMM zxRX(p@F#x*)bmsdlH&oWc9xHU=RyRF_{2QyyQZ^vQNwK5yHeGZ0c&=194i>t^o+_A z)U6Y?cTSt(m~zB!o$-~odMVZ`H9cqq#z>PlNNATCxFj>BIk>l9;Z1)T$Xw?U59nl8 zw~nq1J6uHcSv0mLHK!pQZR@}dpfQ4cLv(^u#Le@>yzefJylo;50MuVZi~Dt1H>>-H zcpNs!yN{vn6`DH3bOC*&F>~g~ZE#Sv`15u8Yk90K21Y)WonKIH)JW0s1hFcuB#QWe zS;9o<+7H0c*ipfw!<4xJ-;K>?wQ+?Syv+v#3VQawa|1!VdFx;1T%GWIi3 zr&{{5hWkkc1 zkF9-qF)62No)1Ge??DwV8E$lADmyeUKoYvt|7_NAc@l`|o znUah`4ge-vFPD(6(dX_OOn)a7b{jlJ`Lm~mI<*c<-C!Nb2yfztvi5;2_MobE2k}mF zwJ%Tp7A33zs`+~&7z2j=0(t@*8hls%3`aA8O=&d1yPs=f2%zI5CxF7V*`KGO^N}F} z5*Rx1w^G7q*BVv2nq~BGld^rgl^L}CUpPm2b?glIZ73{Sx40b%^}MM#2M974ot~0L z{=NSGIGUspyC7;15QT<1>1~f99b69XZ;eIBqe->hFfi26+qO!)Pq&)ULK-r$hgJ*# z7p&7#o(dEhV&M;hctU4*!^%y4dH4zdC<>)^Ts4(pYbRE;E&W~2`*af& z9UrEkyu-nos;x>&_yISH&d8IDx;6B;_(~%=$}qbCM*M@Y+XvJvbLV5mK2=Eh)QO=) z)HjmA#ik6}Q394KdzqGA1}w3SeT#;m)J_8c68v@7>HX!1vfh&0Z#2I+I zn(l|4QM+E=LpUz*@uSBTZc%#t06F#S#38~8AehM@l_+EHFK6de)71yPzG_1T55yk| zI#(_BQZDa`b)=azv^{Md?Bn)J4zw00tH=!TVK6<($}BI@CBt_fyj*G6JUX3=R%$9T;P zG4GUlmY=-x^W<3%gGe@q-QyIKO##2}NBtFrt;B{1&Eg*BvfU>)Wo-I1VvO>xPaGNL zkMgWn$9m;&9v>ZAGmgmZTxd9D4r3>8EU~?mR1~J4*pzgtBdLu_km$7&PrU3=bT}r> z2<|oYR7F$uG+c9gZ42DqauS&dqeFvoTLGtEYXMV9u_}sX5WXMeb=%*ppGA+K^&L9+ z7#wdRVlvOtvmVM8Vb_-P3q-Zm+(R$Vr&Q0J)7?nqt3Hj6^a)$F8&Nl`Urtk6nsDEVgpK>t)~tWAt0Y&eO2j^tzfP65n|W|G!opbxZAwUH z-)JwhOq~<$3vfijRLLs++t|+@_o43t(|o+Ws66_|%MRY)B#97MFCzYIr8^rk#MQ(A zmdEVU*e>9Hu0sqCkIt>%2Cj)q)XNeyPeqM*EaTWet%_y<^aRThW&@sVQF)VXXk7=( z^ZeM{xwoJ+XezL4R!LrwCASg6tcVFC4I<6H3z8mHHz7!d%;e`6Ui^M^x->V7o}KhO zJK4i_Tb>%~Gyz^Y@asvZKU!HH(1_h&5L!n5q*Ftl84V8z8rq&9 zt_7uCih5m%v-Bn@$V{Um+y^$P3MG}TMSVSM!x<`q&f`EK2=WWGX38@MR2eJN;_2pW zda3u12$FGD|4@HixAlxevZ+FSpIR`$vr_-Nx8IRny$vrMX3@!hnRQfQ*spFXK` zuM8d7sxkf3*kSFGx8BN;J z%>0DjdYNw(x4>kP-*CD@>b=<{Cu;4qwlFB#s8ROU43OPmVk4quUFMi=Vr6nq^}r*ex@y7gfNb$5?U&=9Y2Dz zU7U?Qk;)v0*)z0D+_$ctO|7?T5Q#&|RU&KAs8^Fdiq@90Afj1ryEb{lyN7Xe;Z10r z)JAy>B>t~DB5D30as{da#~=mOQY&YW{t=8d<-BI2L2$!(UDHqChm?JZ(hGzMVzc>i zy4VO@>y|B{&wR7?=q(M`(QMr7V+Z7o)`1KN1}lRQ5F4DMh`(|XC89Tr166FduaN7& z+N>uu2uEz6ItUrx9q)G34;pFCWOna{qyA9F2pmh&+uv;sou2hQR>VqMqHkU40MLfi z{_58s+N&9~5K!s3UI>F-$`{*48czX3#F>3bm-de~qwc^4U9T6)>hCknnjBr9R^f|? z$NeFUrNs)@G! zLa1NVWTZ*)QY9inE|qY3To#Ae8jjw1)RRy29B`T(lD|G!v-kY*wFtt; zNQjE9DMp&^W;OYPfeUP8h)l}jom*Cg+RYOns(^_q0@T=e|kGX9?p1k!4fvzP)P7zlTp^v4EJv1KXI?JP%47z=X;h8u9^&+0{}2n;^Hx*Zq_OsfUg2q9zA$`@@@k!p*-&R(5g`Wamv!CiK&kk8Mm%v(NtGiR^BeBJNK6rcKcJvyqm{rjhG_kTPruwUo!Q5kYN!-Mg#1 z+=`6ek<^ORP`?k=4aik-ZfZB*0u32`6-iFx;zX^=a8zc-bHA+eSu-`>$zpHKXaKp~ zCA*9&p=mq5K)8-KDAc&kk=ehZLY-=d0BuI^S1wc=+DR*dzR#&Pdjk~XRh$qAN1K>H z1*=I0rFtAMP$K){88}ww=dnOu-)bK;XF{DuY3a9eSft5!mK(j^VJDPzURyc6wzjE? zJ;IOc^jkAGx?$@qVfn1ZbR^&M@Ml%_xzdt?Zm!*j4qrpR*1xZ(N8hvQ^?ki?GUD>x$?m~<^X2fJzvJcoP;)V3yY1uI z>7!tz+4&R^>%xFhyOxv2+{fR_wMe!=x&F8<8uf9%l&O{Pf>Ate}4e{Ge_jXI@>(&HFPtOEj&)rPOj$ZfI z>({S!=f>7F^v4VBS08~I?wU8B6<9(TsMmocW)5e=g>Na(rmJQep zI@8t>KS?EhzQ3cD47WEAF%_o6KIuK*X2XuG?FT8-iGYW2qa>qVfeAu*Q@Jl8h z&tU`*S63NneLWu)9c$VikFKu1MAm#{4^P%~&>Om4Icq^SK0ZEA?{Ih^@4#@@wtSbp z0dZA-M)}sJ88Z*)o&LtN7RBPDVD>Cz(jy4vlkteZ=Ay{Nin=eyj48C1^u1qgr0r_~ zI0j+a@ade~!0Lj=o9j)Z)EcaBSbwP}v-%taXbd_>!>&DYM?3vCl1jW{8CiFxIATs)^c#v&@21`-9?>F5kAWQCGWctTS#TzDI<+}1f zuZG8pHcs{-a*7&r>BsZd)6_-Bi`vwEPR5Rn+EH><-0*BO!}kh*$CV#gB1#h6t6{&B z0ffw{(3JSb^A*qjC0sf^XbX0H(Wm<#9jNN&E1l<7yv2nuy>fUl1e_8y%cH;2#T*XQ%EU#ddRZPSz6^@}ZFa+q{To)&TyB#4=gGI)uZm;wMop`?Dc+s!ml znr0~-?gl_h*(%&b+X$GpTysIIoE69PydvE1MlS}%aw~ZK!4L!A4EMBGP0C$JcR%lw zp@D{`xU93VS$PEDQB^JO8g?nZ_c9WCe&yV(uWMG~z~8Vm`L?HQh9cM!k}K`!^Z~ns zG&NVF8P~(?c~UtuRgVH6HlZd2O`U+H2W<>b%2DDxOu#%sLn)s}Gx*>R=zYSW`tAL< z=<^9k8A^matr9&OYMKBU%076I3KsbJ`U~1353#OC)LwWy-Y`cf!GL{lC<6!%Q@V4a>u#itlUj>5(W-&enMACMSEpL#q=M3A_S6$;Bw<3y};H1Z66WR?(tco*cc0U|@ ztZQTO5OMQDZ1ky%j28}H#bfYiIW3YQ#D-&akWyrqufs4 zw!{`-8EXXV&V+O z6`e@bPQD-lDkN=g+H%w)XoMztJV$`@#WUcKVk%|24+e=be>vXeGa=R>c{utsqzbSE zVu`TgXNc(l@S&g)J$?z81O5ixngl(>hE6$9^Td*F73`E!dliO(w>Hc&XJbCWwv%A- zZSmT5B`)3w>1foSCbAwH5;;HWB;X%^Lfb?j+MxqFmx->jecODAbqa)H34qv~RIbvA zp)jLX0avc`{R8Z|KUykH6&iT-eE4;9j4=CIvR5v%2sMm1Q2?8fJyIFMooa2O9DFrK z+QbZCuR0963;H@wV|%C*g&N)KX98uTyyor3B-H_L3IY0D>J2rNb-i{-v@Bp#7e3Y~0%qg|=xk*Z?>W77zr+3dOi&>L)Tk8xGCGn#ES~La^p5L7PCN zz1{0L{5kZrK^t4_(${Aj7Duz^A?_p604&K-)O^Cdv1bHa9!n0aJ;e${76-%+Dqq}O zV4;%6FT3yI#)+z{Td)97tm&S(kI%J>M_`fwF`3WC!A8~vDwFruoQgu`8?39T*#g|l zFG+$Ji0+!?Brjb$?JaQrNZbGe;W?KAVj30L2iFZ z7hed7^eGvTsa-8$ZxUJ02Dy9MU=arIeJ#eN?y!Ro)41w<^K#v73M9JY+>!J1oWjU)oQI^7@qwGYXTNPBiU6#P(-`tp5aBv?N2KQA? z?dTc7{Fc|%GHoP;0m}_gZPHWIWlKWmdP=dX=!eLGJqe73QDiFXV7^t?2iK&;b-&M;~0xTJdK*Z{BP{Nb8seKyQm%8wr$(V#I|i4lZkEHwrv{|Ol&)u z*vZLn-tBsKZG5}-S9R+A*VWbCOZT(-snz$jE;;?xvR_J!7|V&i8O}k#!C#%iP)t+{ z&Q^tp5#BKWI~sSb9V*k)1z^gr*GaTjkm^|RW3^+J#c+OLDR3%EhP%#!wLG+ao+4}u zCxfa<=y(+li0T>MYXBi!2>y3icks7y+WZw6y|@G&UoKXxxq^z52=_o``3qP1hnjE2 z1=Ca(>=Xf8uwyfMlD^?^wuZ;fU{x-J$Mtf4B=9g6nV25MsqWyTIc|ZFL?gUf2~~M+ zpl>R-Ga^JedVINIC;lOL1)9iTi9{q)1Hj1rx<9zxVMfE}Pm*{IBOu+jX>{W#-~D*G zb;HJp!N#Qkm6-}#I&B&%%$c%N+wC8f@w|3>QAECNsDxWoYNIcVjU~?KyWP* z^6~Q#qYgbJc0DZ>90}ulGb~HHIh#T>hp1$uSVy^tlJ(IQ^DVauzp*S26ysP6!snb# zZ+|Seuui!gU*UYJ-c!Ay-C5x6MKiL5VD=^>E<*vF{wR`wdFe%F8RN)!oA78lUvwCl>FU|N5LOFx-{GR=_gVAuetBu_cu||8OUnC}=s`@7yPpq&fuQqq! zZv5mN5`Q^(c;x<_0$&COKm|#*Qu7Rv+J@!1uV2Y76#zXzwLQYXDOOc@v&63q=?Qim zk^0;$fK2Ft9BNWy^PKDcfUdj)#tA;PcRFxX74tIo!c~vx%;%D|Aa)nTZa?VAbti8x z*@3xBkRZ|$+ccL1aYy*|0rrW@%r^c<0fuXLF)*MdCwNY^WVaFzk1&ITu;Y}R>w99e zC{$%@3ysj?lbLMY-dDvp@&4&;-AzM#9tU(fh^$@_@oYN&peJl8_ zlPCz%_bS@}cBUR6XWjD5I>0E6-~4Twe}bv;+hj*>@Ua}B^StVyb12L#?;^LfW$^~* z;9&%Y(`tR(TW(ijC|NOamd>|QSE3Ak8Ea3JWjAuxODDm={8_v5;4tdrE8ELRAcoZ3Q>P3k-2qg6IXZkK>En< zm&bQ&sPG@FzqeBW<;$lhG4B15lfj!v=oy-nTH*gx!)+qX(zQkISd+ znhBd4xE(e|87W?^T>qoz`C(~?wQOan$K!lY71#lOxzIl*@Vm1q23aW7DEQdG7QY-Z zmaoLb!s{YWuEDpP{N?xz1efneY3| zovfFx4_zQ1E_Vsg*A$yc={0R&FAJUUZ_QT&1Zlp)^UY%yrx4BEd9D}naq!~$ixt%iYnUl;5W>fQG?3rAK$`mp2>)`t zucLb3xhP!B({i-T)%3Kb_I3H%95XOnWH1hbf&x!+busa1f0}VpCm3*(24)Yk&pbIH z$U_rv#W}R0+E?mV$$7pFQr==0Gdx!S6C8D>K@CGyrkjU`gseg{*d8|)*SCR^S3utV zEVMhCB9>Re{4w}Qu}sckE$l*;CrWqR?;zeY;i5gT5tAGH{!V<#>%-O3E^c; zEJ`B_zQs9{B&*6G?Y^y!`M=o!K;In{$ZBU0HB+rKzg-BiDH!X*brzg5-(s&J#i z`;q1!&W38y45t(m7W|#>?K9=M%0Lk!8hk+OOd12pm%bdrmD#i|p{SOLCOOh1OTI_6vdbZ-;*Fmn*}aT}l*-3;y78L}h~*Gs2S5N^5PQfEPydAy@+cOI5_ z>>>B+%Zo@=EUsaZ`SPm+Y_X-F*>d1Qi-yodE1N5ZE#jE``92n@mGeAYZ{ckMkWP!0 z${dUm?38_%ur{{nC|I2W%6V6=nQ`|@;YLMS;Si2=@an!ytTRo|$pV2xufhhPPK65W ze0$Go>|H86?7Pe`?u(^iP-nV2Wli&A!Ao%Xj(`v!uJ&c6774X)u$7&C4B(jLjON&r zy6#dvsBjKjZhvML%5l2d??Ca`)VeZjtk_hO_I%nJxnE!_1Z?5{UFcKH?vT;8jEl=i zF9dTW00zChOL*&vR%$2kn_zdki=FI%h2xz@Tv@@F?G4pT44QJ!Y!+}`HeO!&>=STJ zO%}^*e6PaNPjWFVXfH)j1zES z2+0FrKY9*swiREt{_1aH)Jdzh-T7YUc#)oB$b+mtj^tedkLoTtU_X;83jQmC;0iE} z7H*USN=iD|L^rfjgc9x-O$A-I(v6{m0UQ2uyX^o-<=}aJ$vU|JqB=agNY-k%Z3ax` zaS}C&=1NHG%glkK%iauQ{y$ZKjH^8;4zL9H>2!U-@NA@NN@WPQ#vkM_lOrf&#qmhJ?9x4pDtz1`G4MiiBxj@Fv?oH? zvMI?g^Q#_U6Rv@*ohxiqLVSWtiFCc6AArE#$a?{bc&SAG9=yrQ_yynmD~RU*!JGdV z!JB`WtA7RD8M`<+Ti6m1IGLMRnOIv>(hED97&zNGQV1H`8JZACSX+Nx;P}@WZ3;zg zN&-$g21#UWa7VTfY#(>mi zPA@l)X-FCech}B*X=~K(@%-#?m+b8DhDs5Q`RGHFX5DqL1mxWc?NdKwJxtJIK{xQ3 zC4$lkvSrT+%I`8OBgKd5;B(qjHwc9ZqLh5h~u3GZf-_&OS(&|q zziI^jAE7FfR09b&A@zvXairBK3vbE6?D|5*+sC1+ScHmT&R;^+B^L{~bgUNBd76o3 z<6+YV5?7Ls5>Y&)imm(GZgIw^cx~J-odf)Vp#!qOGJ=cjx!(l-HWV7dz-C z4vt#vl3<@IP+(A6^SjxhMjt&|N5*yv@KfNo8q35|{mc%< zR`5Gn$D#Z7T*5MgA$BMW%=)pRG`YSP_r!vi|3|U)H}VRayTqx_=k{{eznR3;dVu zub1S1!KKRfH(dDdxK#g?{kNX@Uv{bfY3{!$nUR5mfbGk3%Jvsq%R=|X;j(dj=}y0# z>n?`Qe{svw7PeOOLSM#q6URTwb_SgoiHK zbXnZKfF@c@Bgr>W1Ir+N_k{RK7fuJ>Mveu0{d4qTpe6~TUvFT<)WWDWf!&4zr4*OQ z2d%-XQ22tc8%C<_tsBCw^@Ha*ds8z*SMe4NV%6xuLJ2U-@VpYkIE?MZ@>4eBK<0Vq zTFUVEH)v+po_4#B-KM`2C9Z07uc(K21{oDye2bM+B^T!s*5m8P02dvX3KjPtZOO>m zh}^I(2u5Wu??G6%%~>glVf1Os-if5Al=I5aGDC4Sog5IK zeXTx`O4Dy*Qiey1j2(_wn+Gvekbk9emEDudjIC#@q|MCj7v#Vft0-{>AdWdygS^qN zI0T;934KtQyj93+z<6QJKRLYJDPhnD&%>K3m@R(d-lw@+7DZYKn^$hCcwP;cQns~M ze^UxV%%exuE<>|hNSR$IPf9wSMOar|WoMMIjdw&Bd#6i=d*KdZHx4ELf4#{&gDn1);d4<27#^oK)3xv&>y9Ie?K3nP#Z!=JPCpUkiD>T_zaN~(aFjcLLZv3ppLBRSEOnv$dcQO!NWLz(r8u+I zMCFn?sdL3lV`r)l10}K|0m+TL6XRBBbln$8*>A*V#L5y`BQ9K3oG&J;(y~}QMdBJa zXJ7rECH-K$2tkg>A$Th*S7A$-H{OT*@@#PSx=gZfRT-z_A}m)Ivt2NDDZ8 zef>$DpuisRa{n@J5dh`;5)Q`t*Ge`Qo(^>^JG6vMZ0Z3|x0wv+274n9O=x$TaW2uL z48?*1>RKMvOVUh}u#oKUVp$zwnOfnujI8+`8P^FXVBB`CY}1L1*NiZjq?&a_y-^xb zfwTxch(O4af&uL#B{Dx6&*^+G1AK!Ol%R9(C@;eIjo&2_xvV>PsJDZi;dGLV%)TGc?_KwKK(q%54YTMk~ zMN)kGXB+DTXduzJJFpcb&%S$$ww#{Xl5$m|k4ea?UMu#p{T%dyrVruu zpjxVqzm0ogl^gdQFK{ayext4Z05=0P)r*@ktBvs4WLGIn=FxsUOwrZBqD2vCRHMD4 zPQHlDHs#z_FB+j^y?;v$t1+2VOG3 zq{?IA=rni|t{MQbGNTND=Kv@H8*Lp`hx-t^=qFnvNi8O1I+UWiX9uI>y+dF3Na5vP zfxGQg1Jjf8l1eC}+PSaNLQDg`ncm5y$0`L+1J}7O@M@QWOF4W)3x~1AJnCN2ns@@u zFrZ+hff3_RjGA^~gl|8wnk2H>0z)fEq2j9|G~hsZ37tpKFs+}C^FiA0+6+{;VpC(& zipq2LA!lcIEJFrKwmXdsWdy0D#>$8TZSAFM`v@EjbuCd;8GvTbZAeZcyj4eQmNdL? z=5FxxI+d->Au#L2irQh+*8&1!k}S2-O$a5p?+GQ`G88=i!2IfZq@{9zW z%c^R5M%UPbzL@W#1f`*>KriW(aD*$cn4U1He!l)Zt&0G6o?;1OHr;*;YRFWpVKDV4 z)ZfIXr;bl=S&Yn7;7IqauWzc=%PM7AHgD69Rs`#!=qvW0qjWl+W|pLHnW*-$QH@?D z%Jf65ZdFIJykLjRv#gKiWS~_Srs48CFqLDAhJsb%Rl{7mH93lELsLkEPZ0ausuHp& z7U`F>aSl_DuNK+Fv}N}klD&{&D5lQ`Dm6VCNNm#|&;6AjB2!9qIZxZR7VwK7~7 zH|#R()KTF>rH_Y<&lDQ!Vz8UNoIBx~Lh<=Sj-j%>5wgqh z>319|X(!&zg;b?I$-P%Ke*rYxF0T7(a1%dZqno4?XQ4nRKR1631y}4Aa8%nO$vi8R zJ<8%z%?cb7H{Qf5LKp?wGmdeSWxv{_fJdPE?EPX}FWOlCwudt@R5-4}9qTcf2 z7At|aq<>&1Vjmnz4dG-Qc$H`5U{y&L@W^=_2fAQxX|EfAVyp-Sa3_ZWMnh9bMcO&X zVF7FbXj86^=F&$e-||6)o%#7|0I527JW?^RIN`@hqUn@#wOXgEQr-Gr-)*~QCI{ds0_PWoKF#&<7qnV zo6(rsDUn70UaJUfo!8^CrGo3#!JUQdm#X{m_HhdW~?D?nq|T z8N2yXHTF$X--PvC`oZLag~(hZmqEO{4E%p>-M(pGahP8#zVmxG<8jQDnzZ&+B zAILu*ZY0ktbMc!D_xLz$CF+|~5zKQLs3Efz_nYM%zZVjhjW*wpMsA=@__)HTDMiPR z5O}2BHeUqWCQ(nE?^R@7xoaNokPu?ol&&pr`y-ZJz?Jr04;!6*niv>`U*XjKZ2Gny zw$3Qd$J5TDx|G)c&@^a%VaO{N>dN|1tg>S`mEWk4mXYhmx>!_sUpka7Lo3NrSx2rJ z%3R?<{`s}K66^EAFl)}%=HNb()-vX2w-i1fZg~;A@NH@F)8qA$?AdPbz^6D^bYd@^ z>>rkKVX#i3?dODwgOH|jXRj|<7OXQ21D z8u6wtyOusY~mDK>QJ3{`OgAIY_$i%fi9jcE>>NsFdR_cP+n)_8~`ziVbPGSa!YSS1tPr|1 zOyp~?JoOBp)L5};@KFSJ_cnLABKs-BQckJtSm6f_1A}H=8*)J->?!gI$3S?XqXgUj znl~9dNh6gOoAtwN@v5z@OPzhcp5gj?8p`3&9TTMqIo}lz(hW!d**lNx5)gYO1RxhJ ziPW$$_dZLQNOdmG+4BmD01;tME+jWp0K({mIr^<28%{BFnshkhJ;Ia@wd%>N5TA3n z(^%mQXh$(3eQF5gD_2oSYE%|IPc?EqBw}SrJYp(nImNHz7h0%k`W2vi#THbi69tub zi=3a!OC1343Po5p|AjCypL2Smae`?0x6X^Q#C9kNQ$vnj|U5zFom>h>dBJH&!CU%TPIkE18d}_g(P}-6GN|rbyOPsJ8 zjBwB(m5X!$h7AJW=l|xq;}UUpxjHKXLI?+!&zA;q}Ysw|i#j2eb#?c$N$- zwXoZw6o9XVi`Z3&bi(UKH|C?RLYB%*>{MCzNnDl_EY(WKxg8AQP5VCGp)Fm^mo1kD zUg_c0dJe)7k-VjMd|&uw4)>@&NyYJsg!+A*d-(^AL4=tmYO~i8Ae%;_jb4o$0#`4a zWOgEB<26)r)e7r)x~jizDnPBe)A%MGc()vH@Jt|UW3lPn(E9SiGxE?{& z1@ODiA{^Nrg7g5R1x`9IN5_Q=Ff%!7bhcHQRKxv#l8O>#j+JmN`-r+pQm4YqPChCTzHDot3a#AE{$Syd^dO+&EaGHWX4YHnz*L`w3^rW4@c6_i zF4uFViDm}O{pyT}8PU}HSe@A{>tiYI;L1&=(`>Gh4qF%2yY~}Ug!o0KdZjuzr%esD zA#}P^mRsge>1sxaG(L`moBMhMX89@v3{-EWdN%WMm{FKQs-SnBDLg^V;mN=blp~w$clki5FNs=4I5RG^nDYqZn5gl+IJ=nz z+=bGsixEMIl@hb!{dK#Vf_k!T6BxYXu`8Sx4r3DfdM2S0pKuV^v?#t(vWAq)BQ3xeiz$g!c$bn;t zty8&Tbz}@|e%Z@wji&R+2uj5NfPj_F2Y{x?i6_^>Q5|G4tRo@gVlExpPEtwMNBhuL zP|f*{sp~PwD`bBV!L4dEhfXZHlF^_4*=$D;^CU%%W*uh3T&=GeI*|4tlI^#?iWm~N zC2FlMN&g!~a9x2$=I0g6gQ;U=+49zVF|wF_z)78{ifc_}>E{5b{U}NIM|of;W|WX9 zm#7-oh7jC$lTmS!-}xV<`BH7LMIjP>nC!KJp=dv>%>>ua)qjF+e#4GpZ(Y90Wf6xe z07#^!Im-n^6p25)(Qi;#=>*H7t87!?C1D1#&a=^W4lFs}(i&?H?2(S-j^zUd08^s2 zjLO9KJ3iWj?k@m+yS6dx9_xS425$}~e<~tpUH_u9f42B|8Ef?hPm%G@XW=&~nv^AN zeb&bpI~gouT$}DFjqIIKx{+c=t6pyS=;bZ?cr-5>j`vC)Ev@lGs@EiufST55#TQ1m z+;m}9x_%BonIm3tUQ~du^;8EgZb~!$vIws- zi+(RfWr~FT9;+CN=ZjY2E^;|b$CUPc*L7$T3X&kB&dxQ`;K>IZVi8ysO^t07mrgkd ze25SMs{x5eO`Bkz%&qnNbbQp%Ao+;8<=s%yrs#!0r6^bAq^d`r74A>R8WCoR_^{pJ_uBrJ0x5{OBjc6=_2*TQKjXF3!=1s%Od3{fHfY1 z=BwEC)75m~VCFW5w;Duemg4#4&=3q5GnQq205b%jHhTE@5J-j>W z&mh#9_O2oDylUXKwh+D_$?9=Sn1z$UVK#+UzHzrH%)l>_2)f7f}6{W z)ux~GSOpv^_7iJb?W-itzf?C(y-zFWOLJgqy+~hZsG6mD4=&mGS0Mqr*EA~(;6+=pP@^Xq|7ipxOGqA zT_V7=dF@Wq6E^Km(cEfl>RW*M5%NJ}h}}n_yZcKTtND)?K~n?)stB~3nbEo*cH?da zU@Yz;O6+i}>OIImh<;kV+YQC(INMTMAUyx3xQ>mf8aC9GgNkz+o2QV)s=5}el~#&1 zprn($D9~eFH2%eREAb96U>KEpo`-!kqoU#??%CpP#v!dVUB*l{iO1wJ+TIp=oaIvb;jl=->|2D+J^29@d#@kTBNLz?B_w?Ok*g zg8M6TKi06cGxEyxV(UjstprzH7Lm;G{5!Qm=uhf^WYcY8mtTw+8HmCIVX4GIn!goU zqJ|;+++~3FAA)&Ue6B;$e{JSEDQTe>!Wq~k@?anmH%$!igD7EJ-^utd3;s|D5-4mb z2b#W@sx=xJW9B7$?3;Y))*A*3ig3l0;%Fo@vli zD!f}{N7N5!MYq5PA&i<7+3q_7`l)v`YY8A{l0oXBkvF5l>=z@15|jZB?cX5&4U5rO zz6U6a%@p37=a_N@ENJpsc{uKtLuMpwW~F_?v~FH&#La5gTDTk?o^W+mxIWAZuF}R_ zF0lOjcSa$Pc!VD&#g39iFSp3T>n@;RCw(%Xj5>5Qx{xI7sS=GY#DkXyPy6_>dOL1Z zoh-I6vhoWtR&eU0vy2^WlcvsuHMGEivF}&djJsmf0j=%%gva%PVqBD+y8hJDyXwii zdwPqihe8K5lI6);LSopUVW@||V9^Uq!M4Wm5C7>7u_o>swa#Y_2;5cZ(+3(s9u7gP zJ{-3(q;+lj1H{m72vEzTDW4lhlm_B9TJ@;=()V-(f4U<8RP60ISx@7+0_pzh^Np_X z?ZbfI`}2CsCk;KSA7>H7yj2gU57-DKago`X;uoUfky~jx|MzcJRyfe~=e6XKz%McQYf`2>bw{DPsD4)Nh|O{329K;2;nWvtZwj2}mL#V1#oMSDIg zE%!022}~+oBhT?L+%GXU^s!&Y5G>&hI)!@-+YfmMxaCy1r(tPYfvDU18%_32@m^9E z6&vRfXhvAKppv$5-az+tT3QR#cYjp12>gitSle;Jko*xJa`mQ7#Np^1c3^D~CL~mu zOonxVYW<5@uQ@q-z<3BfjiS4fdGI-gp$BF;A#-?GC^tm=6qb?H(7N5Yv{jKVT1N zXyejNIZDI06&Ya9BIPQ}Du0Ejt zX-j3c%+4Sl?znu(pH{YK+Ts~WGj|Jtw!}%3RhK$(rYWeSsMaL&^031GQ{Uzr17Cu3 z_j=V#rr_(nqqj~xS?{ZPiVgKKP&ZtMm5nxAKCZGtwc(pj86Q zIV5simG*FlUlcO5IuVgUz#B#!gw7xm>5jQ z(m(ycgi|}uc~0-cH#Qdn25>jAh&0j^7#1t81!5%?x|*!Y$xGq*@rfl5eIiv|epP^m z92sL@Lrm)^67_oy)v2FjRUZMDeajzh3Bv*R1d4u`_}Q?8r>)5l!l~nob2ZGFxf!m7 zWz6_?Xf!u8H9(G4i3;ok72c$>^HpOO4R9Ph8a)(x8X$xydsql+l_+s~H&m~FPEy6` zR&$Eyy3E!v-WO;uuJyb;4z=KOgZa?ZNHWxBI}VYwZ}%O*2K;l6up5azz@m4Cd# zX2fPcwEK+uB@RZEsUrj3VT-4m2B8u?1C!KS{m3t#1E~RtG9l6I=*sDIE>ZM>2m%Zv zZtAl9v^`5H9xm+nFB8PMDatt7PBQcI4X*hgbGDbpBt=PzYUb5~6xP|4(Md=&748HB z_*MJc|StAeSI!CEJVn)TzgV=Uq4EaJR+?oHYU0$emAR%i;A7ddAPI3c#Q6 zcKk!1y6;{Ohs4fl3-gsEbW)m6es}w%git3EleVHaJ4~gLB4af=nS$qXq6hAAdk`j4 zqZ(aD%(Bz5E>fJWt4oJ^4#Dd&=^RlnbstXIX*QA4QKuN_l=*g3#cU3EyecDyzlG%1 zs_|-xBc#`&OgVC7b@=C^o>HTZ#2YAbY8=`yOvSXEDr0nFj&L*&>E`yJ8JB_~rWQ6i zc;X7&n#8BsTvJHv6;H>gzU9*{|-3G{(VNw6_kMzx5DP=9y#{AH0IfLbj;jKG_-E) z8`hCa=xzVoY80!na)Af6R`U)SkqyJ+QD0}*-r3|mUF<0&Wq=q<C4g`?mKs$dUhkE0X)+)Y9bD9NE{M`s2>VUJH<1BHgYyOWNkaha;`m)RC@)P{(v;ibK$pQ$ZXyn3rnH19^_%f%YDEfLj4vpP3b} z=(=)z)&^Ol#mJW}Y_fD~M>_T{#6_*U!n$?3Xl>OdIJWIU*=HgTVXYrj;?N^NC(o1% zK#9)dXs~Tx%QFo=uU&P_nUeOd=~$ zYfCp)qY%&?-ziwK7mI9xneulnSRu$e64~HMJ&CG`pOM<8MwF6)NFm%2SG$wHqpR17 zEbiu7ypWO6s6Fy!L;fA1{&OSk`;CkaDPLVm#)TS_s^&B;q}YeoH5)D5m1o zCp0Z0hK)4lhy-gri=K5Cn@r|z!PKbBR-kR=@1^Z9_S```>McUhE{v802@~~C4 zQGO?ZqtG8mcwg&_ju-IEcW#!JBuv68Oeutn;PK*k4~6vPjtI!gF^$Yohjpk=WC7`^ zxnAVtn(=XtBL%~GxG~H^+;|sQ_Y@{1igBrMM~1^$I^D3QRj!CYsyoziK@q*R;l!bd z)^`2*P^!ggKh)&M3u)#pZU(!YsrqmYZKo(K;N>zWYwfZ{Z`)li1b(0CW*D=2?cI@y z>wbOcPb^j|vTS`CEQa!2fTcsD?DO+!bq&*(kA^^hCD)l0tw;>YemPu@+{PWyIqn=^ z7WgD1vn2+N$-QePG@ZXwq`KqnOzM0Yw8V;zsAbh0Ax*pyFUdOx!R3VRMr4^0!?I&3 z!t9?c80CRf+QuV1Vo#hAZwctc3uTUvxaz$isig%??2Rj1GF1v`*GBsYCu^UO0Sbjb zzw=|+22xb+{}qbO{9Z5JgYxS9AyGhxa&$QJi)WxwS_Kh~aLgaoV34xUS@^zAp@pU- z6{7^~3G<#Y7B!PQT7ulWJ7Dm~j^1_MCpsb^b}}{opiLxhciww$ME<+Vo#l?tj0(QD z<;(Fllc`KVEM2CEiGgZ<%tS3Xp$pyjTtfCJ?>yi{Pa5Wu_v6y<0<8I*TtN6MtD{=f zTCN~H2gZ9*WW4!k6|66&$}h-c1&c)S%GKjaf?nrNm!sb$y0dIGMnz0j6MYgAvOy^@ zJ?ixvu;h^$-s#V}o!V6@4K_-aC1LAGqWm@m=nL&$rb>}jva7pQH#%2|DA-1V!m2ij zZ21&Mm|_=G$+pmx0alGC{lrG&JD_L2wrH3?>D8J&8vzr!=F!IA=vuAZLrR7yNMk2> zJZsj(x5QPHas*QW(ggllxs8B)HMmad*~$k_2mv@aW{c;ABQMag`-V4Sa4=JygRrxeNF;#k;imzgmL4HaJf!4Y zp%VrIL?N7wTq4f$|Z zcK};G*BU-@!uL)`k>dnt!`QS{@T5k8N(`YKULy38u9vg zWf~UO!*5C_Y}bf6mFLU8}IG5!V~j<-_)V`y#2huKUsQ6+)g@&%b<_Ce@yNNc?zZJ--XHj>id5ZvM? zs619kIr^WM$j;sN6sj@W4luy1-$KP`8j2^=XlwwH3(pq2?g3y%65)$~^c+&mqJv%pA{ycyvMm$TXQv=ZoZmGM(%IXnUk(*B=GT#y4+H)P<12~1f9X)84T27EA8+x=# z9EK1_u%R$1DXK04B-YQ%LcYFVb3?IDL>zozB0wRK+Y_Ou1EADboSJLd=gUO*nh>A_ zuR0ZVb@fJvgu??eYc^)EU*q^ai!kW=d#+eQY!U#Hn#hqSoq%;ZeE_2B)&6{z7ye7~ zG_D}H{`ZiguZ-gVhZLnc!!AkjnIL=PI0GwyUHo5!6#dDc{#)+z|A-InPq_SJUjM(3 z6tVqfjQUq8*UX$8|3SiaX8gYZLrh7L*sBCG{z8gSal#P@Y^tl7X;pF7&ZJT8^ladH z=DPd2B-TI&0UcgPvY!uI?tjvE9^`|ONU2L@&G-1|QpBmq`ef6RL3@J!yfHo7cJO>8 zX>Jmy{;n|Tb%?zN;JAxjv^IH^sAdYOG4sw?U~JyURdTSKoy%CBEMDw@Ra9bp;0~PS zz$&rpq=_@7(=?7(?Q?FPH-5sLKZSADPIqZq=U|mwXfaNv#Fi!crGxXa+UJ|QVBDA* zyJ09^b7w$-o@dagC&{jT&$luUN5b;sRIpiw#4>$A(keriI3L1E1-gP}`& zc1s!?IxE2Z5_@8WB8^a_Dtm9`Pb|Q|T0X1GRmk^uxK!N1o8cZqSvt#nDfLI)q9_cK zOSo#luzrQ(fvNwjh0mUHJvlibOwHpU!T!RNq1)cS*h34K54gWOQT#LO^AC~q-yn+q z#Kk{`&tF6l^S_}&*#6Rs{R5HUXlE~E=l%z0VEZB-*g2VW=>Gr=PFie#Tw`Al#Mjk| z1Z@949P-!f_GOI|wzG9Mv2}JLVE-?|A?*Jy9P+0Mf2-;LG92=!)&HVo78WKtCIV(Q zHaZrLFIO8A9XkOtI|m&z+rPm>1iwseDvA;m=FZOcT=evAZfe~9(3l;Hk9;Af8Fp!T>NXYjKd)Lmm5{bM&HL7CVl=jjQOtAXfefQ~A0{-qFrT$;4TUUS33$UfIOm z`HMXI3P%%1XA2Xjucv+SD69nR|HP)S5wQO^*_1!=__y}_Bb&m^%+CBDOqKupTD-A` zj4M99@xxE^*ViD|74J>D%K8Y~f?HkDwQPExL*25B=jH?*C)TNDvxNpx4?CKXva^D; zincyYSIe@Dd6^?Ia&DWHe*BH3km{?+Ugwyrz08LwSCbp%^HoTRZOX$ioSdf%rBhAtYmIF~&=?d>&ToCiOC%s(CQOva+%a99~Hjpm)} z+*NXFCo?@5o;(RS?XT2#|75#lF|nJH)8LP4*j3F0PvKRxD>kfN_OaF(>bk5-kL$jT zbd7IIImEQ_Y|@(ye_zNrl6ur78u^g%V|EfTnUua@{objS&|!LB)xr&X#j?G%Un;WX zHOW9@R4Es}>o{v-iu0j^j~l(a!p?d+bD{M>ux|fNYf@;oq;cu===>>A)OD9fW%t1# zYXUZ1GbO;y)w}cU7OmUMY{;AMV1O)>2j4Iq)5NEwFYoL?Zms3j@U3rfYpwRvFkW5t zv*Y^V{o$x5@gibR92VypRrr19W~9T@!c`f0c{_-3uyXx&Qxt>cAo)>sh_Wec!t)kE zbhYN5d6ED2Gj&^!UO?^)b|GjGic{8|Zpg66mHlKu(kTSY*s1?M5(D0qC(hQQ*%jz+ zuOfjoqmh%p{i63ujN2Dc#D3bML#`|~rW$>4uGpai3+Ps{b@`i6rlOo9Z0V2obr#y% zebZiq!PrYzU}JuRA^eNGAlhspa%idUjXIWz2ou7rPUzi}8zgcTf>Fg16hBztf{a5f zESuYzRvzd#sw6qb4RVDihxy3ph$dP0@h?~`>AnliT;zAh1`E_VoqJ-9!}Jw7#(LTjSaSu%x$nRpg|Q#`EKNa9*n@Kbf?=Tp_7=-v;`nVd6N&kF&sUj@*c*_@p^`P?8 zHJujUzacwR-pM`*gu_Xe;I7DLYap1jjBZ5PDZo;n2uR?@?|=>|wrpay5s+X;?00XU zmw8*GoZI=B3?)mEsZGR_%F(P>jBUhn14As5EQh|G|C$HCU3?Ir#K)8nogqgv8Dkv= zWJ(s1!c>I`x0UNQw_P=RhzwNLI;zQ<%Xztoo|FcqX#W2fvtvDV*tOw@LYeZk+4ExYH4Ix#BDmT zC+&8MhFaq3)0bt69@1=p)yghi2?n80i$&jc0Y?{E{O)fxI**0fijww!*t@4#+rD_Q zudRgn$!`ch!(X)rAF%t(?*DAd`uD9|g?$+cD=2^< zEeafnt1>wAOj1h?)~yKxwr+r{-2!W5km-D6|lDLyJt&?M`I&p0sU4eOC_|NtN1#<^EBv~N$h4K z;DMMTV1X+X+`E-nh*JS^sDMx?QHeLm)8hVwWr9w;KW|Z6eiDJh-DP~FaUvChXMrVf z)Gu$v&ad%qwELl2V0MI9K#6ki1cGxlhAsh67>~~ND|sJkilL@>BNC9&6AsD`XQJFn zNJ;72)gxxclbSLks1>=0m#~B`KaesJ0_n`un^e)iB`JPRjS{>m4X^|!bmhJu)8cau zxFdz3lIxj=H8LAlfO+H~g74@tBU%(dsU!_xbKskUHV(9@BG-1x_dozB$(VO51x&o( z%#dfzRLO#e8ckf_vNJ#ACxA#|U5tZ3pVski2*m*Ow3C1xa4-+Xm(^;)sz5XhTK*#H zU7ltBtGxIVM9J7y;}gqN{Kr+cqahON69WW#sgb*n|Tn3R%eh_{D&c{N{G(F2gDl)T>5VyO2M@1murTgSPWWFxDg-F zrJVpvOq4xy)2SIF-S|{*!lbqZ0BZg1bXWix$Zom?YJe zmfGvUW;{rP)TAFVw`39t*TV^)TtP7c@qj@O&-I0u%<~s~UV6qxxuICcR^ldg60?em`dmrW-R1tn5M3mBpk5^q+Ms!)Em=-oO|FxYQ3NE2X&1U~5h`cB8;qE$bbJllYA)a3^#he2N;gsfrnI3S*7^ET zZF=3GxXREsSEGW>HkwfIhA@i1xm_^j@%H^|!|Q@~PFaAuC)ae0ynY$vwRg}qe2Bxp zbcr^D8CsnOZRZS=snbo<+p|_|tI6h=)!%}9+7X}bgPNpS>vz-Uj&dAo;}Zg4P5wQw z=L4C_+yuYViy#b%H^s3FJwe4bzYS;xQ6IPyI(2EB%qBxN`tz|VP2O@Rec3^uk|)v% z-0kbW0+U-#m(e>1MC-8;*v#j)7Rd{9y%;2HaKP(_Gh5kxFiP!;VImW3)1GJB<#sxm ztjDRt?}lZ)c5w72tcvQA(RFdU9IE70D)rExc!D)0-x5n(w+YJI5&5E|PzwfIkz!!$ z)GfVg{Gsl*g7`;Q01tMl;&IOPYe#Zxo@30tU2a~RbhjBcld5#s*YmN52j%oh*C1M8 zewP>S_g;R$hU8S~NqXUMP(NqTRz(JZZtmF3fS(p8z$GYH=5Zw*l_J34-yyO&q`|e%UNoeJ?fJ1t53df@oF^@EcW@(}K{8~?SG-UYI%YeE_3K2I#dU+Lyq=bc>u*EuE}W@|o&|29od5i|>iMXT zcm&!V(|{cW;$XdO)0K^{zpUa2pL!8LZIa`SQ?wv1VjBeI{ zp7A~6o0<*vMBwedU7lv^7?DLNf=4)-JPh-qW~-D*3d_>*Uq?lXBYSKo)!Udj1bf7(C&AC2U#-D@ASSu?Uwe; zj6b`JW^e_!8x;9WOuf~40<3~>BTfhApwWnYJs3`3_g_DhaZuAM8Ne~~FzfgW{fI~* z=ph6I7D9*EZ8{(k#abEjBGerL!7J##g2_USe)oMFO@B8Hf|I4e4m`z-(-V?Qc2iux z9pA`zZ60g5`M$8(&YxIt=R=(Rxm?+w96y^>s)eW2nKTRM&EpgBO}yQP=stxR5y9abWqDqhkwpkTvlS>v_~jQ>=LL^Rg4RN%bSj!j{re+!8*% zwGvnu){#n0`A9qci#J4WzL>v~mdDPAvCiKr=uk_!qxiE-X4dt41 zE>KTk%%+YgXNyaeYphU>P!}S_iVn^r&H~dl$N=R%3;01v4g5%;EzYJ~DXo?WoqpSY z!arL;P4NzbSc$Cxxoi2cDXt&Gz197(8$v3H>TTtBpfb&W886m{qbe}=V>5=|>o~Gq zqN0QjH1}uFg&hV9z(~D){QmPIaQ5NU)bx(?o>SQI=^Ul#gmg!B1h}r}e^?G~G6n#l z2Q~!ng48=Y9?58qV)Bz)$t5hI$QcfVusAs!jx4x5VpvVhtAQbmQk+iJ%KgCbFy3%R z#329~mBiT6g&f zSRQ1spm)^6fm7|NB5KojtOV%<_TYAi^Vf*v&9<%0_%+5>s8X!oPmsTtBC@>z~&NEtj&W2m!m(2s~mDy0KS>5 z9C;Sg-_ExhkEigkIq&4ve>eVH=Gh9_omV~Pvmid-t#7&Ls-wduxYjQbSm@W$FFpQB zEu-O8a<)Ob?ByK@c2oj|OW*CA?s<&l)*Fj$kzjAaX4J|~V4rN9i3Q32T zMuK)48Ce~HK2?3*W8^o*aOx|il2{l_60Yu}q~Z1VUN#Pu#RQznIleUE-L2%f^>JJw zCAYESjKOKgGv61=KVbGCKfRo_rL+$hLvTfk4KEtwPvs?La(*z-`q_lF^}p||!3=bR zqqo3ORJ?katCD|Zs2`{&7~xO&P}Mk;!WvT7Y{%P4srBK_-z0_PK{5MC0%O3mpGQi7 zM~3RIo8f37uqlfHeDQNn3<7YzgWGPS)MJ|7w)Ac3J5c`qS&b*WLOs$D`4Hz?n? zU7A5V;Kew`u3@LeYC~nv{)yR^(7>0DaR?)W(d8{>5YQJ8fU8aru@9gI0a2{~HLd+# zpp(tf?Y*%8c_gW>2NseHdfQ5w>*-EAnqNgG{=kCa_l$K)+Cz~vO+4&D7@O}5Z&aa4 zlpi#*@kx{-S)^c#DwTy$C8Lf~Y_$M#bCx;=>Uikg7lNGxXs`Slh*54%@%f9RBqC%j z;lUMm6Cm)YaxRtvAcA6vJzH&M=<2aKMQdM|%OTYyY1^j}2;WGEmU^qaJhtDBvJ>(I zqjohlCbs-gjtb1)kAdI-?Dift!~EH}p;r}h9#v8}KH04pP>BhnW`v-*!d|wyhaO9O zL*KGKAi2Z9k0ejsO~zmuf}D@^)*Eef%9vF{JBB%4YeK2e_i}$uJ3d#)QH8RhtF-c4 zi@5tFqDF~mV0zj8)*!#(tOlzE7j=D!(4#eQe&(l9hnB~2NA!-j*D$6NT*CNqxod=u zz)x;HGhV2GA^=7TNHxOv>%-Xv)oj&4zpwJ3?k)bStmZ|ly_n0Vd<{u9C1rPO8{33K z5Z1biMw%_mEfuax=VP)+QJMo<`?!NPt!%3W9c{DPdEddkkKwTd9BR^zM8YxNU!i!n-L9zD(-|l=F&KE!ke*} z9QDM?w<)*jExORVQE_Dcqz>+D<*X7+~S912!ZkGi|n&S*FYj_XIe@qbg*Te{1e% zj``H_foVC}SyCCd^|Xc7Jx;{=*NTikS?SFN33WBph2$`~Hna)6ooy3?!>4i^u!U;k z7VIrlqs3xr{PjdD;dUSCtQq=QUf8I3(Lz zajNjHKIR`APsSC%kU{T)avBJq28s!8Ud?amU*spKYQmq;Zf7&HY;?`F@eV+?vmTiK zYtkvY?MA6q*b-a2f-JdJHsM4UV=iB&PY@gjej>?Ot*b%D`6CY)$R{~jw4=TM%r zG)5lHAB!GoK(^cDJYNxcw(+vfQ|D;+Gp$@>NgcKU1p(>`Ph2aUlR;6FJAW-#zZXp= z;UMFydqpdC?e>4Lc?_QhY0X+9RigA^T1(0|QR+{X+__td^k>nW{@u`S?V8Y%mTMh$ zZ-ASpFggAqUR!Ko&EVC-v|A#0U9)e!q$RTeUF@7P^On0r^w~|e8vcPXNO(Ffp zbdm+7ZuYAH2-?&7bAY{}b$$=iOexAO*fbDg=X7AIr^iTFWcPMK&b=K`&H&b%kU^*F z(p)?)>q1|@vaiO?cC$fWDJu0;d%hrU3~wcvRCYUd7)OUB6I&9MIR=w=NSCBfT_clv zPt_1Ii?pjq_JTpbI&~zaHECXCliW^y(x!J8 zanF}sz-I~vG9Xy=bevx_aF!y0s%3=8o@`bG@twY6wnIy!-jHAn@qO|@I6M!$`%xcg z_!;Bbog0qELrFa_EGb`q*HvUHmd{uLOHGmfb@@F&D^j~FUR%nGS>zy4iMW0c{aw-r znS?bM>lREuVJ5vxw)tH2nN)4mAX$D!tYToDTFd z2=^bZNNzMmvqQ}=2Yu`zdkFhbYX58XBJD9^PPZc=A=to*_oF;C3}R$jvI;^~dR>qw zZ*;KKFxl9cfULA9XjOIW}y1O4!wNP!@s)y9n6V9wMF%zIOTzxIBW>Vy*@#&m61`T>h3tD0;R1( zmOe#HW0c5*RTYc2ipq-0P6geizeF+2&Dvx~P~%W1+FjMNKq`~DMZc;pyj6OLT{AuU z4Pt)b+wd}FoTlwsS~`R= z|FQygiajj2F_nLrP+fQ@r2zUqi~7vXf0TDoLNF9_(C;l+cy-*~PlVJf#OlUTq0ok-VGs9p) z20@JsLdlZVP#$+voOI`RM%@e!XJgHKD2ejSjEA#;rTvq`vrF;OKpMbWIgo1m^I55B z7~pAWZf|GT`?=lo`^(}n9ek=9pXS(WsIT7Nfg-*H)>l2eUA;+{o0HJYHG7evtEtuo z_qDaDdN)13ujh}4oxVGn-C1rw?Y{H3yuEL$&!=p+e7rloWel`CKAp90tGPSg?vHSg{=BoNq%^xf9&KfC>Qdsv@DbQ&bqDg^(-~XT$PgQL7eS;{0P;{#R z^}XvN1Q7`IZGQ|ClfCxzqo`{$X+tdbq@%|W+m|9? z5HDXO=-2betIGyO5)pj5Tfu-L;Q3qQJcC>l(IQ=#&?re{swne#BITwMI<6P<}Ee(6*|0 zf~$E4%Y)$=Ugvb6hRgI|jsKNb5fGF3jh|kY5KCNHf#*>X6=Vpyz!P3uvpRGc^0r~o zPn>(f8$qEu=7)CELC;uLKQg~!I+$D--u*R!X{4R}qqx#Ck%~%Hdt$Kl1_H? zcYG#wT2#R!zR20~{#K%OZVww#8xp9}R491x8+bdoVE~vxKYay;Z0?t^`(oRic#u#b z0r3_lYnp>tmhgVDfc7aoPpk17`pGL%mFnc(ckv&4#H=>`U@`r7X$c!~**I2*8u!v2A#tXK@M{rY;;(0}t5-eX+K}kwrY>N=9-)`-q)Gl1rOP=vJM0uE%Q@Xg z2m|hw*~`CsphO|SZp9H#qXP&zb*i2YT!jI7s@FH@WeB10f;7@djjIo9GSz8Iw=8zV zMVi%ggvvCs;zO`0F6)Xh3%gUr3L*P3Gnfv8IJrg6w8xI{kpy!J07XVM zh64e|(U8-Ru{BT_#psNpHT453R;vnaoA!8|=|UvfU+50zJ#e0c9`gk+YK$#Rp*NI& z#~w|+`F#S{>Y4k9=f#!Bq!XGHiTr3-b1dvySMbusbyrTpx2ShLa@U9nAPc0DcMXe} zomh8n5U14M7#575zQij7sjy&mbehe+5ne1{tt7ca47X!64)4NaBk2*we|HCqFjR#* zdn{ocs2ImS51)jtnzZCFv^3#ur@v`?_o9jiXM0y@J7Z^Wz>gGi)lrzJ7T%|;%X3Oa z#(Mf7m(CO8WSGI(fT}ZgM^X_X1iyEa#+fKCFI1T}c(ts&G}x^=5Z6a1QDsF`quKCy zA`B36(@auEL5?J;I2n1CIC(lbWO)xXhZ&k)=4O_5m*VGD30ls(C)oW_eugQ*#7ZK( zNp9e_Rw$cV%?6=cJECvGt}@az$tU7`B37c0R=?Rl3u(8(YnBtA&x+?Dkq-M{Hwv&e z;&e0fL@06TbU)x74c?cr59t-Apd1-&)0t}0yY?BY>BdPCJ}i~j<&hJ$zf`Vovq9K; zH~7WUCWip2;k-f&61-6fwPR`@>y!xOiiSYWhVN@|_q2DVPBd3o;kRtL`odE!7p+Lb z1i3ngd?{ba0zS)TRkK20co$$=oH^R)^@X?814Eo|$pR%()-jW#sG)4|=Dj^~{NrqhAuF@?xcScB5Wkh#@t^ChPB% zQDHm(wPhwbA~J1$^Dww8zR4hGY0lHcm^9@+lY)HOCU1soKQ6`8ow8L1EhvYgW{u3_ zB=rp6roI_w}kNG>Tr80v{I#*|eo%IrVwp!NeG^lbkO=-~~i>Ut#~s$VmVYm7FE| zr&&KYhGrPSE=zb&wIRU^0Nb{S&QnNwFyy&NJ_|KB=BRCF44lEs3a> z;?wIEK3ZOSO!Sds$#z!`w7yy+c$!~inY8qZP96u%vaP4fCA;R_KDJI4$n({lPA|Jc z{5}Xl8s3l-uL$~Qc^WGwDnvOziNi=T;JSVSqK96a&0;rU-~GT<3L-U1Kk~N;o5cg2 zHOJzjiTuMADY1fV|NA|HA?Zn=D_lmyLsrJBA#wd_&O1b8DkqW zgqH@8XpFvJ@^JgEG>xV~cN8dY?c)~$fR0PyF~A`-L1Gl3E+1XeP8Gs|ZS0vg_JUZA z;}r@Fg3ZU;AES5gEUUBDBrlj8yzD}1H7}<{RiM5pgJS5q0^#CaTrlLcp&*PLv1NByZZx!)$2cV|ioc2nOGzP{ea$c`H-q)h-B%8iJV4NI!xSj1k%&Z<(H znNY{X7&hf;@71^EIXLiGja_u`t;cYkAs)UiNOpH~R8dQ{RcW2rY?E;HF)he+CT~ZGvrRVuCVnEgdk0h6=BHi9CgEJ$GVI>dVcbE#w&YyPG~j+oe1TC#l2H`H zxx<2;UCsHcTv?mUZtmjSZ7x^nWZT}p#13t@n%(+;-pcOccX#qqrD|A8F~9~4sd7w( z71gyBl+9ZKajcD!HVkI`AUKij5n^{mC7uas6NwT$2W>&^w^Ilot$0o)+j#C z328P=*;^_g*g3}Y&E(xvT4~$6Ji-4&fy`pWqLJOi#~^OAhqHRIe= zJp!eg!JVM`%=so01RkQ?NZ6o(Z9NX;<6#Yo5R{wL`~te1sa!Ay{eqsN?$fui$ZZ!d zi_!mklKJ-!a{8zJK*9*zBkH1M0xS6-(e6n_#iV%mWeua5XD2i%g`*C^yFnawUzn{^ z&_NJQwNkH9@>_Wm0^FV9&>=!IT)2It^NnkoC?XRPCt1x(GLz3w$&5A=`pNMM)G0q+K(5KVZ6Y+L1mzd{G|})m?4ajjCmT+j@4Zk zF=Bshf+OqwWGZlj#!l`N8C%G1O#mYP6n1NS9(Kf2biLM|nMnCzrP?`Cj%k7*&qiGX z=uQ#QgJ3Ysg@X$OOnrX5MTqD=NLI&e-MbUAT|Q{ITr5#S5lRLc?iY5VFoU)?yNCDk z(A>?;;tWQahlB$&5>))WL1mOi=72`SLu8vvO%KFLaROpRe}3Qe@Vs5>^(RM`CAaMr z7++G4@sD9AlAewaSs;l_rKe>1I$G^>Od@L;`v^^5Ru<`p>x&Gb&VdxM{gQ0wGV}cFKky$76X|-#zCpJm! z%`Zd9p)|x2_C4Sw2l_-eqGXH1aY+_30P5`%#HTzKEL@+kCzIHw@QXM{t}>FcN|S;r z-Vzs$%Cp-Uwz#EOp~z5W8gy7i%LEc4p$NOX@O5~lV7Z;X)A0iI zzR|ls2(l0TY<_Y``tqLMO|U#86WHv_n<04FLTO{SOndc_Tt~_lyinW0sN5f$+l~Bn zU@|BWp28<|J<|NK4hp%V+}nAq7DD z8?Ti-iFSPGEM0zDVhY=^E1vIAzH|Yy~B=pc6z|5DsOc-YbVD zMMy|}eL>dT)WR-5dhNe91~ULDYH|S}9oaE1_?ycV*ItOHz0cfQTz|`i-xN*-t;~$FK>lwfSEUf_rpsiCl?3* zM9+0(iDa5WK}d6!Ntm%rLL&Ke9nDS_iN%wm5B^k21C?RtitGo~GzO8;l$~6@U&z+}X}K3{Vx|*0$(sO2lPDMsEAs1;yj}0kxO{p>RfGY4@@L z<=|Xw&PjYC=9h*ci-x0@eNJ1AQS=UEr(eh!XqnBX8st;MWD^ z6*UqXhhvP=KkeO}6J7vjMd;q8fbc;Z{9$ZCePFFXr^Xz=$&deh!OLV7QIHal-Kyn1 zIk#VTh_l0b2wz;g}=lmU`^xM;UhCP{2& zlVx|6Fw^_VF|5Jc3*{sD#rI4Ugej3k7S!%!ur!>}-HNyIL z=H>@KDU70fVOa8%10K*zz=!SM&-S#TNpW5Bn_70j70w$FI_`Gh7bkx$>r|sZ*tDLW(s&=wcG%TI{Y4?5$J%~zlpF+_*D zECwq``;8bcPf?yuY)_+H4V zevOmBYet12B@i)Nc~L~W*8TN$c>h>B3y<&n@p+uOohrwbj3u1K%nzEDRpGbxbN|+w z>*}_9-Seqs`kQ-#CJfTPX|OGOw;d$a)HC!ffp!dtRK;oQoRxGH8hef3obeG6`qwE82n!GdV%=@8U+y-uG~H|K%4wh z$FYeyXP8tDYme~MM}1M83`#rbxCWw@o$e_P{v>%Y!IAcz9^>O^fD3zWC#y zJ7jxIcxt{Hq$w`1a<$sRQ#Q~^>GI#H!k5kr>Xf^kE(}8WlWX14_`21jwM-k&?_irB zd}Emj&g~)`ZA*2vX#Am-@*@cGa%mG+lo~)bGUw3 z!&X`OMjG>W^JY?+F32a1#1Op(8G3ty8Y=H&(m#HF$$a z?}ZhBQ>WYN)3DP`Ubq*LPfsu1yVcb@Titx4k-}&aC?8%+0bL@POSCTLXt)K5@A7u) zC9`)B`aVMB+ei^JanB@Vpzhncq1PfF@4Ppam94L}b_H9sWnlAkE0&Tj(8rAw zA;fyd(y$c>dSXCt6zNUBqg6^`hJC6wFF$Sb*=r|tOafipa4kj8&wU2k>~wm%f5R(* zY!C zjb1d7PBVGaS{Q{g%vkZ3iJXbPF5yxfIPeOMUNCoyp{O}a1a%Fynb z!|e8U_&SY#{~+rF^zad%{Cl#G?f(;C*pUBs3GhEm?O%!6e+U8oXSw{F;rt&HV77mU z0CW6LT-(18;4ONDkXs)pDV{V*k{yt;By;2-eig4ZC_qK0XU@h*)KS)%D#Mp$_NRDv z&@5xO{4k;6YkaSh%-t0}zSopUus9k6S{H%b+;2sq%YbhVttqq@q?_Z}>cGwGTdsfF zaF32RPP>2GaLBt<+s8e5U93`Lfp{^Pq(;!Jpw(!r|Fq!}Ml_Oe6O#{VpGH_n!h07V zCjX@kcXBZrgH{o+L%fky-*bETO~PtBo$$8}M`L1v6&zFMwKV2a)LHAyMqW9Xc5^T< zPxCKrxCHl+m&lqt9*$(*FC`N z&bnD_78j}?au8=dM>zBA=taa25WpxRpX>4Ke-`QeC6DK^<(|LWfUOSSI*u=@Syp8f;n>wo61bfWA84F5#`6#v)cD;oj(Kl1hO)&H9% zwtoQbf2&>T+3Ejhg8}}3iS0k8#J2l?wZyi3-}!n=1A!Id3r~{nzyjAS(;QYuM5%P> z&a=c`e^#LZa>9BODv3OwQDZ2oV|%3+u?8J9fy^altV1UTCpe+3=}|pwccmvzpRPze z?%za%XCV<0HLwKLe@8}|e(8ANY3@+5-?v5=1!|cnT=oVlsv1J23+XfLC$F$YKH&gc zjlvu7(m7h??9dr{WgNcFH=3Crxl6ie8mmnQ6HJC#hwqsX#bM|ylb^Af1Ue^3*HVUe zaZEdN@VYZ}>odJanYd#hu&Wj|7;aQ_0U%ROpIlW;-cD+g2wZhsBT+npyd^E|D00KP zz#o^rbqQ(NH*cvZnxiP0a?EiVPIR335D|YlgxRO5_#u*(Q7))N%Z|v^baFuS0J!>1 zD$jC^PZu38HGVMHY!l81I9aZ$^GG<^HO?Um{R zVnIEsHbvT<3cA8d6>93`Qd)jP;V+kzuXN;ZByO`yQ>-SikBVr;xS$&k6;iZS`55+u z{`)q=*yFNg6m?1F+KzKaOHzpv;lkD?THL2%9CsA7FeY+Ud^i#vsYpp26e~3olEzvb zc1gAx(N<|{O-l*en-E|zux{tCKoCmh@o^DQ@pgocu!&G$!O;$zz*{N~n zvB;bz=xJEyoF(N?8i5W8u(`w;`(99CBJhvV>5G=El_0???-@#34wTFGD^Og{o7#&* z)A6%7WW5S=JnG;%?V0FUY=(uwjd~6%>sPwd@i*Bz6HC~iuAKTOXG>2lR3M<=9RL&_2 zM%RptcE-lY(84R?5d4_uv91N?*JI(o#x2+knK{E-#KfD6OJrm;TNkR9Nu87CZJVAs z)33&>5M_z%15UDY#J4kYSp!np-8P(`Vru=1weaQP-Zf-AC6)ysETW-w*s-&&5Q>IwYtB;m&Ym%D*j)F*|7Ba#lTftD;+wbf7 zMfZWW#9rU4#A!tNF{1Y( zKp@MCg|^R>N&{&?q3wosC}!s%b086AjjJfX+SG^_YDVVX(IluoLfn3^YG9;*hpY^R zjdWooG&^pc`AOXAz_0Zf33zHbHQ0E+2;W2d@b={)CzK5vI$t`u$C)bTri3BEd7(ns zP;D~behd?;xXC;SyNUIm0QHt~hBh#G*pxea@PYu;r2J|aot2AW&fGfHce-~D{{8wtdkeF3p8_DKh zBh{HhFefvq&~qwlwHes~mm~cm9FK{yNAqxk_Z6~Pu~;^v5w1}rV%Bfdhwy$?Enm;u z$F;G_Py9&ge-esv+}?JDn+KZV&&68UPVjBDs~#%-;5;9#;OS=3A`daD+dfb)TSac2 zaqegmO>$0_6rIS-k}xGxX!-YeE#wW#jJ)#&KrFu*5PVtZ8Vek;Sw zM|W zpm1;*E?lC|V{|AZKshBrNep6pFGW94;Ap68iK0p$q+oqhd;$HdDo(Gq@$;zon5W0R zd~FVa(J)rh1+%UN92}o|xrKR>{~^Z0M~v-4L&&y{679BLRILBtaMl~4SZY>xq6Jh8 zlV2QO%Phzil|ab+SN_|(BDSskK!N=AIuT=MeENO6;xjbsv5N4(+zeozA-`i?b&cTY z0b3X#(|a_(JYqG-6N?g#U;{Se3kE$fAgG6ZHQ?E63|Zo)H*jSwnQ{{{rtzX$L|j(p z{PL;A$V>%}i8per|W%wZTg9c@)#S{$V;MPKiuj` zbqv=BR+I|Y`b=>qT2)0huCN!o4GF6(X` z6&^zRT;%vlp{Wr*oAt+;JN^uHMp`ept^}oN>kX$yK>rz zXICXd=}7X(Yb}UB&6cOjg%11#5ctRu_2PLD#3|4%NMpejt1Ud$mSnQvD#eJBv_i8g z$HdLpnjDKEQaVLMU=aHNLlM%E`-ETB9Hb!tog?pr&X)zu>UWEWAF{k=A*c|9OTdt` ztr9Ihal6j40wV!daeNBKJepQG0YM`xMJqeVc-r+Ml_ntz$(_85OK8zXixed zRtmO>>C^}g*2xcLHa-^3WKoZthdIbg#-8@JK`8o$U@$itWMCY0`4o)ZGa?p%9>6xm zrg#B89NJSK6r@#P&_yRemt_{bY*$y=BY3?(a56Ff5T>R8d>Amk6HMnTB%x*aK83zEgzMn->+ax@NzknQ`P7z{o43t{2uah32@M4#sBHr*=xD zarRr)ARS73owt;6{5m-ckb_bU-~N1^V#kfiq7A9-Q6U4YX+vNaB~OPX&!4xJYu%g+ zdH_k0?cH}EA6h@l@x-Y2nKidA9?j9!HCoU!%OcOQf+#agh@uo<*eBFgZPZRj%m83)$0`+Ot&b9J1& zq9MR%IXvQHzm;HY!$3I4Z=j0AT|8!!fAl0SrWkK?8IRdXoAPsoQB#6L8Y}R?cxtl= zyG^B*vOcQDwR_(*H7Fs-ydmFO-~ZQ(Z{o@a9!8I@{7epvpzLxO=2}IzA-5aFdAmDX zRMjvAUt5LGZw!0pAY5Bs$y5#uXNXu7GO`KWT2@JETq;DeWNIdvs~gERA(*Ki%6_+Z z%n9Ku3E zUFh2DucHasjwzYXBh32bJM9iMnWPyV8(Lu)aAu)OL0POn(LY~4R|Fs^_?=(%lBsZd zqQ9!Fl1-6mLmz1rubeAp#9DJD6yoL4<6_yBg2VykiQsiM#tRse5M_m@j8 z6=q;fGfy=x>cDP0_G9eMZJ%nb)i$`o+!B(bk@Smh(ybU$MH@Iu#3o1Bn{55sO$^M6 z)sWemUvpNMSZmyPSY5+13_^Ee(wV%@=AgKI|I#uD%ZKyB{?|#MHM=xAV>*h_1z|>~YSltvu=g3tX@W#Pw5trU zE zXFo0l{5N?;wo~I^(2SUwD{;a@{U*ndNG*H*%Ih15;Mj$P_;o_I`#gs>_gS2h#Cn_~ zgcDh}Wpb`Y+@t3_7$)`@kj+I>Hl@Z9*hAbv8c=m{RAxZ?OpxXb^-P-`qClCoKo@`y zO=IOs<{h!gPCPZ?#2h>_$%qRvgU+Ce-JALV+H4b*^+ye`bpyp_k8Uo3v)|vO3Z;?xAu5el zqg4`1HOMwSpnm;HQnwDAcdjQ)KBSeo%ydy?dwu02c|@((a8KY$$@--{*!xcvuJjpU zT8PmCJF}O)>*ghxsVo%^&#Gdo>E$?eWr-s1YKXpFL+>{meL?DO&uL-b4LFd{nLc=p zM`7Ne2(>Wp--EeI&76VpaEZwDFyNsA;xsP~t~Z0w%)rGARvhNgq||sW=Le0+CRWUg z=KSy(;f#+&quBzh8wIYg#$%4t0)f#EYfqNdjhx_83`8=Rf{gA(Ggjs3)Yq( zoII}Pcm`!!@w5%rBON|^Pf*d|YVO(|$=oTT{_Q3x;Po&br6aDEe+oX4#(aA*;h3y5 z&lC0r#d8}Bl!KjsAA9I{Zxrsg`;13GV(rP4nepi1g!q)JGEk-E;UkKjA;%*z*WlsR z<<4T6SB%Si!fQ}y!4DK;y6w(HK&hT6TSwUQE;SiT2$PtoNc=g5IKRu4@SL!Z*^#MQO$y8iCG?15aWb3?48 zg%D)f`6Cl=0Mt7gtl)`+NBW-3-*_LYrfzusM`aX(3Fa`0Q_yl?1Y&FSE(Dz!0~=-g zc`b1)9vJ}%ByR{;1svw%;fGdTV7{Fqq7l=gnurv|Syj+tF+aAe|V{2El zFK8ZzCI(EYsCPDh#4df`>?y(=am@z%!_CRFLx;|XVJ|6Aw#!)e;R7^gU|TvQ^d`0 zQ8=PV)Aepl_W7`Gr#NPCQR$}?GhXFd+k2-_<@>c&Wq*?Y*NLhoUzBPc8cCQj zohDMrPqzD>ENbU(q3Bb@8;&cgh>gD5&^29hbmS9lU*#$vnrqnq5WIwk zR5sqdgR%8@kwb(z`-pdnkLy!*Y3n7v;v-ZiMs}gkX? zjJ34xs7l_Q?Px1$7^F@0$&5u}s!S8gSC?{O;PQfzp<D*d1x^*eSZE>rjmVGJh8ZY8?`1fB!uOFUfJXiQkgYs0@-C+$KY--?@8dVe;SqYqtA6p#*&fu*v%t05jGHL~zh_qh+urvpVzEi6zF$do7e96VA)H^V+c&T z(AGF`xSG)f-;+;6HI+bM@qB$EQSQ|$3z{{Fxfw42!Zi7@$^2}G5SDV)Z+eYbc*CHJ zb}?h``lwO5go9eRkgw~M49$y&{Tge)^fKeC^92M)**o}_=ynmnTl+L;XWh*&;OsK? z^aZsqtcsz61fwju#!Upsb3#>{I>hn$c|70l9{O{GqxrZ+-{NkR@~Z>5{8SBfcX$mI zY&egoEoY|W(q*iK(B;adw9Kp?KIWNz@M;=(r%2)HYrF+anoGQx)6O;z68qaXbaovK zh~S%)xx@zW@Et3dMSTxX)AmK-**NnnvB~DXL-d_eptE^&v6a|>bN1@U!rsxQ z%V{`l6q`)7$KQ(C#O%wqlAD^G8YGWOD%;0j=G2Q7__6h#rEb-gtCgEYzyf_C<2Qwei?`96)b12?K@QoOCJcNA=qqX zO6zsrPqH71J#&O9x5=epd?WiJn!Wh689~x^w5B*ic)hK#Lqwn%-QS*#Mtqo1tdPm7 zu^XtDRz%pVsFk!L-eplST;hF@_yio%kHfSq%(0PIUiKDuZ}U1~ms*smWGa)&YjhIl z?1((gy>B2#nY{mc*0SHQFc0$@=!H>^x*DwAm~^9K-3jpT_S$o#VprQHRM`7#r7J)SEtt$EcMt75^hcO`oL1sTa+g! z+K~ro*#$x|{mRln5|o!MLF@}_Py2=f+B586#NdHMY4tPL zO)_~XjP9#PW9EfggWE2(&E1>H1w+8|%DY6pvX^^t@m*6864b@z*beu)fW=j+WhZZr z3!pdnVcNVh_4Dk&0tn*pw}SxfIl_3yG!+1D-wXh2D=5F6WYfA8M$h-1fa?v-pdu@M z_r0rg!&mtD>Jir%ogQQ$(@m&~Os`d4&j^{(tP_fXV~G(AJ?9FkG5!pv#d`__!b9>s z02V_I4Oyc)ili;FX=nTas&69#wDH9EZcs`at(-jgn=3$zytM*!z z>}2!(QCs5iwb$q6ZMW;4nE@x5vjTd`t_u(VIRHgjX?i4ILee*JAT2m=rexYjG}Lr?&5ra9cr_&7q97i)Ufq`zl8mERxOL|W$SFVs5^3j(7@dN^ zkzqJ=8>DaL#7iko-JtW+r{cY!J+Ejy^{~?>Q@mAXueI%yZVoC^6EalKiiH^8d#LhC zF&{#UQ)L7gu8JOe#9hW$=GFcraPA;?*qCdxVWHMx<`(k{Hl^y$u?E`-z=YPSp8nYq z^@4IuNX^^2@E0j&k!Ei!J;>uj^T zS0ePh$dA2R%)70{N|J;^M5gZ#B3K8Cbje?pqwAxN4_?xtzEoIWyO=wOZ038R(Rr2P27f@2|!WuhIyGJnAY|N5=x zxS$e@(!$oj3>DR84Fqn%o`N7PEo%KvsY@eo?c8wFrNk3gf8Q#(d2uId;R3xXPgsQU zK6ErCoQ>_r+$#&-_a*)twm|-&sUQfT%aIwZ!Fs=_SQ&kA3#p)uG);bC2K$FMwp@&v z6s<3t;&kL#1lu~|8hc?l+l4d-LH70Cgj_bA;B?=acDQEHb)v+t`(@o7Ec=lTToxVc zQIAcmKG~Qj3?D?s3nDW?=2#Rd!@bbpkEpr-s{2LDmPX}ymW7<_=le)$Utjo5pe>Dc%{64L4qP6}G0YGOerpqjRQmM6$T^Nm2?wCW6g`5>yE-#_&hpQ>IFOn(bA z^ecWv0*+sLtzJc(CK&b2;zYYT;tu0#c5?5>6+riczl3hDe}U0lpF_+r18#17m3MWn z2U4s*9m?Xi^mv@%v3ktYIa{IE&}(T549`Wcr>k0;h2hy)6NToxSdRGt(U%C_Zzj}D zej6XixO@Zk(`p=kS$-)L9=o3XGy*bYq~6ng?dn}8syXr!4}juj>nTjZaC_8WgE%wo zmjvG&i$Vz93^P`r)e?>Z#oUbMXSo^g9PACeVRkt;$2ZkZ2MFBkC(~PiRbsPr^t@TP zO0lw6y<_9AGXL7rGWBV4`5rM^2I)h>y=@5=U1GNdVF+wx|ck0)O$)zN9R{y7r$q(05|gc zC-g3475@?Ba!(PZ2(jVby137Fj$R`A(&Fv58Q@wt(XwX{0Xk~kFh3zL*HvGvTM_XL zH1PY%i&;vFV7@3HJLW?00z#}*3TnB3fNq&Wf8x=f!@ny;UQCQn60(u{E+BBJA}AF) zLCA%8)=E5Eh(8-8ipNR^2rSGCKPsMz?wzo9ZG5X3oZq>K4D! zxl6l}w)5*)-)CDBW#=eetJN*twNIEV4{sq4{Z42;?8>Tos)R5+I&I1ReY>xu+l{W})H_q_# zb1#bnJ*qXiNCxtBOhGzTsVoQm;E;6D8c`@l-%#)r+)w2dPTEoEWU_7)Xv2WQXR3`B zuTi6G8ba`NjoTI6qU-~4(dpO)&y7T>;MjBS~rgvZmaitFJ$&Q15OGZ?< z>RGGLQUx%ybcV7U0$`=BV56AhEv@ojv*wrJU|}jt9tcb5Zu&Ajdt&3HgLtZ8j58@C zx!*!H>JKRBgw=vDw1T6&i17|EB5^w#@ls@Vh-VeB_wMsb-DVc1JP8C%x)1u0STAU} znCotx{XCH3lxpMOWU&h7ER71agkLYj-cz=GUA#G4eA4^K{DzQTV;)ZHU3x)qrdG61 z?vPyC?PiBCL%t&jYh%8|cxlBDAXesO!ci=Jj*%edC(fE|7Pn#8RoAM2008b z#II(DVO+ySKAyM=hbhwoF4(jnP5ItQWf}I%Hx8K`Op)n}C@_b;33c*9vjr^iB#3oj z{$GQ6o*_qk#DhlnY_+o4Xw{&Qa59c1JE>AgY69d{%TtJ@`6jiDyJ z#qt=}d9cQ!+r9?Nm78D7T`p_wGY87I64&L5r;djij9n}2fo?Mw;~tC*rzs-oEV!eQ zG@NuXCi;eF$V_pyT4dx|Ht`11o~`RFKI>6o1UcfS z_^Gt;WcX6BL8W&ZE@K+@JR1|C);~RJ609{h7Zxr_YZZLCF3-b|@ytYZ*d+GlJrE^1 zQ>7a26my%r;P^c(-Kl_P`^6G{h&SSGZUO#i%jDVG;>&DlZlMb`sK$t*Ud3ojsNy;M zF>n&*kWILiRQ%^<>2_^_VGA{;0^iRHN}j0?>KD}l%{b8Fq8p#wF5`7%Gd=d?+@R`| z+w}`J=p~W#U?xu^o0`7i>c>!v8wGYV8b)P?hk{5GI5m@0H9#5_&9P$<)$#S9{Hok; znm1fz|CqhY(N3|_8iOFvEL`r(ef-vye4}B9E^-`RCyg6|%ZPX%l%$Rbe%lg*0?5#k z)%-7n(~5lN!s-r*8> z#9(V)sV&gp3J-TiqiQEd=3;YEnj3TxrV`K?Hv22yFtqz*cRz;R>r72iCUQgRwnmo3ckQO14aAMFLNI&TSBB_qGUa>N;+Qp zuM)uE!{w+}O#>QL4-DG+%UFxma&;HxC^WQIhsw&w`z?@;Uklma*Py8Qvokn;&0GRQ z?iMiIWyT4@4q|H&^A&2ziw%l_T=W9*#S#5OnlOTwmEx7jlUC}m?K$(qd$yMp( zT7A}}3C|<9)x1b5Kj;91=!}r`uer7P)6M+dTgVnv7?g6+Oo~vj%i+!xAklE|o~TPA zND3{5P63>_WMI)1Vqg{@RNZezttjX-vpi8+KeACZYI{zoC45Z>3(Xc!_tPS8ZoV<{ zK?M4nOe6wrGZKZYgBYD*Um|)@2TG2hP{^|62B9@g~nlM4(>^aA2d{0>p%7*Ft>JXC&Q3lW56=JUc4FycVoSztHDHgn{LxDVOFe$sx;}(oU93#bqFP7mfP0v2^)s->lLTa&ak= zz?Ks()|b3;7{gmW!D2JM0eM|-&3X7@Fv)Yh=x8_Bsls;7;TW&JAl4<6jYRa2{#@!S zBUM4KOBZMsHHP#cQE1@pxp#W#)i71N5uNvotVx#-VpYqhs}|=xNw38Glk`K4|;>G3Jg(s)A9bXpRjy}lI_-2;7on0-Y z&!C)7Ry8kBJQJc}om)d>=R#sJR_ibylz z$*>{QufoVM-qf6MBQTnH!^5SwlvBM5^L`1q&C=z9dC6{UcF?M(eGO|Q{bV9KIyX6+ z=tx8H3k*#QjQrXxiU*72bCClV)hi@cd@gjM<+of$SW#VPa3Sac#m zls5O{nY0W6zf7vK!$Ti$be|5q=(q%DSI<;96)dvFySj*Nu!^TmXQDE;?ik z{wKFDHh5S*iwKFTwqI>W?AE3qKOoLy!Wn$zE#{p&-{hC3=Di-KG*!{m4qmO19Wi;| zz227}CnsrMc&;p}!w(*YG)AGGHvD?@fhXVt?T>V~>q|&aJRI3&j`dhU+I**s7Kk)c z3f@1)gX^)m(|>n7_)917kMY3C*jj~*YM3S=7H+3XrW@l#pIERR_qu~c`jF66*k>mHSLVt-3 z=$JmF4|L4TALaH;Oss^Q?0@mh{@#&;3BVw%Zzo}FZffTA0VxA8sQqJ^jfMGd9l~E6 ztG~|cU~cDR>%buCq;F+zC}?A9WlTuN#LS@d(E*9y9S}CtclcmeQ8GCG#l~W=(|0ho zu`)JsVi0DqWw2pzVlZcLW-w+j`g@DRUl#wB_~JK1>)({O(&v zVr*z@^dX6GbaF7(w}yw22>+1nSl}}KmhA{4O6AckBfy{StRtaFdD>A)5_W>CP9;lH zmSodM@mhp${tLnBGRxqV8{>f*m`xlxi1lb+og$B5CsrGc&p93SdyCz(Z-%2LP1MVV zcH|pDYIZt=#zg>-`@h2KxtcP1)SZ%9!#$7J~u)MGPkV-@y6- zepA#40e=aA6bS*p1wMp;-(sA9SLgE^;`Xm}KAeA3-Tu=$pT8k-|DMk0w3^}# z=XdA-m1QOX6a9xehmDPco((|A%)~+e!T)1p=cH%-FZq7|7Si`IRya|LGcmFf&;$R_1OLzi|97GX`UbqD|Dgx|p$Gn7 zMi12cLl6Alj~>V`S(2G`a}+SQb+mhODm5I;1YE5IUg2;$EjNw?I)TXV>*{_tyLobV zUOcLcPhaIzA9sQH-g#yj{w}bz&ehf-Ojg%xAt`xr`EJzx2IYUzJjCNu}^iHq4*G}FT>+< zVXefsx&!6LP1Yn4KVQ-7s6&E>>>d(QMS(f&VHgscYgXxTa&wKPhzaAVB{?NsUqdin zH?kc~C8TPV&@{sK;#hv!|7)nSeEiN z1tMPO%jmaIaM%@4>bcLa1l1BdRo{B(3-t%=WNE^3Y6zH|9gK$_Afi7Th*OYmW9-99 zw)I=-&RfpgO5K&2OGgGS8G~Te4R3*Sj5(Br65%F9xebXOz#JfGwtVA^D!dkb{o$df zHA6V>@Yu!x@cXqNr+nwk*mksn~8u8MmnN`@GEq-MJ^(j8}}QsTOj(E z_1PS?CYn@`u;bXL(46o59w(-VpGidb#NX28s$DW8z7pub7sOy%GWA8s7M!6vpfhvm#C)zB!G`FM`$1`2-6CTuhXBSgVeB0){!5bMIY)4rsND)C-7=s+E_y!f1xrWGDG*@ zM*;={J?g2E%uV_54Y_=Z!gUK<#kM_FOTi6HK=W**(`x>_mTt7wG1R9)aTdIplDl-S zKef%QOxX=&?*~KvuptkWk7#l+3LP0OS)|_%|01{MVDz3!99eAE_;sZayxp#_=^~c_ z$D*IgX&Sht5`IdVriUXhPrec>$<}JTiX80TV?h_$ERMDxFcL0it>1%%DF#Zn0 z90Q|`j3ocg9miLsUr&S(g+`Z95sZs1bw=LE!tKVrhcC*$w?nC&+hNGi%5`=YxR0rN zjz+Ak@tw&QrN4v(sDu!;OH5B1+87$&qOA!(uTClhyLkB?{W7XoCh)lPk)uEE(-+2y z=#_hoMry~BGI0WMIs-o8O=R_=eM$li9E65wH{}gsg*rZQcjnucuy>CE)9w}DDmW=O zh|~c+sqnly6CRF8xe+d-;9>@ZNlRMDc!b0rBJ^Blg3i59m3aBj@OKN8>*v z_n>0i!TuZ?=}&7gq{DgU(1!l=%u0$j_e&F1h$c91y)fueq~}4rc4+fgq}(x&40o>e z{HA3Uu)O$;TT0)7C`gL$5gCIg{$F8{a)ZC8yHN1tz?KjK?2PO;@vI!r`Ui|j#EhfD z!bZYLi#ct^H~|co1~xz#Zea^yRgiE zO2#!e%hDi_M$Xt_g>sAn$v>Sg0T#k^I2_W#%Nt8jTj)1zXw;E5suPG(rr0Y-|)`wUDtcj)vNxQAZ!q_$iWo(-7-C^c|+MOme{ zRWC!z6cmbAX0M20O zL)Mt~7W-?c9is%bnTRQuwiNM^$dsSeBqfOzbG-oneewpo`1jq*;wAOqQ$u@F$}gE zJ>;zwgsCeFto%~5+Rd5Uy7|@9SIPkyR5rUqCndZwuX3#}KcyN^N4*Epu<*^C$Rp_F zt46=*=ioJVWZtP+X|sS;hL0?&qYS;b6)|M(i(Yt<^wAjrxqk0kDku_ z<W> z`p?Kl1`Gb|7A(%*2}9O0&t0S-#A8yd&s?Q`e3(gkAve3Dm^Ip+UZ$E8kq-k^h+efmZOO$K~{Lvl9FM z~obVBlbXHE;;<-wgr+5)uj$5&{|;1_l}$?%y_GP*6~CFmPxH z2xxd%XjphegpY=Z_#ZLje0UAQ!dHP~u$g-t^7w-5NUwe=Th2pWnYTaS2H-Y8+TN zxaSa8HFXV5DQ+5EJp2WaP&0E2OD$<0S~_~5;*?Z3cMnf1Z5duZex&A-(y;J|NH1$0 zSvh(7=s3uSm*5|PgaCsA1-1U@4>Bm&Umk-ie0U6D?;p>cS96KhJ-tmLgsy1daK%E( zDja~3phWh~u(k)%afWS2BoI?M@gq7|*yQY@I21-sUpTJw>v}1vW_NFbk_zhot;=F6 z|Kjw2=<|QW<@XgJIMCm2A_MUQ9S~&c-X!{%yu1V5B-fO0ZmxgKWp;;QOY%tVFtx0) zyqcysV;RYsuBLJI?Q4g+JLle5*bY8am&P;2S!YTOYfY3sYUMrCgzEJRd?cXpVeugYo&l#y%Ci1I?muk8kkF{@L;;2LH43fZ!zY z@#|mN_SrZ3cJnEjmElP!7I7c)=J1B5J7aY3;;J*_9Y}E?_#H?>VfRt+d7&zHF!yS?)xXK|0a?r6<)|H|ehg2|k*DhOMK#IAuS+gE8E z%vU1u*#DDH&hf@R>wBU$)pZQR<4eh(!=I)Dx1men8|`%Q^!1kiBeszHhHs_(xBYI8 zuCX6sX?1;-dLsB8mOr2Wr{kczYt8*um<9P3m*4w$PP(zU|2352ns46G9`XN|$+zPA zD$RxXUnXB;)<FP_1YN9HPumB+{%Oof(No~*r3m`d3bh!wiStn4Z-jaLp(_@3p%L;-~A0acuk zB-N$k;#T*Kfi-q+PwRq%u(>iZhs{9H{6OcPB-PL24J>Y4YIuo<3b?cEiPwQQitI$~ zv5$_|d`8z{o5kLmoQRKHog;{kOWrN~JNP~Pmkjp>(e>`WGliJCNxJER+Jx5>aW>xx z%xEjxd-u6BN;7SU12*74A0&$%l|+mU8iS=9r}A%=pVeQBA)f;oeTF~a;CuKk_kj5^ zSs*0&XL^0C0Lkqo;Q4?@$Tx@Bi8q1JG5%yn-BaPAPW$P*Sh~$OT~QqELHO6ZhBX!Y zu1kuC$6<6=N{x3FL(RNzD|51Z1Kn#;nQ33X|Do^ z9Ug(*dxZu4?i4xN1jZzCpaVf-tt$JL&1V`vcVc4JRYjHDZ?m*Px zq0Brv8M>F6??3^n4FKMN}qQi%xEAz(-8F-Apj{E)(Cm`&Svi8*T?yyC9};me7EWP z&5hM#uJQ9W?XM!{tEbFNE-_fSA%W zQHH%(V#ruOaEIbaKof?$bP*{-yZN``i)WA9T(y;CKCV1SXKjuPBGK{hj z%O#`2mXhcq^ih5E9D9?#5zl^EK5V>J3Ei*M{5-v!KT|kQn(i&d9WH+rVHQyqY4UxL z%V+L9>d9J#mmUtE_7Ga`1St;`$vyC8>n5Fkaxeq##%Q=3Ye@WfXG8UXJ5k&B8dh@# zQ^}h_F>(BI(lGZVTeNNJ_Ovb4xlN8yThiRh-Cm_3P8wJIc%(gD)JP*Hs}LxSLEFF8#CXqox>ko5xe6HwcBC+{{;bsf^b}@Z-{Vg8R)< z&iO!^S8k+f1${92rN#b0ouu9W*xA~axKD9?ikTbJ0I^?mXMRnIcJy4=bO5jq7hvEH z!5elv6nW>2>viU|9>k5REEAM#V2DaL$jH8WwUO;^`DUL#`4l{mXnSx@p>|F15U4hF zTZypqF~Bz`K!?#(TZPAGVku5yz7!@cAYp{M+%grg z?e7<{zP+Ja;)djj5>ueGmm<{q>`_4C^Tpf!*8LqQ&6mQL#jDt>k!v<{ftJ=2@ZE zGm^^SuA+Wv#j?#0%Ze!6eF))*!@^`yeDw)l3s7U?pO2Lu$3~SNNDnc@wPLf$LkD5CMSLMPxmO$9lXDN-dS90TRJT-`MBes&iLhc2UK66N zi;sR>FCQ~g~i<;DM!?>L#D_7o)cOZJRcc3xb5q?%5Rr73_ zmK*EJfv@F{jT`p|pFvJQ;T9sz9jxc-Fo^ncA;EwsJ@>uiSDU$&$Q6o-}eHm`szoWDhoXGc|O{w9?6lVkKYF6QdcFMM#Bk{ zzrYaaksNGc+|JF1;T|kXpVLRu)1nL~U>&9-rv?=OI`ojZT&MQ?+yUxsBnr@bNI`{o z4oNb8Y{#UiPjarxbUAx+hxGYH=B?wg`zfj4>$9}23uc|6&dAIPBd(cPQA2hGQS)raL@Ku8pWYLYEn4yam1+H_f$`u;VAWFYHD(eoI+0!rLLT{8cl8kv)>!; z1K8_g<6d6IP=RU-tcCa&4H-k(Wn|esF6Zf7-+B99`;Opz>B?|=<-Um(S>QR`%WyAV z@akNsTV7sc%cs`vF1AnwH*85}C#AmBRSmyw@nV}k_H_M{w3B(87=u>;HPTlAXbR5; zTtHyXN)h*PdvR3i`^foNS$LwOGku3RTE)0>vSE4W+ncU=eDi8>*(*%l zkEGb24+mRGof}{V1z>V{2Qu9sOeAI5WgOHp4O6!Lnyq=uE8A_l>`@}cluQLJeT-(c z$c3-1(3lgSlgY8NQM^AYH)_lHx$-bteyAM(%3zRbKGTy#ohs81tvO^*Re0z*+REeGZP$?8c%_srl}EP3 zyi*7Nghp_3R>3J|<4j>~$D^*`0d>Vye%?W0%8vGIvTDYP&%^-pg4u{#K9v+<>lRYToP@}YhQ8K|#Eg$pdwx3~5);cTflHi5; z6UM&-xzF zbiisfyaPdM9;JW%o^kN%sio3TJFRn`DP~)s|J*6WLEUBE9G9C}uCNLq@-rUr5(cCR zG2TfNM(YBdj;Zf4xVW|P)7E0i*g?gS8drbZPjk1$|H|iQeg~q1j3c=Y$v3VlPQ7K| zF?Xsv6PODroK#wR{UO1xbGdka#)X`CXF3*B^@Hv*Pj{=ljOqv zX^_!{w&4kJBuqj&f&~K}E8EBhvCZc8d8{iD$*fbL{bnNZu##aMHc#7}UB|SxCPE*) zW1z;GtdB`!6Q|c@>PaRMYFr`IzSGd`H8Z)UHX*2};IEZ)eFm~@0d8LR?JcMiAi zUTv_LGyh`>zCNk%{&A$rEmCELT9LgaUMvOMyc30e1aLfL)~1NpzNn#2tIfWN51Fo- zu79n@oZ4)^$?e#$Td(mCzsPb|wuL_OhYvb8*}Vf%TmgPI{v_CYqxiJ2-usf%x7+%k zI<~QAYB8GcMS`+njt?6jb?tlJde^2-Sza48?3XOeg|p^yhVHgcG_UCQYW}Cc?W7kth$pU+;m?ZdD2ber?w#&d#X?pEPk9F zsjX1hSlU?Q$2yEcL9f)xX_V^$cCmmK;b$_M$`T_={Ct|+qp;G=6MHA;E9?EjFT8c0 z>f%%8;WLGgM>&cwXu=XDcEx`l*xE!91i!uGzWe5YD0sb@NGL~b-f7BbeB+X$&;L>Z zo1y$=)|w4w-rB10TU$MGIhB4L@V#!P!tt{-J{_N#LDWMn;`h#Y`lD=<*2iDCVaJ}3 zs-=tOwI`;Ly}b-S{ok(TtI9sEUJh}NHvp#mB$jp`-O33%9zI5tehcARcAMSKyGb%g zI-YIx@pB2XTzZhM+Sh*vlF12k67i*y@okj8U?93y<}3PY*6Z<2{uPv0niLZCAgh6E zoMO2v?j0!A$7tHQl4Usdy9LRp#$;u2?>7zT(NTMEQZcgVO7vpd+A7L?n4yVDWaL3d zp0wSZOT~K%h$h7Aq6|E-a%c$0H7O6bz#RcULu_kBJaNMql)Fs(0dLSZaQxMepUP=| z-hvT@9B)kk31u&9AwH_Z24hYcc`Q`YfFx`z(msDWmv{Sy%>sR;)3B4#T=J}Z%I9QG zByqO=dR0`c4pUdNbV=-Q4&toT-OBhRA5WV7?NaY;MPq5l_G1Y``vuhcWC2Uju-jp; z>^i=0&`ULD9mNZVgSS`VR<`pA$eGc<(j*e`6*!Qq;hH`&MEj+q>80kTYJV|@zP-N~ zk;!sJtU?KnfrA^ZMU0v!} z+4n<z|8dU~T!OMu`!j&_vXXO(^pGh~HtQ7S7YGdb9LVI++K19%^>yeq2y9&xtAc<7ea=0V)uQNPAbZ80Ypz47rjnhpgypkc<~c zXYXeqdOt3QMgOCD3k(xWSjk72RBmh;&YP&St7*C~)3?noVJ zO_Zip0%=Fjn#@f2Q{(#aQg;IwgN*5+qxs}8_DPWR`)~W8Mg!YxLhHGB414g2f?|ZJ zkO28Ry@C4uC*O^hI`;wZK z(C&m08^0Ey)VS+KasmXp&|+&}^Ak+zg<~V!ucd^TQ}Xexn0k-n{dThXaLdB7ycH<) zc=M*kh>=lCcEd0r%j||6Yyi<>uBxV?%?mfulaIr?B$|(DY~$>!8qKpWg9mL@jE@-- zkz&*JEwuR^)m^iDMy>w)=}*_lUNfSsu~4kQgT(62%^iUY(z5n4yC@+H6E7!AJ~LVy1A|IOI+$dn@3tju)PLn>X=q z%AVdf3g0ZQE$!CTdiSE$XV!2((O)l0Hr&7=d~*~_V;xWvAVp@)Y);H9@D82}7qIX3 z3&)GU^QaY5H};Ee5!r|Eitb^vIeS(wCKeCTQUM^WiiE-C=jb5H-9^t5^WP-$i8puR zY}L%OddrQx>D?q(?fc3JPgi}fGPq$cboI5tdAgUB%^~$whvtAPRuvSy2z~Q&jt>p) zhZZO2LCU#c7GeVEV@Xc~@yNT`VA7f}vv0md))#3x6B^Pc#1^42W0M;3DpL4GmdeKw zZ9gp@Ok|aq>DbLw`bvvDUF^puwnrC*s3ik_Izh7zc9;VuBtir&0YborV-8>T=8kVS zMkn#DILUsvv8mtSyBH=JZB=&W4Ij?l=5ak#J92FGH)CyH*;Rp*mmfSIlneu{KZs> z;m5f$e9d(4(z#CthKyS~w%d|%h?baeFN8ZO8IJ!h9w&$Y)}4q)=`w+Ny?ZgVTpvDO zUq6sr2P2sD9KbbX=FUoXA<3O%;@bWBCh*$kGp4MxHjBu#?X4W|QEPO6Lw3#+i^@Ek zX0(>bwM>|SCcFqtT;(vkT(D*QigzUb_*tcCBu!E=_D+&WAs>(1)gZbb^bV)}V?@e) zi{-RS*CAW0F9Iu=j0rSyELEy_XII?Ly8)y}aR znkg}>bNeWRXhunRrHYQCZ=r2+eA=h zxRCnqd@f^ABU8h;qt3Dy&5aZLK?FwJ_9?@D$PW^coOV)O-@c;H&5n1``SLmuP%eEo z{C?aTQb}I--F@a%vy*)x#e`dq&AbsKoYih^w8uO_Q=D0x0?o+6@gbT+yWz~m+NiI3 zgb6tW7}+6u6zq@~I;^>Gz%WFi1%x3~@AR2}#S1{96cs&6Mee^!Y5)wBPQGJ>1BUO} zbT~O-OKZs|auXX@AMWh=;0zBt`a~aCGF*7vDq8g%Id9{P`<$XCO*(P2XA1fJFsyo%dMkNoTt|d;7odx(qM?iQw2D8SVgDt+^XAjV*ZTh1 z^KXrds$-Z+o3yI!RjVffoHlSQ=;|G6NmgB^%oJzE=936k0tN(WF+?o=B(yqkBJ}vN z8)~s=w7mhQRqV~rqIpqQlfOcHSeVeLy^KZ*Pj08#7|J6^wjMKX{vY<P zi*7|k6cmIgMMRo(kP;i9B1Ta`K!^%R6Oc|QSt=+Z0s=zl5$PgCkltdUhaz363MqmV zNoWgJ7T;p;Q}#LgeBV9axxe3i?jJsn5dvYAF~@x8JH~j&Tqj$+VBhYlEKi&HXT=ED z^e?ApJf6CEmYQz=x_@xbd(8;LEE5m$`^R%oQ^}<_7A}6YqVDZ!SG|^qlZjRg8*&ys zrY&1K9Y)opu9JmS_dtf%nhUPOgX-UJP+rvauhc_hIZLsod0JA+3V zpD@#O^k9aI3v=pL{lHvij;H(4R$E)Qhw!cN&d>P6vxPciEXC#?N?7fQ&zhirl$=V zX|mG2d1-$3?^u?q(jVfK58SC^Qj~?4W!K)7{ip+!`3YezY~0j` zce~*0nsbf-QWbR8;>ERa{r>ZY`_kaunT|Zz5&5_-a71`nh0+R?ifkq`Hc<3RLGr@b zyWP-R?{B@UC>40nN0bt`og+BHpbSK8G-0nBNi&D~R$RY4{_h<8w*3>sqyE1i##)ZE zYglX=9^9v(&j_UGsy$kvl#1*j|F8T0{zymIn$dhjNi7fmr!4hY)51^PueQ#U>1i5bOeKyULTiF@+YC?Q<520BRZz5sMzOE9}?e0tlYvbDiD&8 z_jV!}yYInXo7V#H!Xj)NMhd=yx6&iBKIy)KuR9jJ^JHR_CcJ9(?SDNj?j+i;h&+Bo zO6Kv%j-^2NFS4+ua6#zthmwXhrWc<*qF4V|H|R_1x`sY65fIno)s|^x;o9{!GJJ<- zV~CL3DV>_#5N+T(Hhdv3RT}2&m5ke68uO!}aKZ0P|J9<;cN{0IL_Rie z2X9^Rkl|vTWQDS=*e7*kkjxpB28)NDde}3szsw0ErOO3e3F>6|;N6a#))DLq z=ji(K*lXucPQOo4$ZdMp;5q)RCV`Tv2;X6_3n#a6a!B&`<%4F*c6(oIoDTSf2O2Gf z=o_!QLD>hB>&suPqCPvj<5-^6$rzRx97A74J+dc3Je8-AG~fVwDZ>=QsW|nuO!KM+YSaucVTFnPj3szClZze~ebo5+2 zW>kQK@*=V^pY>s>k-5VNNvD7g=J1%WB!_5)qzP<4Q^3=haYdk3ei=0-&j1P|pi7i* zmjR|E!0ImL)UTbr0Y2aU24Fn}I<1i#!_BSh$00*)gI>x4J|bz6Hblxp&v97^`$k%@ zh=7tww~+VyS5fK6RqvpD+fYP_hnq38$fr^-uA-8VfS+nKvMAJnnXE*EnmVnksOICV zs56KWfR9}EfD-2!Fp7NW1afy8nutqfP^Q&h0H3`uEUy4WVe~8zj+TT@tfHQ8?}Tkv zkQJp)6Mmo%yLls{Yyb?pAVx2o1Za~hYO5$IF3v&h5|TnaCY%AaFCgcC?9KT#0;0{p zX0fZNvf&WqMn3(6b+^KIHGdlSr*VIJ?w?_S@*6Cu{YzK?)i{5hVvg_w?C3J1hZfuw*|1k0qf01WQmN{D*1&r^Ej9JdXzc08Tl70jDfrCEO19 z7vS`dIEq=r(V`gyM_YORgrl(GD(Vm5l=T;ILV#*oBNf5_KfzNICj`vO7{PxACxj5JqW*xWm;QpN2)Zur`?!kwGp7Eu^xv1RpO*dy zZt&Bm|A{619X&EAbB6);GhvF9A!e!)p&!nF;3_eA%qZXQGZBbiXn>{2%eygPGa4$& zg2yT(Ik97ZqgE{PZ(Icd12iK5p=N9Jg9OoeU^8Uqx(*?H&Gm154O}CFYseVE)3?d+q6cn5Cqc)Nwf&3eEpO3Y)7)vs?dYI)s%XcE*7ASWbfn zc18AYWRq_B8*xL11kuk8$j0;=IW8jeER`>Rlx^5x=PjC<$4Ix5EwwpNWA$eQ&s zq;AZkL+ca;m84!ONznod79u{89OgQ@zOx!1r5uX;Aa29g8xr)8vnAjk3wCwhs_3-BkYF2=JmyYTv zffWypeZcsQRTTfjXqE}=CS|5Eg<t-w- zSMK&YJbC3)Zh^_o{JQ^*Z^?~hu!YQVqJVX{6-T_-Dr#rqlf?)t3UhNG@IeXa+N0%6 zfmLT$QSZm#>2uL=0OEItMi`RNJJ1h4KLG-Nz58X4Q9q&I?tVJ@AMXCVz42z7_JBYlhhCealZ;a7zUEFpmIV z-5S>G=*Tz@nrkV&$6h!_4uCTZr7uSq2U!g05wc`ln;bPT!_xO1&tCAzubtFC7kq0W zpHy^1E5XfXbK8Yc^0_Cfk@w(3A=lK)_v&4_ZW`;)_fBrhW9^~gxh_>%x&MtrLc>A~ z99%L2jgo8fXb7(e)~)wzC>UV}Ia0pHQ^uc&sNs+~=d+6Xiu}_1AhKlZyh9QyE^I#d zI#i8t7Ek|5cspfy977{>G+hJ2S5bRck7;czVQB++~#>)TZE`RnrMb)cq|7osWn#j zhjB9I95b7mZtH4P|2vmkjRzH}7f*d{Bz)YZ5tG$HYmdH<;rqCq#Y6=5x2E=`@$=pa z5ue&q>;5d_P_3urP|MxOZ-VU%r>PHkN=t$vIa(`2+%1+hG~Zn+800lcdsS+%&G~8b zI`lS~FTZvdWIAY`+x<}PH0u5v(IZ#@K2M=J2r0E%Mz&F{PofPu%&X8e{L3h6Sj3g2H#hbu{)T-pC``{ zNLJBo2Tf{@2O1QWm2^i*f9CI3dvL=vO5onQSe&Wx(OAhxnZDPG%EXdJ)f+bIzcki$ znqkrw_G(HV4=t8VkKMeqCk@SaAnDzM+MPaSWoJfJ_T1qUJ+%FHSF5EfL`BLZ%9MkE ztaXnIRQ~EX{X0n!cCjBeIa2tv>c;&;wq;=Z2Ddjy~FCg8!n(Ru7Ugu`rbfXfDoOd>e#exF|g(6?F9dhs*A%DJCC*- zPFxWRmAuI_)TM%FZJty&3=fQMy45Xm^6q`iLrmtyO;AJYx4rkjYW^EA3$9y5sh1FP`3ZQCczQnAgC!mXMa(kB(2A zBJu8Qt`-WBa2a9S?a1pkG|BdkqbSai8)u~RDRi>VfaO*fMI2~rT%g1;owxUl;UuZRBSkt2)9vZ_oFw z6p~R_;9~_M2$JN)CL&o`<*%&iM1}>fX<3^d*7d31BG0+DZ-;URpF>MX)74ku6EEKv zH$L%_Tfp)$c-ko~+M*+{>j3OFa*Y)YHc)*ju@ucBDxgk??ui{At1;kczdGJ6#j)woe$^iu7_9?(`+oOCj2(2r!!F(u&dg1QOVWMbIm@Kpa#F zSZNhh5sg4syn)QQ%EMG#kZ^q^=z)2nbB*C%CBapUVPe*Qmv&%4@1ZWYXrXhOt^s` zV6j=YEJ-AZPBIyZ$=Lz5_IMcNI!aq8j$Ut*`Kq=qVfxEq!G;}q$1fTQKHalWXs>m8 zWfcXswo^AjBo>;H72|E zn%?BFbZgwF#K)H2$K*+-C{UQ%{*~zQP<{V7Sm)MCZrQhGmloeaL=dr z<~vQN+1$K;MM$xN(A2c&9?#=-g>x?8(kf~^p28H)Qy${7sA4hF^6_I-Gb4uur8Cu!rLJL_AJ6@!vy8Z;#?)NyBajzf%Er(9u2y7b!y#KLEQf8ut39F+&Yy3 zTfG#(`x6Bt?=_E&t9sUE+AlBlCsRyK8%pXwyB^_~ z!|u~xRnTprI4PtV2W)Taw_Rh)aVp7@h$_I?#Q2D*>1R9k8NT87UF!DnoGsVh=Qi=; z-&~mVmosNuiW`z4CWGYeO_Nt@1%;W~k}M%Mx^M9w3ZVU07ESaBSSez5%uo^*?9!B20qw&!dIoP_#r9N zK#TCwOyAYD{kxi$^wp;uE?mJYmR(SovhJLf@I`n%lSJ<&f)ccdwpOtw*oM8Eln70v z9IIrV+R-3VnfX0)Yfeg=->qBRha9h`?tVG^LOA!F7;lB8Y~C}%RiN$4grzgo%4(pi zG305KI3#Y@8eq!HPY5o?ruI2IWra_U6E{hRUTwK%-p#u~?Q<44bXek7e(r4f%TPHq z*-25tC;%G%q0hgSp7_9Wyj1XU9-~$jS)2^#xH{Wb6qj7d-SlR^cva3U@41jLlwapo z1`cfEwrZy&BAPWc5yuV@ImgZy~d$ZLLhWcf|j z-t9dmW-L@%+b*%%!17E!g!6-St0-xLfx}T- zTtb&!T1!Gh?3`w4Fef;1#xkcE$p|Qf7 z=8^)ayFif)VWq1GM*TvL#VBH=$+gD)^)cClgALBRL-~ZV8d~?DAFV(1YBSe+HG{fB zE7}a$VxeyYwXnG8iwrDHG6^H;gi$k_2c)&AWJBA-xauTAX@;NE*5z2E3ZqEML0Yp; z6K!2XaiD{E6i9^Ky%wS4-~%V{BC>QLHsEPv@@#ns;7v2-rGqV zYnyyOZdxjHg>PJKbkoye8`Q?(S77(FrWDH#%6?Dseo&wVoroN#O>e+qh9->BolC6{ zFuPEyS6uek>PIQrb^P>kQJw7#QDGgAW&(CK@vY^Hu7Q-f;FzjtIu5L-sDo*2z?9X& z#>NA335(dSu49F2SVuvegNc!hsOhi4S9_3$7>lpB54`JMO$-@Dr;yljBFN$bjmk5z zNf=qJXa>(d+JpfE5L++xGM$l#mVv>%cxy5 ztXlBK3}H7uhZIqoB3hQJ?q8?1`a&jvrx=pp2s2%<_l+8DF`gpl|Kh1B4wP&ezHWC# zWo7HEn6B$ag{Y2?`i;4Kx<36B;f*#TA~~D7Mxd&6^6Nd5zYfoVBP}}D*#N|_jr5e3Z=O*dAz)!s z(1m??h6?+!(@hMWvcU*y#euPagJJHwY$s;hrjAYP`s^6lY;AvczxTAh8d4Q)69ya3 zbYqxENT*bl403~E3~UPpthdD%gN@0rx7-y2Nzv-2+=$ zDq2Q`joO;*Q~1dhTi8vg5ov)${-I+_YYd=l_9PHnu}~Rczo~%nbt12)CbF%RY9+qE z$a{ODn3LhR=F>MWpXTy9rL!F|x=$}u{Q_viWzmVySOgVbCt=GBduUx78rcF zqDMx#^;W;v{aynp^82!~s0$n4^S*sJ;WHclIl?fqlDFOV`}Pa1|5XIdl7!vmS5f9j zODGl|5Yb;n)uu4DM?N74^4@dgN6X0EdmbV3Dk`iB_)&%^E25G53+Xyiv;IAlC#;3? z6*bntyMdJoM#cmw{yO8B%SvxWW|z9aEnp=V9q9=|1_q+-D|v%&Fo&8T z2AYU4DfoQ2bqVoW_Y}iN`BSH!Or&&PqoO)@9dEYKBd()&UW;~en+6y%NnjJEh1@hF zi~p|2u8B#-H?S?=^GKP4A`R3Is=ZA>KG^Fwpvf(s(cP)JN4$#>!=P1-rOtKK?7LgH z_u{_j+LH+3!RSzqQY4gDQLVB?}DYYQu_I1_iUU6P|b%A zX9;;!R3;}zMxETd|BZ{Wdv}zV>46WQ-zbRhi^|>iJ$6KRcxJnlU3G$n)x3k!=4iMN zh2>ek<5E43tgAISzf?ntj=X~os#K={Z!7VTUn}YkS?{bAQApc(U4ujz013o`A1j!B z%hk@MSBRS~evOQ>JQPB`+7P_?WN0`I^>ug67q-XIYn@c$p70}TqN6-soW=LteL5E7 z+v9VU9I?wvEhluN41nx!TX~DB^lt5|Ym`XQKWu%NwDETCWyKwP*dn!l2eKB71zR`H zZpc$-VMTD+4tE}<@CEUHYuduQ?bEwakq|f4X-|KALH!A_ySQECM?hj{`FcXC-;Ze# zP4GjGV~P#@lT&8&`16PCt%AiLJr#fY z3j}KPS5@4$hz{0Ga0|a`os_k1>wb<{=%csmQCel?HKYQL+5}%tBKlNAp;?H=7HO!> zPFMS(J)oD!zxzl3=RV{#WV;|Q zGtF2juz)rrPsPv-iA*d_fMFOD9t`T!zhk5cez3{O9JTnOYRlnX%qzS8m?GXtp7i0g zte4?eBHrsr`RqyY@SQ(?Y+@Km&LoBP0?c2Xt=S_);D{F6ola^KR=dX9PR#$*G zs^<0xFt_ZK^OzGb^mGz4J1(re*h$c_F$eoZ3JRe=ZAt; z3_~kRwg5!aPNC3-w%MzlU#@;)vh49<;`28B-V#w&`r$xigGXg9@y!L(H}%!>@N2O! zA_^wr;&JRS9aB~xErP*CuO`y5q@tM?T&uhio~rM3m|LMpH~!Mu(v%a1)N75VJgCF! z3T9k$h<8jUmh7g>YY?u(x^`%G3@K885`~Vlyv;5}f(L(kE^OqGAQC9s7Mk-3jNKo5 zsWqEx?#9*6=c3(Q?h(*Mc|mvW`dObPB6QhIx-A_Ctt7I!%%NO%ex41|Hrh$B!h7p? zILmqFDNlIGI=OtepWJylcV2E6_dOMxU&Q$i_;)mJ;?050KNGGH+>urAm;snei)bIH zMziHx`-vn#PHO2=zQ%*StEF6CXcAs9mm z&<7}&r4J!H3j7vs@-U=rp?o$O`8hM9$Yt|5AO~zQj<4D9BQ0Z8ecU89;b&XHK$zb(Q3~zhi`)Cmjf_o z-Xtt|6qj`GW+tcI6Ap6CpRT>ANBdH1mwAnJ1h$4x5p1p@W-xWY#0m;LD;A=F4Y+oa zD&CfTi7nZp(BHUhnA{iJIc=zKka8y_swT_R#Yt?F^QmWJrJ{v9_o;Q?zORO#Nk#%j zL?7$FilSk_c428lmRzBVwgEe3piRfHlkCLoqSX2lNJ?jpbU90$+UXmqv}ai7*y~@_ zZbb2+8V2ucq-qt@$cJHD*qWd}ZfOW1D&3?HX@>o6U{uk z6~FVB_D0L5FZ*g;A8gRruh+3@JE2Kq3$N?q#(S+2h(FDc$Qb4Z40CT4$({xrvA~xe z?*`;?7ObM9HeC~{1~yuHcgi zNyZ$kLZ~=N7Ip#O13IuJzOvC*Jb|W$vv?pzG%UNCe2%aF+wPMAd7oyD9^Bh^-MLhk zwm^xZB*qROgHqTBOF?|j=kK_95<8(E*)pJ%mMe6I?Cf9s+<}m7_3{yK?N;Z4hcOAE zdpu1J_gs`aU^`@h^BbQ5+p9INu*i{GNHtkQlCJ5)WJf?_*aRo7x?Mc-sq;hpoX!u% zT`hs0=0Q<9r!LPLqjuUqtt-!;qgIatY(zju6eQ8x*P`ENBP|)3>=A}IQ6vDWt$J!? zT1-+nQbp`Vx9>9CCp#UdC?xghISc8l!b-x9um$Y5#*x}g;fr`hCL1#+5Szu4XHe*E zDZpTI?>&hDoUVIjy;`gt!%G4s<5RyrMyC1t@qH3p$u-B2p?<8Ri~LV6W*^(w z4Gh`V#dy!HTQ%~pcjcL5J@|&2b}D|@YjytovHYIqFJ3YkEf_Urm*xR%)7F_*@_yJ3 z+yJ{to3Q1VB20U#0&@vwxQSN!jFKttjJfF4b?89((~et=^n2&^iSL~hM_)*f*5}OO z=ww=Oq85pX1s7TipzIzfHyy8tZWT6Y>p#)tp7$Aa2yiq!GnrP?eeU>vd&c#P;-XQk zFoT$!p!ChLoTd#?wul2A$i}l=z$S}Jr=X4+Xu1$W{A25gp5sK5Lf94nI0OYIU=Ta&Y&DVq8LsOEQ!Ss(}e4b|{IR0$(bCi0smsd3g=TW#>0E zw1mS#AF)4!k-+}oDOl$Lll$^_?$UYv_>8diOSRf#N{ag~4xw%%YZIxeiAHIoP$NL9 z9Yz8;QuPirXO1-2L8a`2$xhFkhizHIX_=1OM*Z?+_zo45I({)V6nxIf-~1zYpIUY1 z@@p~%)MV?ksfczesTEo&fU?*?j52T(zGgqH3l*?*$eB$%ar4pkuG}q)!Dm9OT7(=)2=!+_#jzt`lb)(BFf7yFj7ew4DJSpj_p8kDARjSp zx$WGqzI)(dvj4^}AGe>YiV*Bb%LJTmMy+6kJQ5Bj(OS0x)$%BI6W+ z1h%7C_mr7Q0Q!1#X6cs8@(r%AzExEHFlOl*Fw}&!__e>r(1oB{Bq+hk15HynMa-8e z&U7??XANXkn<^P#ejE55)vBdPnN4+I`mIiV*Pj@3OS!j zEWU5|dH3CweTLE{E{Xs;+({daMM?#0sVq?$tp=te?iF5%eH*@oR2MMKgsW`b2b>ZT zH!0@1s;_Z`D_*w3mp*Erne>@>)g~$Y{L3eAQ&5`G^D-Xy_ITR%sMKU;hI&a-OamK%yHl|@5tR(k4CnPD()mbMD2^>;+rI^5YAc- z6Rgb%4tO4f@O_C;G0f!Vv5>#9n^W9#H*4nsuO~7EvzFXOs%h&hZ|QCC*s$(Htk%U! zq28HGFQ%5pItT`rLhEWJ8qgQ-1yxM1D@#<>YTBqomM2(T9T$|#$#m%N&0ug&;>ygE z{aOSoYZuk9d{Pgz)yGbYh3`)_Rv)_}l;Czta)YV5Lsqy^kmJ6f=STHUKBMGSj}SN; z@_W28&y{a1xcBJPo%$oMMR~NF6cx3t&qxlUcq7_!HS#?C(5m)k<_=~HA@V&_qW3jY zzNS@p(8ohPZErpa6%EwgwxN9V6?ea^&OR`8^zJ!{hV{V1YX>(%R#K-H!--dtC#SRZ z72ZYNRA;DFE%r%^3uOCV^tFu0 ztceg2iQy7a&Jy&<(7aW%T=8sse7e1_Y*lQ6Zjhi;dUUJXLP?`oL~oh9cs{S)I`5mU z$IHd%HKb_IS{py#rY7KR>NNHWKZw3{H?_U&wpR4Mz<(#I`gi39O!>A5u)bXu_5%Z( z7gK!L5ebxcz8j9q<$+gj5cad+a@mab-set61A)q^*M5CqlfAX(gXG>HtmFL4YWs=* zZD9jN6ksZ@qUK8|%w4OfsR9}RotbtY;zS^TE@`of+J^Y&x&5<9;JP%>fGklFE9FR? zZDA8^_LrHBsOkQ3YU8XZf0@~7Bc^5!#wH0~sZPT5hp8K5`%2so`OqI;krH>#y7?;o z{&t_uDwV?uJmRn^!Gd6ZHCe4uME@g{c(b)NugGtYOiyBjh~c;V1O~dXYe;~ghXad^MDa}0M_By((^2(GC=asHmO!z*T@eBY0yrr@D0B_A1@jgDy z)65%}Uat>4pb;;0de_{!`)dPg_A>kBqf}nhW!+sU?z^ZPS3d3j^ir2WQnE`iV713V zV+_ZUj+$$%6e9i=7DXx}&sC%iU`!9k0D9D7i-ByK7c;4iu&N*LRt)Mct>)Z4F)<4 z0Ol47YaaMo&cLqJjeTh^_xV8imO)_$jex$CAA@X3&gkq9+rg?EBXdS0;CmOS8n@(( zkA`P`mXxL~kIXDfxja^g1oo#VznH6@Aa z;tJ(bft{~Uh3#;=b;|*T+AYq!d(XwUeihYVd1e)r({K{$6?jm}x!mOAHZ9{bHas)i z7X?hXBE3P=2b@+>R}_BG2Tj7Yr&m$Jcu6=*m|-fmI%^V2_V@_)roBD$)Y z=tDQXOFC7iG%uHjZ6mwbdTyKEu_NPgeao?5?viUZtk(z%*?a04)NO4m_=QJH+%mR`5Gy%>4rg3?YW-j3KmLyy6beB& zKXnz=+mC#`w2CTeKyH6lvb^1U0R!Jj_J)+yI7b5OzptXkT+omlW}4Q7VfKx%!U#8! zs7DTwe@hnoBa(nX%3=i;lv=#$svY(>h4CdO89d=Xwswyv;nei@4Gn9VllF0$to=>@ z$sJrR9)U84k<4?6NWm)V;?Y~@0wjkq27L284enBnil?hu8BTmn%MvqjRds&z+=oAO znTlVfrP$v}(Y-(1-#`3Ilw-*B!N>y-_gu_~H9kG2=XFJV>*e4kT)fDd^l)4qqR8bq z0cp#!Ip2I2!d~M2ED~Julz89!t6zpp zz`m^TU^-d}WUZnsi(L+Zs(r>)Y`M}~hLc_e-}F4Ydt$rKPD$hroQ=|ZX<@NfoxSf) zM}>(g_`UgPY!*F0>|9@-rvX{h&V*pgN-7Z_>>nBDm%p)Z@u|gokxfs8&-aBeXG1nf zN*EvRFgE?|#MehP4Fy=Q2=@3swzOr(oS|ehX_Txw^1(5aDxCLPz?wPRXhQ=u?p`993SgP;FtmNj#mg#kL^iu4NOuW`aZ>NPBIMZ67yL)IT zQI`IYWOE>@dDOtv^kzk;s8?9ZL4`(vpq%=v@uMDIxr?|7R}eYOoBKdJaRt3ZwAZ;* z)Hj!>Wbu)rTpZ?jsyFWdrDHyH)5mEi=PBn41{;51`IDN&-NZKO8=YLgS-Ih_NAQt^ zKmZxjkaHNiMCX8&daD)k zygEcjTGFccV1EiC6{)R+uT1rEurPAKNM8(ROo7w4oJ4R#`u!}oAS!#@BruBPBMu|G z(<2E;g;i8zH5#6cgTHgmV>Ey|`Hct>kYB*e${~6XKhISZGP#gcAI%a;WcaiIo^TdA zi84z3tL1>^Y9ytRgUl&_C9jV}waD|{kiUs&!_6AJ!!W6w2*`l)(=@r<_jPyHL$NeMa#g2p(4Hi%9$Y*}fp zZ)pQna80~?a(TpfdE{HJl#4bGu8Y_;uPvulrY6`IGX7AsRmvYJ5uLmUBK#&6(?nXr zIbfEWZUHoj;VB@Jz1YA2kbQ9+5VU4BfJ#U%c?tpEy)wW7xp`Q>eFPoPIgjjblfAYo zP|>t@NWK^iSr@i*MuLYv5`~A0MVEqHQm5$R0C`0436f{nFUGRGs}Vq zd_x8BIiy`~s{RyjAzLIhk-<@q^M9cj4jhekGT?|3o!IkyWkP zi9WM(<2!nuFVniAGhl440CNHIR!j<%d_o}D`=;c8_zm`Ep1rvT?=jKhc;dx31rMg3 z!xQN}qNKHj$fA)cM&w$c`pG(ex`$+-Q9z zdG?2qC7&(5y-nL}@j~~!P(;hOSCgx#xBdE&VuqJmjIXdVDPRmkTkV1$)h=ID5!XN) zziq}Co!_2jyHXi%eScQ_N=$_OTaMjO$gl$`j+=pNMN1D(U=sNVcA$AvpXvxZ-1inq zEs^#*!YHtf5YSas>Y2|um#kne@itG|Xj7$!zq8O`PpKFs4BZtD zf!bG5TY%;^#9^COQ3o)P!8~SG;2ZWw);AJD69NH50?`@z9VUMhLB9Y7BXTBuN6>w* z^-AdG1f97=EJd}d7;K=ox|pztF&3#cM?%bY$wmy(8}fh6Dej88#qj`bL>LYf11WUP#~!3 zlm}zYbe(d(J)I!jD(%st>qN2a4e{B-L+!sj^Yy^mWLp@@wiuo847GP&l93JFR}PaK z1}m$jFRvW(hQ4!qj?4BJNcFJ1f~aH*q3>@BS;0@8tEOo3VuVZZjR|xNvJdC&{Q(AM zy>nX!T*RxU+O{5=GK|Q&AtruNHnCy-sgVl__Cg!|^%uw{Pw-n$K<#XF${hN@2%f~& zG>6inTb6|v^73`FkOC^dwS84NGZ5I85E1Vg+G_vYJW+d3nE}0MXVu{XnZm|fk^8sy z!y>1*mz5%6&tqa!&BgoQP1wW1>39+qO+*?bzV$d4%_x6Y>+K(YlZ8(Q!-b?}x36IP zWS1sl`wur|eOuh2e=DzXput|E^X#$FCF`<0E;#A)0Yjcg^V{{+?>^?A26Pi3;ZSlkpO_C~nqvhe=rALSJ$d0kxv@;ahkrr94 z_LqYe>D}y0aeYQli{BS3&zJE}l)N)}4YZvg#Bq3NI+#%kXoAQL3J}W%Lww6t$b&uP zKQ3qW=;XlrR#EP_*?x}j1R;XM%xdphMV(r%KMeioq(d`oM7J%O4VFU9$%04k#4C^<~54~58xUel3DXi`PhEDKR zOQ;HDOr11`-uAfo_kleiF%@5Vw%6GutFvfCR3DR+Kc_y{m2BL<)vNqXmvu=^l+qoe zt5Y}2m!m)2-W@>sZ*-qqnj<}Oh7b~t-PZO-k`+nrz#SkDU18`7FJpR$BfCGpaufVt~e3ushyYDE>X0zg-b15pgcbE%!~Ma*}wE>TwL1yx1+D^67bZHG*-=} z*QuBfv+J7mv# zFDiQS2JQ?Jq#~@tfN&G&VN*$qzf>X-Wr;rfDkWZi?gK1Ad_V*{R#9hx(G(1W)Wjo( zXIj*-vB|(;gtPg7WjI~xH_Dt{SDTf{OFC+}*2y3)T};y;qB@|fnxLw!F`k7i{oW2p%v zvEtn_a@t#re5xHClh2mn)tkZA!mu@dJOrASYJs#+99?cio*b`4?BT zz%2>kp4*$?wdB7IZSt}?vIzh=_1_=dU>ZcSQUjn_uZ%Jy0Ex_sQ`noSS6EtLV@Kq$H46iSAVo%kq6anye*xKPod2mNh01PH z3de~I)?rp|$Ihj zqW{Z3EY@ogG(WP!J{zEpEPUw2Oh}$&(yC6uOZsn zjXlW=I*epJpD%SXQS=>W@;!ceoy&u9Ot38Tz_ug{oMc6iQztBMzUi48a<sV8ZN z8plSTY)jiIcP}Qo=#-V1pCWA3m9k-P$QFaUJC5(WY;$VF?77Bg;qm+!=FH*YR(gQw zOsnO!h}IPQ&gVTv?Q)+cepplw?tI@_vs?rmd-ip?95H@lvQ+KOnPj_ur3=N=(;h@EDB&#n@y4WciU z_DoM|(Nl-}@r3%3%YFHI^FsDw1qGF*YF>*ba)rFRjNWd`p3${ldM90D5?xpvN8jAO z{g|!Tp1{2uq)z>4Rv73xMD08_Xkb-&XyQlXPRX63>omje@o$;l=*;6a&UwHI&#|)2 z87DqL}W}@yEH;u~?}cF&>@=VxD~rtG{Ver*-K_oWip+8+lLh7HkxL z#}(%sG^QSM>XYVujUA!QpZ}C0`-2DniLI{j$v-7|{^Y=asbT&nBpwUSFG6X!I0}2~ z^S|h-XMWRF2YgDxS^<9%Up@oB!xu=%3?AS?HXY2iS9&|kIXS%OzHES$?*4Lx#*&N( zP0+omRK%#LZ`wJvg56fh6I(@gvb})^B-%2xtLr|6#WwGKaxtC%je&UQ>|a5iLPp@Sk ziEIw{Dc%yYDbkKxl3mGSnssYsq<@|;OFv3Z_B7z|>37M`$=-ZFDvULJee~7B(Pt*H z7fKQ}ujW)dl z@nrH`P`u#8R`XU#qws$G4Xy-zrGazVwHKc_?}`dL^Ltzp!Tt~8k_$T>60DCVr-aC* zIr6{BHX9tdYR{u6+r3cYve8?qZj08ix+P<`*ZRlS$7%)4V(_KO6fHoSA}0s+*fIfS zAQ*Tjay76`#DP*?Sgoq+YdAF6tNLUyXM=hCbiOR@@o{J= zbIJSd9{FoY@Kd$&keY5=iYbeki*q zb@kjPePZ3fx?Rr}*Z=PH|DUN$aqiie2h~+ZaomG7&!P`4#hlPgku1=%xBRrlScT~# z*}zyuN#NU?#KI`WxllR)+!+sEG@A559+ z8&({6wuSocksnS!P*;1qSk#4QZoc$A?@wHc-E!}1NXwq#g#FaoO@(}K{T22R2?na? z#mNn?^~|DyCO+H7@df^A?mT9X{Px)x_8{ zJ+0f5xA^S#+#!*+&f3pE${&B7+m$}!x^;hi#Ebg<675rHdk^0{J;MuoH!gYZ2vWED zaA-mz;e;DW`27XJz;8R^4sPgGpWd_VOl=L_=PDv1)F68MlX~qhoBOiSJQIpxt^qp9 zb>kzE{Uwof`R1WbZSB->_!LB`WFZuXh3r^Tj$~1kg%-<;%ZkFK@`le8mD9|7M2h&T zyrp;LXDC(;ci(J%*<%ygv@&(d?bNc(p|L0K$Mh~nD#YvoXPx^_)y^X$&kPmVnjis#+&%ZW|y zN5W2q`)j!kjJr}r4(#m{Fq=8pe%!XI+b4|rQ0>H-`OTOSB4#cc1N9}qJ7}5{I{=Ct zFn$mK$s#-QmxItVRMPSp671LzBwdrrwZdY;Ydv5IvtNIm$vzH4Ncf(P?D4>;@;OoY z^KXCJ>i@cLyu2tjVEKsOHfnfO@|nK0QlYZVu30bfjzACXS=trF_xW|WNDMn3SRTN! z9|H@YSQuD*QDYizK-OBmd_>%QYZaBb!)FM9oREyqg9grEyd<=Y3fo>`nU#+hXiGR4l4WOB|K^pQSy*^vIzCgN>|=g@ zzN~fl9+j(B+gN6&C;2@u80cMHcTFsT$kfe3+HvEn!e|tEJYTDhWhcFpq5!BDhs?av zdXv?fXP;mh&pCxc!?YqlWuCcqNRZ=+~-1Z{b812gAQ>5ovA! z8`_mD;nT1k!QwM`sWHWp0=-89Dj=|mWaX{ET6_u^;Lkd($9!~`i`CspCn(gt7G>^)!jB+ z!sjz|W-cWd(&-LFscesy0P{%`wP+fg|`Y1RA5Qv!BK3fHAfSw}P@)+Hw+OsKk^*@6dlzqlgMG?_B6cIz#RQl5 zhd%>091{R`_mY?}f=(q|TK_n;(NCO&3ZIRa5h*)s5IpbWyTA1tT->9a4fJ{qr1zwG z{PrM1mTC*ECQDd1fm?HovH~fXBdKFBC9=qYaU1jDV(2QlsH(WQWL;4cdRZnqjQ1+5 zMOoVGeHH>|k;J{5KiWU0enVOqH1h{4#x2Euek9M$DZ%M5rwZFg|7Q)4PW{86n3m-PBe-R}IoOZU@@8WL6k(nA zsIFN>)b&fNP}^PvJ|JOl`zCCY>h04LX{ZU>#zPa3}F@uIgEl9BdR7*x%3`0XC%OKNuT=WU{fqT2F>8->E z9%KD8<9wLKrCq{gE=SZ(+f(g0Hxb_Z1vVAui^p$1m>RrMUoiQF3BcBqY`h7@+1vv& zlh1Im*TU|@!2REWSRhOPNGz$2)0up_0xkBLaJ${6dgSXaRR6BJj&noC(b1mLiz1HE z!n=`id^?M-#RKSF2~e6DC=R{+3W515>P80Qz*r9)492#X-Jt{Brk;G%SUI*Zgir4K z64#nTd#x9_4HM1{UYlkHtO3mb0*=mz7Ow}PR%YhrVvT+pPCE zu-VJKcVi8{f4&~bOiuU2)&O!a3>JRR7XJ-Un}M$X(tLw{*L+h_;Cl)ou^YAnOVp4s zyTuh0KYV-zKttwk%~H!8NB~Q_;Z5QmM`w0W4k#VyZX502wdCCdU>%I(2%u89d<1ES z6akNE1&FqLF%iHsMCVB^js3SvFrs=g5LbxjeyPj(ep8qC0_VcL)E;UfWr2IO8_!8q zBxoJ#D{>!pilH}(KnX+CVu|=eFsT(Z6x%OV(dEtgzraELxvhPgNL7?W6X^lqhbiKu ziRO~oKMgUZ{(ETg2UzgG34T3)34YDCI#+_Z|41#~&Hp=s-=_b8;P(Z5(C{bCrWCM) zXu$DR#DK$*O2Q)mkvf^Ua(0bt=eKq{c%QPg_@kHflLo+q6hRdA)~bw9&wa$ngs&1i zkrb8BKiDNXSbyWF{`A^^azT+HkdY2{rBf88IO6LudiR>s0MSq9u{ls3g=5rUDIe20vOM%r<9^$apfVF zDm=KH&i3le#*|`xn_zqy^<{Fc2yCkkY(;Nu$u9DvMH}gHcCtPZ{3z$ehg!8aY5aj_ zW;FbOBZnK?Q4wb2O4iJ*oS%oy4$4uD#(moiZcWL!+upJI%4arSu_rXLM=vMxNlY+R zCs3toU7&ds#`d7ThE|!@eUTW8K;+z~tP>>S{Fq8-5K2(0{%C6l%G_ec#tKqBuLphT zXFMwIk(}2SYtWKqb^jF%FIQcy=G`kzE4uQ*m_77#92j`8QPPwS06HxD!5~j{qP{v* z0QSOd0%kDG<&bXk&}tKr$7Ik(Mx)j->p|_QTp{ey(5`0ZZtx)>Nd*{BeL>r8heM0d z9k7hV&`r}Z0(wX#>?aKu)e`vTZ)p@-Pp>W9o&brtgvw1B6E(|aOxfTml_Mz1d-|+I z8|zQJ3$jb9;!S$TFQ{!A2zuI5;)s>yq-2r=Nu3m3IXhzs4TBR*pWyZEC*N}^nOD|X zE7J9j9#7G+b}&nNe)fxMp?UU>S;-%(!w#O#O%kX4_(}5^Ukae02{^Ltw%wuKeytn4 z8L?+Dw}Tq=ys-gKg(m{l{bCv$KTCtPe}q4F=mv%v;P`sJT0o z-Mc?EQZPQc1rgiiKTfA`Q1PxR+$j2-@tf*T0L}{-VuUK(#VY;a*WGfgn*fYpq(X+? z2h+TjSTSd7J!7+Ap>ZNU)Q)x+VQsC5SJKqhof~4ZQgv+PN;!A5e)~FnP={&&gWfif zbW?dSAzoWBx+R-!qsIrl14=H|0mA?7KDg3qeUeogqLMV3dcAp(U$lC5PE$(>mLj8? zT)X#^MhPu$W;GGgo{>`O123S)y*7a6LRnel_k)bRF`>l}`EQ?YRu;oO>WLB6)hW=p z;lZ5Ls{*HP=*|Fn91KG$L6jN_g18T4A5ov>!XhjP&M(R~1LPL$(9KnKQB(S3TOKox zR$!~t=6DZBu=I0h9v`UM{=|`@{Vo>~>*Ig~S8VX#) zVCgH(#OTSE5;y zv}RbwPZ}DSgBg)~4Q{ouXm!Z!B(|EnN%kg(6&H4=o>;NmxhZvl1tLHQ0}3;RW2^H@ zn0CE(Adv)ti^ac)$PD?OV+u-JxJ77h!Z*6#fCZaRidSS}*jO6iexf_FFUn8nqdn*E zE9tm1z7xoJe`L;l=IA!no0~`jE8`aLCgox`IoY~_p8a%zq zj;vF|)QEBeS6ap`EpJ(!@q%)1C)e9jT1@Yh+9&D*NGQ_wDynzhp`Uf8g`_lST5rjk z9@5QWpK97cDk*7MD1#_xM z(yP`6Lu}4gBR`Q{MookAF=f)#HV-EmO{TF-_@YQKoFheuA!U+DgL*xF1RebGN=Xh15!uK5UG1{FxqxtrVE7^T0M!iuB=^53#vrPmaWsl z9k2(=U2eXCyV2ZQ!R0zO8)HfG!pBF*4I+D`&(EhP9EsZ!agLuc$OcIQ*vZdN=va)`+RJ;!*JFVj4iCIi;dM?FQqCTG%FK~!C*7w*QanZ@CzHkeL z*&TfY6xyKGn`j|m`(bI_D-5%xK7e@+D*o!+?!v-nRxy)7pNn1`r8N=6qKzBfT@>z0E>th@B@Cymjnh3NeG>Msklf)WA>Yi zQe7a$ZZ^@_MYARbk7(Z7=cg7V&yGru)L%WHXjxkJ&3Cd|2Ez*4Ff-)>BMV z2=Dp8fuh(pnE2ffj?s65uQG<0l`b3UK2eVt2+Bq4Zc1-*o1|=Sh{zR^S-@6a9Hpn- zxpyNQV|47XqZQ~=qBkyXvWk8C7WE@+##k<5l~Z$L2=hTtY4??Nho?K-zlPt8(N-my zeCOqxt5wW?dE}6avgyL)Cv2b{qe8^gQ&@V)h`}WaUKC1rmh9tGp<4^jJXHR7zC~r4g{U z95RgB0>uymy!a;#Tqw7Dmto(QGt_qbBtx`R3-Q{qdGFJ|$et&pnqEsYym9j?Zm`8G z0Fnm-CXW*b+O2?dh?B25YRW{>FKhc4hNGnVnyxO3Ce3J>=BKLBpEQ1;O|7lO$kZRx zKWR*DLyX=7J^Vat*4`{|&QbkI`4o#)2jDc;(I`3l+1HucSO zIZoqz+0?dm9j1>@X&qe>?kpfk!Xzhmk~B$+MtF;M?yB2X0L!j1z;)8M!<(ODm zoUS*gj~X;-R4H@Tffl(NNo-91nsPDo=jG?*^#L#}$~;UWEo8>YSln zJ$scziU(KzSbqF94P_z1Hq}dla)A_G7-koiL=b#ImM|XmWB7)`ZN~$0bW2AdxnmbD z2T?AH*lo}4gTcEvGCZ;M+6P?sN3{f^D88z%27tIGUbviZrbPcDKhNls3E)iqFy-R_KS2s{1k~j>I!m7EJk{A1DET zpfmrnx@&Zb?MvRk-plmqg0XoZus<1)Uy2YgL{`G;E@I7g2N3tm!o|bVcEf7+3`XmX zK9Q*rrL3jvI1hh?^GRPG%D5Ca{w-6MLI?_{jjYY zs<{z*=F{G-2#ux~@_?v#AJpst#)}^7x63|!RDlJeY5er^VwQ3=@CGEUft*`J=Qb#n zmH6=m-arEOcwLSG5+>%C;QqyCayhuXF+X9lPlqq5Rq8ZeM5^Lc(FvN7x(6xnPkKtaHs?H%31DlO6s*aigWhyrcOUVc#?iXZK#E=Q&^UqnNv~YOZV_11YYn7f zX!3AKtn2e!{R3P+k1f1{DFol=rtIoBopX{G;l|LibOa z*MC-+T>bkB6PJHin3xK|fbwgD%LCY!u1io-b8TV!>UB^~rokyTzl!Fz?DELuHw|dl z{vAz?&NpR^-0WX>Wc4x%3tkbX2rX(rG+S)Ea>HSEc)mTK3H z`sXYxXLw!<6gFQspBmq_hlJ{|rVE_03pAj}O=V9{NjFMQI~F^Y=9uMcy1swB-z_S%rwFF#%-q9dZ5cZ)T0S&5XFQxy;N&;tl! zQkcaE0~W}=Hp{ZXOcL3s`lJ@k`f;ZO9Tk-QjMIE)mDTMp4$r3I`1D7v)h;9*HCjG( z+vmw#@Gwk8fAGon??4b5KFA5EQxOONhpqu6#+^!-%f*v`@bMc=9JsNU5FJ|#RW%ph ztAkxUWxJ5$RpdkqAGyNFYtcN*gDkBuud?t$Rna3yGKwZ>1*6@?3t=U9wx!%yF04;A zKYYbL3%=+nQtIR=YOtNHjq7~Yyn7?CzEJZ}e`pg?pi;^(b;wjwx-}g*w{nJ6z>D zZX~5!ySw};BjUEI^;Sy3>G_ekjA<(!H@obp5N(Wzq@k)6{GrN~#es{FDrUp&H3f6x z>F#W<5XE(WowK)2*}!;{3vVxdYpzu-7ZWz!>3+S9ug%nOaiQ&+z{y_`1w8eg5HPwRiJ3t&HRa)kZEw|lYV$T2C0b(I~mIie&?DP3PJ;mqcb zLwTyb74;7IG4V_^z@z-u_86Dn8?M!Z4j)SAn{Oo)LY*1z!;Y`$jT}(+5Vcey{SZpJ z1N0C*N)$S5EIF|j^dn*xKA{X(LL3^|N|UDuX#ms_7jVD|fTTjM@O_3~AZg&geKZOO z)ZJ|Z)J-+=v$ux^S(EPJD9`Sdy@f&~9 z#^)BdHq@sKUdAp7=1MlySTYT2MCnA0A3gb=i4DQPW_NUg>B(KQjOfB==7pH%_I&U9 z6bOg6u8pZ_kddqh&oyZlnY*+)msIQ2&7m32g+=u!Po?f6-phKpajBW&g7Z2Xu@(G& z`hu<99otnO?9~eEt}1sG-UmAz_qkK!#su~}E;VbwBs!w8S?ePjUmE$^AtUleifyEu zq@)Ot$Y~wb%1ZT)a>Z9#LEWlv_@%P!>)GG_-11b$ahMx8Mryg`txgUi_r^TE}&tT3_ z@=vDiEFowdu^UK`%AJ-ly4d_Q*f?;>INy-S65$9tW2w|4P`v;$^N+2K+Cw`63yqsW18Tk&gLz7{YaW=ro#uf z34M2;J5RT)ShHs~E;Pt~(^qK(tm4=2cpy zf;!6U@FImHsP%Jj@-))4Dqp@!KWVdGSqLt0r~1#_f~i;l&=EJJqQ6E|z{mB|6S;Nv zV@Ep=)pzFlywAp&*)Fc5iHwT``}-%;0DL0QEES~Un;l@<*w6~t0x9J<+Z~ls?7R?> z&y3Ar4SeXSJ5ecPTC46-YHee6Xiu2bMq*p*pDH-+E}8DFHbhyZZdV&Wj0e z(LRU+V!2&*Bk*#FvUzwo9A`#m<#KMD%wZRSkEF7k4$J5?(vkS_{*c0L{wQ+Oa$bwN z?hu|bUq<>qWgUq!$E*ej?BHfGc79WK+lZInj6)w^&{+^Zn~|AQ06Qs&bpKn%&xj zi|r2$S{-bMPEsecW%YTOo&{_l@U+z(oor!mn*xUOmQi5 zi_1X23R-s{rycOM+pZUq7tlCQVUkrpnK`C@29y=jaC54hbL$CmgFJvd%pPb(0dm7~ zIKROM^J&qyI{Zvx0u3qVHVT|O@OfXfK*0O$g9+gY(v2C}~wY8+y_)_o`nH~QnxnC{MQeU%FVCI$H;i|<2I$lgGLLag1WLwO_~; zN{mS-1z>Fz!yZ5%SzEgbS_LU^ zSXMnaA|2Fc&v-oP;~hg!^FN2)WQMr|nW{bnm!9TD{K&k$WaPfiv1OxQs#>q^WzUXxZhcR!p4hj^ zd5YOx-)P?b%J1(b+j+giFJI4|&8eoL>d2kv-q&8zxX-cGgI_a+*%nbo?j+cXEa>3o zU36nGdUc7$x`Q-uACUH15Xe)`@kgdx=H=l6aJbxf48E@M5}j^Adym zRLh2_PY;6G4JA(c1vp$;QodDiom0v0ED&h6`NGA{NCp6v?9tu+bCrljw=sDOWHm8;(oi8a|(b)|NWW< zoXdIstjhp>_yZm_S?~lr{8bg9Q{3Mz(x`vBxRWPhgRyZ7j<| zN${={B>A$Mt@UY3LT<^42$9G)3|@yin!vc$?2rMn^C{7x!5_iF=XCRW5#er(BwzsfqO&@{H$Jz7U9ATg%-|OO}=| z*N5&%pW|qdJNxX_%C}YjC?{!{yivw568C(U%=9PcJ(@MCw|R#AOPw9b~}) znEeAl-PR4J;-E+-TjCxnI|N9U1zBm}=s|sEVb@^tn2k4;Z??^MqThBKl(c=^cpjgt37zOG?>l&_DVU_J z0=vphBt&5sR$}PojgO1wgt~&Ujd*!sXC0<2&k~4C?b7u_k-ci{bZ674J~VgiJDsx^ zK*AsyKMA3QO%e(0OOpwnOQ$~?N z1F5!IwrR}(my_1s!qMQ4ZFBRKw}zO8mFs^I6=-fA6K~IDc-J7dmwv^fbM(|@n+5e< zL4+LyM3R&NQBKOD$gf6yg&^mYU#b4(dm5N5sh zohvxu%cj@-Mz#5jo4mu_@rSjLI+0S}n;^qB3n5nd6ibI$tNR0+gN_*r9CP8N#3(LigZMy-%GtO~iBTH|qD#DTcRmcRzsDD`$vQ=L>T0XCspyX{R^T*Cd~0Z# zaDr4Ena8oxi*MjgT5u!C=i~!;#?`LLV*bTcn@3g8%qZ`PTs8P$D^DqmUvBRk)iJlJ zshMbG0bZo2#p>v*t1;3yAiQ-e;v(!w6&nI8Wkn)gfVl9iaDzLg-DL#Cj|>^g-Bd&; zxAre+jw~g(hBU24gG`dv!&FliKDvrq-5quJFg4GxDv1pL42E2|ou0D*=NA9YqdY~# zV8{}Q6cnI2fRR$M^K?TCu0*ZG)=#+r?-zDor<7!~ZAK_Jz<{ z);;Cd8A2J#4Hbd~&9*6RF!y`?iil!&KNt5sP8)zK7UsbxlI2n&`R~-DCNo0qNPDDes-Wiqtt4QYrXS z2RjJFW#5|I3U*aSmbu;SAWM&pixs>zcOoCo&fb5-w4lL5e(okNuE2w1I^wxG&?j&@ zWRH|hGQ@A+bb}KUQ`j8k>gCWG!1&o9t@w~)5qZ7amLA-FOkcr|jTNr}S_i>7_6Vl*^ zYXM920i4Eq+$LLl#t4k4M?BGnyzfT#%s9^KZObV)N&aFWHqg~QF|DO6Z+5SWSJj8| zut0^}m;T$a`B#9?|6uC88bCpFvfGlv0s1Dg<5^k$BY@ZVWA6AAWf^f;uMGI$B5w`` zqpN@c7tc5UGNn(}g;{62wk0!Kv)lCTltiuAt&cPK5pmI!5mJZmHyz&Q`Pn&%nTA`ZX!dscv)B?} zUiffIc93lA#raj?(N5gMrxMA2mEVF>gSa3-WfD;dAC-#+*t59?BKoTr&@TZd_$iXZ zAE9^wxp)MnF=+LW&vkJ>y8GXM1)T!=bMW5Zz71T73Y0oPcHIBo_ef$X%-?Ko&xRLl zsDw_5%N!cbSb;a;>e~8`2E!Ft6Ciyb$~ukDhe#QIJ;r$I`9ruBRhV(q>*!gW78$)G z8Y{c?zdp*~t`WRjbBbzL30i*idE-yw%zq&j^dFuUk_1R`|BkIq`Y*P&2xz-&(})Y& zbaVvy@S(T_BMhIwF$7|ovQ%7P^PRvOQJrT^>edH z;Q&FDz~Gy2Gq+NzX6{%Bk;|ozroPm1)aREsyk$VM3J2DV(Tt(jD!mbYkUi}yT0_sS zLeCxM<|rxF=}$Ipei@A&7kl7ps(GejfNj|1+1;sAACDY_Wvtc5)KAnMO7u}5(+)tVYgi(Ul`9L%UE5CZ; z6`r9dc4(e$@Z+J~I9#N4kwZeDnHb|Yf?{9ZElf?2+Edm#C+k+u#1VdsO~q9zFwDb- z^jp*E0*tQX{&gMBl!MVdFqpI@MCl6u^OeXah15T0B;+FReh0dS(^+4XBOn>$LreQ7 z$Ns}bIZ!Qa^cl|kp;zIl+kSfDgJb&RZS1}6C~vNLHVw$B3$6B-3taLa-n}ANchBVW z`dHkV9jQgB4ah}bD#IJrG39EKyPxdvmp6s1VhH2dRX$L z%cM%V*y6aUJ9t+#rG8n#h;atA&g8|B(A8UL5q94Ek?^Fr)zT94_ruy!_Xvdem66@d zA3r3Jvtn7`iVZp*@H5|LwTS{rtCyV*k(Z9P=TUCQC~N54*yjn8FRZiO39l<&fW~cD zy4QB-1-D>ImYVIwzEnKiB{`a3n!S=fJKl~i2%M3+y?*FzDc1!EJilq4$zUHwB&D`E)Pn% z{yqR{fpLJBsa=Hn4);Bkx@lC9K_hG#9X{*$yay#va3@GEmcIE@SD{6hYutcGO6hUv zrSQ+;8P}h6-aVzVpRpl?h(4u@?p$AGyk(Roi&v#onUJ zzDxTQoR_I_$O*~SlkLh$ca7KZ7}dKN&*F4p{H`vRR-~!i>|tOG6{_?C@)@ z-@7ph-Si%msxwy8ZfC0w?UrH|z+N2H$B!cg#MIRKB4$sg%j2WGVOp4Y8_0f~>v#X3 zH2F83YwDsFF1~P1f8%gNHB%RDcDLdbkJ(HtM|s9*0CRR0E2~-Dj5_`NK11a^zgxB5 z`Z?i^8_XVjcK7Nv`wVXYv0DF=XpXz7``;CB&OX}Ne^2A?oju;iy9D1}I#}X8$ zkesx_ctkdsLE5b09n;pSR^%@eQVICS4^Huq#Czl0JY%)Edwsmy zTwci|USaM1cI=s1gkjjlm20aX)KH;P74QBF{5*fvQ2m8({})nYLIHr~Prc_F_aD0t zkXQ4^_QN#2xbvTFKLDNc$M*B<3iK^Zna?fth{r!+lL@cBu2y{%a<6Ia1pYD83zO?2 ze~QVlp#vIo4H*FSz5%%X6gWMqa~od~S_TrpGKKI%e@(`_CW9J{ zaJw|NhyU>jOf???#&_+&TVA2Q!goX$zX7~mlLTk1F0qq4-8R8L$8{W4G>R4vnS$f2 z&ul;{E$X&y`^aBsHX4R*ECpOM7f7;c_A2}|o)}x?pfH9}iLpi?Q%-dns9eRx9R)R4 z95^i9YUpN4!lfQ*`5;qt(3pLDs_P-@7<6U2nd9R}p0k?5ry>pZ zYsMkfZVoT!BKf+WUthk|FQv=4B$acI;~CFp%{xz}ldl<7chABcUiRNTww6@PC-O4x zEgy|NmWaZ;q{(u+s1i;hT!qUs zhSayvz2xm>?0pG5^5X+vZ1}(?x(PsUY`qc^`bJ3`{5OV1_0$P-HV+ICh(s=Juy?C_Jzk5ieQc7*Dl zU7hY;ddlO<&R80G(kFKud{-bb>+}hBv;6Rzr%rAxm*eHRGGIli$c-EeU?{Rgx(o(Y zs)hR%h%fDCW8eBYAxM$A7=d!0;{UvXYg{jV^r7kRY zALTs<&e1>hE&ij2i{QDjH@B8up^m-V!)*fp^_H#Xd zAF3Ty6*w<myla;B6}$1G20)rC8nPra zC5?Q%mtxJbV>xk~r3%e*4SB^uFY%?sPM0IUM5?XKc~Yi)Y|w9I-an++qx(~t^_y1p zpX8taR7sYZAlD{y9*l0)z9ONJU&RlCs@EI#gPewU=N-AS=U)#SaOy^V^D;D%HB##t z-Eb=Eky5=KPg(ouHD|h$mSoV$rWE}Sih1c28QFZZvy-x{#w}y=;zdlVgG&)44Y zBm202a~J~3)^Ys&tz5pStHZRnHIA4V-=z;XOBE&b4?6Z4@h9jd=wlNC_;Z|+u3WmU z$@_TvNYC-|{v5NB)>#LZbkzHs_>9B~;`+|~+^m}K(P|mi_*o3wyj4%7DHzt#!SiXP zzCW6!MLfni@Fine`=y@l_~j>Yf=tJQT+UtYK5%3st}kUMOV0&Z(2&?vN^+{?nZ|jS zMr2w-eGG~GrmeC_(~m=X=vMsdc-QXP zO>M;w$ZBqgrLuDGdpn|uUl>S$)It&jfDem*g*~ACh1da~(7#pxTz?OI=>Mzg|1ZFC zs|eK_puH?osoo+#X=GzF(Oc00i4o&B*OU>LTvYdCev?u!qE`Xg^Mb(;5Lx*NsHB%U zaj(ZV;jIVCsSx;U0{~8*{`B(mf4FD%Q*c5i5J4~<)O~n+Jza7a5qKzSFb?AE2j*kZ z`W;d;fjWgV{H1c1&zu6k_Y&=Z(7%83DqCpRK;oMgu=8}om*L+$DP@)r zP!UkWQ6qsQ&d__CwgqT);6J$!LF0#4*2(Z@+#YH-L|McG(1T|^CAficnG90vGsW?B zi`QXWAk3>piA_{7|BP9hhp&a~4-qKlmDOgZf=ZL~m0gu`Wn5unI3tU#Az$E`Sw-NB zlWeU)0a5XbgfVb4c{L3fGAz@^aF1wK@U54=;ou31S_&09ZK=I_Vfu15zsBMC+vXI8 zS}0VnruEGK^x-Je!h<9sT*f0?d-L9FmXPlu%xOo5_-hoq&PwvkV^g$@HP~y;pS<62 z?y_^*bIsMA@3J@yhnG~UAWeG+agODg4X`r{qmUsrUHsYZdm>Pz+a#}+f`<&&koD3y z!H&Ma`l0LdVGdx%6vgpdH;>f*1*WZ3P?jpu3oaXXj_>uiAMfM#W5;y}K_2OVJ8Cl9 zu6$iS-c8Y_)RS`|8-DC$l&j#~ou1cn*ZR~>%8otH<-2@_&mOONOI4el7T*Rg^N3*T*UNJ7x zRzaUXCVJ|OZi~y(OY5UsfE`(>eAC=R+!u^*2rgF;P#y48veffBlE52S6=kOT?ENR# zP61wGahC58@7njE1r+T>7xH_{8(7fQFB4p9et0pPA&pvpMrDUFoo&Mc*%H+*lQi0^ zEEP3J+k?l@t5+UMps)$6gt0+IP{O@Ic^eJe{vRE=a&hFg_7<@z~_xJn0IP?<-Xpm}q1T?;jy4^&?WlTqxBZyEoewoltrorxD`E{adqpN4xezt}1kHR^XC+n78GGJ93woq+9YHG6q7 z=h<#x;ZRpm-lnFM)8cIS4LU=f!t#&y_pUp;V&jk|)`qiD z@ialmW{Df*>Td3}EfG%{xtWfj2}wOKxLoF?$Bzy-$loOGKT0vazF2jYn_=f$UA#q+`y$wNU$bV@y$Nkoi~fis`93}^;|{!!;8GJmP5B8z4Ql-;Z!YO#uIg8 z+u{k&<6CAsc%L=gg6_Y587U0-DWhruUGgMoi@p>fP35?b;fSXih5&O`j%Pjhue=l% z`$@{o#v&kbtZRVCV15L|U|my3O)^|fE?>SlnIzmDkA0D$@PVB={M0|BD|4#tx3B;I zmKOhW5lq<6pv+@YlGa_tutYQBxqKuk$f}o7Zn6T9 zVfe@O#hBwhg4$MQFpAUrOn^Sf!|5Ymf3? z=~cU&|9KrNRF!>qpMCj<$g&Z?6h8JGMc$=oxiM_^KvH55jR{8gBh3i9{TgLqPYF{w zTe1kb#kHA%g=e7<2P!j>_O(%0aPhpwf`kYL6PW%WF;OJ$UDt+lo*;7Q3U-lD4h*{) zaM@jm!0Fu^2x7%;ud*JrV1ne=tpFfaax+6<8@G7i4FTyw1J?F^I?CLbJj-kfBrzJL-TI!yiAN8Bu@lM|TtX0u-@W|hk8!Om07=0t|%FYdtpAwA9k(q{$DY(-;pIPx*+p87!udhhl;^Raep?W!VPJS>0SZd9 z(I+H#XSI&F+{mEYmenYOTh=U1a`G52Aq4Q#lyTYTA*Ejn2 zc8tGP8uf!@kdhZ%*&I|Xd`IuM>^VQw*<2OhMoB)pCrwb9iK@^C}Lve>t(#OjOSSU0`;jRp5be| zi@}>5&XB!g5Q_qR{^C3v&XCHsK-z0Zkn9RK*t8O4g58Yf$(-UaKuCDsEl z<`;LXM@JP>j@ld<50!p46BdeamFgl%yI=Dcn7STtY2*Lu{c{layL?Bx-^GvsgDY1pH zhTV`--)}QP!|UW^oC+{YCQkyz7g2Zcld+%$(@5AjI=j}0OakM*67DlJcE+?W;H3ww%=BP zl!H-iC90rcZ%%D1&z5dvh%K+tm0$`)U!ASrH$;^CM1@Inu?qLzE}o0ymiv_ku(Ep7 zOr7AFDgnAG=KD#e+*TN3ON?vI-p$}hL8s3kQ?o(}OBPAt@bdW~@nR0s3{#Y}PI))| z7~O|by55@~_#3<7EtpKUVB|J%i_^ZKS2;ne!f zdRmrlUfe&c_wpVi-E8n_wlA87Uq2bwRd>k5FYg`O(tG%r2vlUnS+$&&R`A|cqVhLV zcTbKzAqKUo=Q7Y7(@;wC{&9bzwFLq#gQVI#7_annr{+7(gy-_JNPTE9fS&bksHlJa zGH#h)~)}a zRxs%L4KU~t&t-ZQZltW=Y+c)k>3RLFg^wqNcjLXaI+vJUwPAeZ+kz`7WmK+>TCm5A zQ|;-}`?K?I;g{_1`F;Y-`LmW-2%yV4_;OG z_#{42K?KdUqj9yf52KR`tJYNV22P;L=15T=edz=O7kGzW|f8v!Eo9enlb zm#@H6e;>Zei|P-l?8ezCHDKe-?G;4 z-n=(kSg`Kp=Pq-h$4T{j10x{t6TZxows9?=r}x5t=y8pUEr(?!q|AGZV6QaZPSt*b zw~g(wyH>vO+{;h5Fqltqz$m*!9e=h!e?8|0mYL@iah}<(7(zBFkYlrsZqIah*y{cT zX|HV;t>tw4h$`vq>tf-|`075%3m0jRJ8Ovl*0ldE#Pru^R+XueCyqhb5-LrK8tWr# zpwh&R#RglLlTO2UW1eg=AAjySU%gV&x5Dmm>iL(;+eqreSHchf{$ues zpYZ1&|Idpx`28}8ixe!iv`7!wR)K9yK`c+BWCl~Q4rn;2&h6+?Mn`Cgn5Rs(t`Y?I zoD0G+ z^oXgC&9%Dn^QYe^n?zq6I{3>Jb!*&}YwL`s=lQeTf=V*9$MdAAagA6LI)%Fis^(*z z*vmq!f8&~cVB<*X05Y%2v~WMHrA>%t>4ncW)iE;y%>z=m%&)Z)_4rvPmm&{BA&JQh zY{O#`Ct_d@UG|dOLR;*K-)OrrrLP(OFZR9zs;P8c8+$=SK|xRmO7GHDN(7{rNN)ln z9Sot0p~VIWh;%}c-h>d4-ie6PLazz}LrLf$35W?H{@XKW=FFU#d(N3zGw0m<-@9DP zCAgEF?C<;D^1Sc+JVy^=YlHX#)Yag&z4ee3ZcN#usjoC@qm?C~JUI-?LOyT)lA>V{ zol&CnB3D@2v4e+Sa&l&9$w}&*f}gq@Bp1nKTG7t^@b>fDs|a>mJ5UBfT&|Gh>YOzN zFS0bC-m^5=l74KH<20V%6zChct(7`=#@Jj1jcfI&sYo$~LBfj|)Vtk}xp6BH`T%l{ zD^7`4cL-}6V_PUdFx@sLC-zu&$}v{j2-j5j=vDMWO^Qs2lY>ekztLRG*^ziI{es%F z`0)b#MzlAA$3+3rFjA6FXhxRw;385}zw!0gL#^oCNzmf&$||c9*WoAgF(!Ivbh-!P zVo!Y#0TN&}xFC_UD;=@N3qs;XgiE&&Dpm6IRs&TKQ5W}CuVq^Q#G$HG3&Bz)hY-W( zHq5yj7hXO$=imS6s7`s0n&FV7|NZstK-DooAz*p5O)#}H_UQOBc~(|S{cNtliMT$1 zd1u?#Ugc2I38P1(1)^hT2GTG6aW?$v3czfbjD~GgLOHQhx5nh!f%(p)&t+4(pB58k z`p$UBZyh(ko|$}RzwT)UJ*RmJmY|((IM}#aKO$FGyqppg=6G*(OiP33<-N1OSH6>28II#8AGumGULK~ zZAb(&i_tlx9NKXcZ4cbc9}LNoqywI0+f({|Nqf8>kBRUu29Fl^$@Q2%VYYkmzGx`RZVf)!#0DWqN@iZ z;WjpCt-JL;xXD+KVCLgfGx(^1+LPf>{8ryFluE9G3Q(e@lu_Dh`)?C^KG(;P%7?As zS_Y$(GCbY>R+C6{&$vixV6pS)y)jSu@}@WK2cNGU*AOUtE@Q8K)WSXtnBwpUrVn>C zDPxU9U`UvH%hlW}qxe0%-7L);1$w!RVl>kdLQaJOgX5dQW=b_Y$JK;ixu@oitH4Q< zBrj)N1~ShYm~t|>*wsAkbF$>+UQwjxkWdLM_Mk=5jo8lpxey_} z4kMh3?Hj$jWt45)sdSYTVZTxG7*TxbE+rIkM`yC6fO83H{zj6SX;!^Sro24GKKhmF z@%?2E*WZMjIs64;dE|^q4$>Ie%E0RQLPnd*@`Bv`K!g&vX0&HUZctV3$CYRbJwv5j zF_as*)572WFegFZiINCF$`VdUD}bRb?a)RcUjB&IP*s|V?$$he!gI9Lc2j(Fq277F zSm!a?cc$f^P&6#Gl7;L4Lol81?LEG)&$@G3BIpi0g0S0@Zqz(D3TRzZnshjg5?xK* zf=clq5qQxQ^0K0L=kg@n{JhNGz|7fUBWR!> z`Y2{NYaY0*I$>i8QexpO<6-WsU=pFY$Ffb|?-z{gc`^o6(Q=zh$@ggO>X4&kRNoP_ z2fy~|FOUVb}2Evo#^QUu)b1y^kZ@c?094cc7evAFW+9PQKL3Pl>!)zY)( zBToyk^zB|Egy;nCu!HNdx>E9nI$SP#EI^<2El+{q7cY4;g`8(S zPsBz1J=lXHqzn!Ow31Q_rh_3e0DdL1vF+LUMl1FFgN*EgsOZD$gWW1eIMf+b=WO*- zi(4buuhJJh**C8?Lhb6S4Ye-Fy83wA!;A=O{d{xhQ7U{~UctJ@8f&4$tw-t1F?ShL zaHxyC>c`6Vt~L^yAAOt?;=c{IHI{v9$HCUYc;TA!rYD!lc4@{NY5GPUL!VPK_T5dCSU`&K3B>adK1UR;>=;+qumkX z1HhEq*$-1CsqPJUyv{A0aB@;~&UN zWbT;q?k@pUvs*|$rw8R`@PS%-BfLbb8lHq~%W*iw$WnYk40 zK7QrQz`X(rf>RBq;E0}dN5Y|yxOs@Yav`Z5o9JiR&QekZ=C5jc zLU6t2Q0S6jYRa8(J<=Yg5-xK#`rM5N^m9!yc+xsOcDmd8+6QM0vLeWMoRrZ;)vc%W zWb}+G+#BA8@R_gdq`MKBlOzS@UB(QCywR!}ZVQ7LjXwB6F^ln+BhZDaNXF&PgR68K zb!Q$71w@fH@i3rkr>nC}#{fH>W@Sk!BOr0|B0{Z}E|(AB(0 z&g zyH`zXYmjd|RM_|+z}q2TNyn@^;I<7lUmvAAOlzD@Cf_rFZF^QZI7sOq&nVdb5Ov|% zg9GOtmalwflWuCHeTAw*&jl^=(D0u5qR zn`TNIfj0@zKPL1h*uKoqDbgu%YPQij#B8w4`nGxyT|45Ia;214wW8*-xY=x4+qzZ{ zf(Iciw}%7s0+0&BEqJH}F)9_QTQ#`0oxueU)fqyg0}^<@95H$Jk$162RJSQyojX6} zaGuG*Xy_A4m|Q~W+{lni@JADd^e;)) z`op3n98hySpRK=Pn7;HDF4o6$UbUvQZP^M!Dk!1`ng`ul8d~D)^J8kjS&H_U_sx%@ zU^(!)?v(U~KGR{K#lFWFtB9%6GF$U*u;fpHNK8zUH#}E7jwRA=CF-H5eeIoxr{ccV zQ?GDgC|flI`vtr(nzCc=I_1hdIv)Hkxa1jm?V0bU>H7)D@sFJ+pCY}?zzOR%4T&tQ zbcz>8zpJdReTpvK{6gG~je-01^)g*^OuR(tB7*SkK(UxRWu?2+8G&14?i**SPl?ev z=rkZ-m0yS=4WJLuxg&@1rq6;+9z67JyW^T?-MV`gm}Ii$b@T>WHl_)704O=@TN^+07)3w@hf!3(j$k8a)wrhTU$L-8_y&m}q! z>WySV00Jelrp_q^i3oAT6}d8!O(}fEu(GOlSRuQhyMD`jJ;q^N=Uu}zBny7K#ZEUt zQpT4(-j|7*hU<2-IDc}55-=LBq7Y1)1SP_%*f;_1u@kx z4N@7HGPjT@HF>8GYhqB6lyhOUS%-VY5{$%bHEyHLb2JuR1 zk6b^f$tU7on0jLkf;2N9D9}e#CK{634T!1{q=rkW|KojWk3mndvA1}%Ux9u@7n{vJ890<`h(UGyJNcX2x z9kJu6pO`l5qR}eLga$($U=nrbNftOwX9)m)GXKT*Mt@BoH~1AF)j5?wmbs z{k&;4^f%yW#u^vAR5vvvg!BtF?zpp}YgI8>p)M#yWa z{sfX)d`UX)M$25{gjTF^Mes=csew27nXp&gAMQQRR(jS;=^YIukfYw#mJQe(ejCJg zq+TxcwLI_TTLphF%kh2atzJbh2zN9drCY?m+oa3ot5*-67~?AknbOJ%KT-Z~DD47!aR|Ynf7JimaUK1(y16 z73BF}Y$!vnobL2+TMiL(sX;Q(1_0^>5dj|n!(RZvhurv$Zm60n0hBslPXwn{1=8Li z8sRY>c9K|H9S#zGXMONjnvsR-3Jd>+x+*jxxyIO9lf`m!R;BV0yq5;{1%H!v1$2e# z3b*d4f5EC+lSgVJFVBv^IFZ;XZK6yP`i9%aIg^F~Z|r*p%{JHbSKP0Z-7L<1tvYlU zLJbiFJTo;92pBdf+f%^6NaNveHFH`R60rcZUGR8I0y$$pp?;Cw10h1SrCP!(u;Y?D z(@3hvKuNLBNWYXtKe4ZH$=w3t41_-&SsKFHs%A_U&O9k??jdvAnTgzgObs0?H^cqb zIti2*h&$vyp*Gd%zEw@jTT?$d9Z0LX#k%2k?GSn{s}5qo!2|oqi{Lf znN$C1A6aQG#!v{SD8J7Z!WeCrvP{1ip_QoWgmzfCs;B?b)>~LNcmL~@d#{yB_qYoX z*uWY}BxEJ()P_k=><|XmJV8}0*lvI7i^6C)PZOpA7>Lt=Joi?1WIDHUg9HP*tzruI zJZ9OJHwMjpDK!&_j$vx;=E-f}C1F{r#TsVb1##LvzQ735oOKZ5X9S24OM2rC~K8`2G#vRAzPEGD< z;`%#}l%6}ro8OueE~R?j@Gue}vtD#AP|1diDh;60bQU zZ+NN|@KqR_pRL({Di9ocUQoLNP}==w-><6S(oRuT5xpw#cdJb>vC{dC)Jj*Vsu@?@ z@@L_E%a2-TD|Oqh$iw$bt}&o=macP)a6AFVOWFa2tohYHq)Pe62S#z+|9ZXfr&Y6G zhwgt;Apgb1zjfmA4-+xF*#mb&Mw?2;H`Ik#JiV0lCFfy|8Ea)`R)REd^W;g^aeyEA zhY$W8h8S<4G);^|5MxGH1kOLj2sVD;dUg212-m=dl9*Mdm@3Uq)~C+zC9Na9eut#> z54Za92{u*$*|`b;LOFe|p0=pn@@YA7=&g%c<^!V_W8r9*xBH#w&)TX;P#J%a=R?BP zHS2FY^3~vFO)uh!mEr^Dy>dmao>l5KWb9{>+`M{N8~Ha{!Jk5>|D+)Ni#7Y_ul+q@ z>VHV=_KLonjfrS9u#T&$2sI{Gry^LF3<%)n6e+P=uTd8o3nCtxwx5DXs9BHKoO!^< zf4B+9ralP?sJ9O(;;A!CG!bzV)n73!t5Yu->kqm-p~9$UrLQk$C7^JcuiKO2$#+rq zahG|#FY7I|e3fuIZ`fn7uo!1!;?mk`Gkq9$xJngtc5httP3w*;yV#eNFZdMIWiMsC zT}>rW4_R6E3Upb}99f0kE#BZfy6LBnl`n$?&zl*#!@>@?uJN&Fyl?Cu4Kf}Pmg{jw zVG-Nd`!mn4a&L!UD>8q2Le4&97JkQ>d31K{wwIfV*;riMWn1g0_7>;(>7^QEoKQ7+ zj~XBTP^YQLtX%A1`i+kk+9Oi=Jv#M19s7^m$V4bE1GAc7!}B$fXals`k7qk1B- z;|)~BAiqg~A=bcR36ZGap5mH3`q~dG5^*2xl1*zm9dXt;x-7r*E%TSz^6vrofS%O$ zKcaE+j}Q7fJ$}cQ{aLZ`7pL*R_}~Am48u>q<%~5k9zpKL5M!)K#Q~FFX}nz<|2l%* z`p1l5|KB3IrXZW3Yvb?xfjwvD}6F*a9Yv(R5u*}o)=Uwr8c7K+$ z3SA}&REc+u5~p>VMd5++RZXi%VNec(B3b&4+5K|Wvy&wvsMnxi4X%eR=5UU~bDMQH z&8nzXj``iMZYd);>yI5UU)n)xD5+1b&BQ29WJ&F|8DG-837$nuP|bXzb|1SSKI?=& zAO*Y;CN5BLys2xU0B*PNE~yG5U0;JMNJT@(b;PNYb9JoTTL}&+XH`{Ir1Fk?%)>7_ zJa-TIN>j;^mLcY_z46mC`>#rC{2Q8W#BUk>=+plTEAj{V-~XU8U;N~v9~57Z@5(Td zz3gXDVKG(2kr0!e$jNwB{~b7>=k4juoAXbeo~e8Y;Ujqp_9zhO*n6k99E(Tqm07%r zE*_FR;dqqM*<^Y7?8Ae{cW-WX)n&)=Z`Gb(8T?SQn7XGnNS;DDp8=U7)a>R5+b=aS z6euq3_~w8*9N+gd*)r)G(QW%to8{!@d?We;mCS0^{AjOk4C$p+EDwN zL+ag2Oyt1I{YT6=PQML5JqkjhglV!)(`%pJ1=H)iGBb1odImm$NL{kB2r@H>)PW*` z1j|S!_!aMjFgea^Dih+FJP{p3F*KgDji`6dSJ++?73aS!{4w_R(3p3Fyh(46iB^jY zStPC)0_9@tfnCyAaUK}fIFJ*Fv>9vG4*Xjk`qitdAz}UA9ySiO*y~&|I8Q=@2B&1K zganfVSFGoWm?VJA^4C$jU+nr{FPi=HFz@^Q{GU(!O5PcE_ZJULRK679R*U7MJ$W}K z;be1&|h5k_RB+Q>BlVzyNQz)b4nnw@$GNpR%G9jf zz60&s^!^|FmV|(LPT=Oo`|wRngcZFZpDV>P!*k(8^&1SH67T(3!!;y7cX398j4m95 zaAm6=6Ov|nbN%?vUI+idDgEZhTkc3K**Mp28&iDCv}>vo%KCnaW(S$wX?2}l@hz4S zBR_5|`bq1VZ@P6ZJUea|EMmQ6@;M~|x3hG1$mY2g+V)wwb>9W)OCMJExXoCy;fnR+ zs>P{(_RVr(NtZCd#Na+jl&`BJK239+EHA&#sP?My`8gj!iyrxRlh}z$21TKM!Hd?4 zEwSK8fuk!=c`hMSjwllB>WvGtmtpx%OWe6KpC+-e3wpijbx+-xKqci@-BG?of!9c7YC+7$0S0c(`{2 zm}VNr6(c$T&)DIasyPL|X9C^etO0h#)x5$_~lRoJ4sQ>{-17xJ=Up?~B;E^Ds-8(rFlF(Uj6(?|&7Yj-WpYX1_64+MXty zZ=R11bS!ix`-CK=L`+UtTIsUR_4|a6e5Y#qP}St#cKfOBi0ajA-<>{aW*DbIl&&ar zH4l82;uGAI_O5ERCcsT3au{-V^tGU}hx6SI$mp3(`!5NPAJOc?@zIEG?x4%H{_)h~ zga4URkGlU|LBu#}VBjA@o2KbwNv|_X7cn)F^U=BH@}%kHCuJeXiGiLQ_lyNDw4Ghj zEcw9K5u8i65Fbz%DCF6f10cpt8CH$YELz)^VVX`4>kiQuLM)R^f-J_+>MueK^# zqxJ9 zBY6UZ>ZS>iL3e<s71!z0YM@Q5D>kK}>=kH3aT2EP^_P5u}j{Sc-bNGMgPxeaF4 zCa|k#3SBK%HIzNHtc1J?af!MhPza#W{|3pp-$+1{22hv&20#A$FSd>W6O$3-32S0F z;`4g~n98+tb)DJ{^sq6Oe5DC-_)3$1>i#5x0tJH5;P$*9z+nN;I5B^?s z-uHXad4*M7-ZISGUp40gwgF23d4X69eTf*BO#bdZHEYAa?ys8jnm*WLRr2EgS6B`- zyjeSu`?NqkPlJ6*|9~Ekkr?L9G%YB&xienptk8iQgeIawm|VR|@Uv?=)NK5e!3r16 zvJAte@d>O`qZ&KURomE0K}_~OD#~~BWu`Z^uPZyT>kWq@E8|3xZQLGBK>SPjyDf!b z?C0UJ8Zf~lEfSTBt0y3;!2<1fp5+VeeWi(NTRtGh9A;y41j!SqWjJ!NBD}M-rzGo) zFXOp{gHeGe^q-O#jos(-@l`CxD-fu4O-0-Jd%<_DHgpE_q?yLU9*v%=#<{JV54Z5u zR#!a`eEBdGeSvTB_=9tW_hLFX7Ap%gN#d4*h66q_Lz3RHgXh1v;cA}qEkJI)vGKVt z%%Nd+!!4KW;h|RWR+;(#V%tB+HvP`n_Kyg)er6Cqm6E!^dk)s54q$*l!R;$ez0}lS zQ(>F`<*Bd+kP0^gsjw@NnQG%r|JTMMz>^OmIw1{=VXLqoI*WcylXU&BokjYS7B}}; z?8cNpR%$6zDEpjCo` zfo_mu7UL_pQIPK35xyg+W)IzB{mqbE?z{eX${$Ib_Q!C+0HVE$w^F zzI62cL=x8#MogWZNb*rFJU)59**6v#@^kQ9G3Lgxzju4oYP$KoG?1{ zomsN|Gxe~|E7#wil5sI zJNcwywzM6yb45a#fm^`OvD}P_gjpx^Ss|!<>h=TeiPL^*;0S z5n2vPL9YrTMBf%)I7M@^i-wjGxNiU5Ro>W@&;M~Ix%lK`975_rB~ zGVJYV8H%mRM(8B(5+ogiJ4TEGl$PnqA#rl_pket9UvO^uH~6Z^OPQ`)eB$GcxQX7# z3!l`pVg`a)%ybkFvYv7;(s0BD9`B_U^cfN^(2>T^u4qXpGoCl8O)EL4_=#Ry@Dy_- z-~KNqTn?K2k-=3soI8-ZX7A+l5WYlJkYxKh$JNrrFU$!F-dOv+~P}l2G=EWw7yJ@fsi|>W9e*B5cuIF|M>ZT2PI$zab<&~`c0028Q@8Z z8*jElv`l|1TE+uK%k;LdG|yc2KJDi2@e{`=Sqea|SIrI#N!=WDd^krAZCYR0O8m8? z>(4JP{}ZbEpVs#NM0@&snvrS%@rQ5xi+?Bn01z-Tzu)+W{EL4RfB4ysdIjkLaU;6A zDCNK`Ox+$YnVEvXMOtGs^5sFrdj?zR`05}`*0Rd7Ur?!t+*ksChfgb`>TolF*Jqhf zZ~)`Z%zPh(!v{oh^#T(K>-R8Nmfaiz7(Klu0Gt^NDL@XG9C>mQI7E?d;0&ZEFq+`F z9@RmuLG+`jz)!eO1V`$rYf)6GT56CbmQt2c59TCKex*?=B>`U(+1i=$<@z%C%jg`5 zWh?7mgRBdM#6 z3nU?Ur0u7XO4xU4zJE&?7?baoK?^3#?a$L2{+T{9CVu!_M6;p7-?sRyh%OmY7~sCs0b}+3VgFqn3O`z$Up$>(H*Lk{8&m_B zC}M)%^efHFGzlJsxF5RE;^$dp|2>F+V{oZdHZZ<8;Lo|>Nr4%xpD6-fB>3)3?9todXI;bc zVjjAkR-}nFJ10e6i6Wq`%YSI@ge}E37cincbzf=Rg)OL^;tDJTQt&M3fhARJ z5P^%k^_8ZU3Fs=eOZwu7#WOz*+UNVF9mo(9b-bwGnb+*a+RkK%CRttla%g&vjhAXB z)wlc5W%{#5R7gOx&Hd2tm=VvsGe~x0;Ppc�Q@Ld-s3SlQ~0IMe%D-^+sW3b(CZ+ z*f_Zs^`C)s8v)t)-UP(}83l^C!j{KQj)$vpPS4wf@Vf z6QDmXkHV`_*f8G^31HSKV%7IYL;~np=wilyrP;mX0@|kIxz7%M=|8o=I~dq^zvr<5 z?&w#V1&)9{yrowc6_9}jMz^VDXwst%qP$3GvfPMIj`_qm)dF5V(N!v-C!&raP)(B#x`GR1c#`K%#>p5Pc@WP&@6^zGCcz;MF4?iIOZMVy9~%y)W{wL zU>VM z*y}LV5#}wMbn;+#YgPuRV_2=md{d}|ihF$%eQH9?SmhclXl&l~akc062 zGzSq6rop^cG~iB*-y_pp7n({M-d_MOC*oLd4TA~wJWNiHBTjHDgDOiYAwla zK{HIB#oIZvTGhheKSIUT$UemI!R6?QTbItm&jvgUB-t#$E-q44h5~YMlY(8Cq%m;H zD^e>VhcJg_H7+OtG`z*%ShCX#xNhFEY;n%A$g5u(BPUkINI0WC5P~Z7qg*H5&N_gQ z7-9su6jxFIS!amhI({ z)+2kp0zlTW$)oYpGKT$4ojQ^Fw(0E{x;~`~6p=+bmUAL@*+i5MB$2{ozQF z$P*H3zHppUn1pqkKFiyDru%zGj4qc0F~2Qks1{&7rPQG;N&2l2;5Xibz@r57yHuw{ zZVyk{4OUu9lO!w}hfC49L(nm;TIuwg`vpyLjjD+Yk8fowFKePUC`Jq5B=80VkVpA+ zF^;;#nrM=`D4>w_Wihz>$i?3p4*l7%*zZKu0ZOLtI~ahY{ZpIzGn98|(pUFdTUfC( zEyt7PY8j?G1_Rogl>t#!FHjj^?d}^%Cd^v6Kv8VdM)oBg%@wp)No4{e3M0ulgWyu+ zEb0E#dDoW9SD*Yyir(d=!iP6~RZS%f9L}#!Pdg&W=39>y-?t;!m8atfzi!GHInT(@ZnTifc=3&Q8ECUe?p9CJZ3o+gS#CB6vBp|Wqi7qu@l?= zzv9A_=#hXWe43WZHEQtT(1Jx1eHU6@zU~Um(Tee6mrHfEXYyLbc+w8L$)2~IAQ56p z--WVYqn;P%&GVa=|9n9>2w734^vN!M0fCPSY~!8O#$0pM{TJf-KYIiJ3=R6Ts-K2t zj|v?mjn4AEcYGkf(m6rQ>0A?j>lc)|K!3RfD)E|}{t!nm<|<9crEN4SJ1GmPt%(ae zBgb|16&vy;2g4=NX7@0X=JmbjEMqf=AM#GJs0X~hI8CSv062g2qwo-_KjsQzij$sT zg;+#%bt5*_DOAxVL~aH}3xo3{`BL*h-5HdfAa`J6tAab>0E7YTcd@TD??e|i5n6iy zMm_uO1R$}PZ9Xb+1Qr^Ip?kApRIR=}dXiZbl^+3)AX_g1TqX<~q+89vwS{U#;3xU% z7vPQ4Q9s^Si$t*={7Rz%{*q8YOvUCD!~x>cef2<1pet!{;OkL*2XrFm5bRLn*~T-I)o~b*`a+8jIKz`OjS_7)LDVT;Z;F0p|T1 zn+|mkOq3Lq!ezVt1h_On%X*nXadf@7d~JB=@g*s?<2 zZPIQ$dq7Hhpa3)P@lW}^yS}o!C@(44=&XY*%@MEg%uy=uzMPt-82S$}LM3MEnXqM;&7+W_T{~SyD_bW^g*(03T zd?%k4nkFSH`*hG%M-4(SN#1~pT#8ZVdUb1JDON?uE%&VVono1T&j34p$pt_f6eJ$( zo=Edpvy>r5k5F0N?zO2-}Q>P2=NWtKvwmt#Dx-||e1AL-y zhXg}trT|L?ycSPSJ*D6ZTxtB1E7b_q8O=W&JL@1|MG6tbBj3J?6!299fA&@WybF_^ zL1|X1Bioh|sxx?Dh8?q7rW6DCW32g9=%II=YS9+&JPJQpC=tq4-`Sotd+9_cUOX9B z`0l_}HJjc%mcSUU>N{%}SnN1i&dYB`@2c-xW;QYYEIl-3*_}9AT~=Ac%<$^Q4LxHA z2M(IU^KmJkj!9mvdpnB5=>^?`YvLtqU-bu&iavB*wQw=_jR_d?cNYJA-wj$>cPRnl zpvv+2>MN?|@X0%z(^n1<#A|3t%ExeT1N)OfVrL=$nyEq}x^RSzJxy>jn6yrr`AT#D z86p<&`pQ&e+i%O&H%mqSu&km;#4bUe7ep#Kat!@|(Tx~d=j{JV4$7PYnLeTzH)Xw8$Z1Lxke6OHZ4rmPYYx}802 zGD$?Eig?fJ;mVCmr2Nl=_N$*hPB?q22&8vEQ(X13p(MP#@K&wa{m8t%8#5t<5&LvE zOI+cOK*le;B4{2scYC5+ zfcFaXrIc6$u4g!h1pJh~(F@vn+D;M$Z-H?R;4gVU`dRBA@2l|{xYvKVJ7AO&geYP- zjRYL~^o^dcG&^A}KW-wx7xLX%&-h`p{r&cs`!`*f<2~Ke$G?0nKQ#wygU=A1av=s< zYa_%+7MA_cg^Vzp6Ta8-B8!DD(hM9R$Up3uUtRqa7DMw8IPE5H#JS_8-sfFpYmqAv zkDA~(Fho3r{T&sy??t!%dCjNL7xWRxw9ZXzU-)#S>B5vephEkY%1i-b_!sJgH2iZ$ z4N4mYQ&v$%!^nuTG*u;9}KxZ#(6#Z|nlLT?$Di>|%>o z$&_k&%pfc|7D-&gVyhz!i98^cR znkE63<|8ja>}EF&#geIG4ftT_BQK+#2gh@WI8()YT~(}B9jPofK~mtMI7np=Lk(!M5ox7;;&wDit+ z6gsn-WnPbui}tlRv5U<}o>C!(6yl-uT~^-xazkji$f7B!U2RZG)?x+Cv)EX6RCMN% znm_z%%caeD{-P^+h69s#FN3(KP$Nj8Kt4p8hdbz(t`7c$f%VFuAFvZH^(#% zZt!9T^2$Tb70)*g6@;@0ZiRy)>jkaP00imXV2vQUYmIo&zE<3SXHH3Di zFart;a<$~1VglTF%yKZ!(z|AeSJ}#ld_%TCU~AK zQrUb12)pOERO}6w`{+vcHkV%;WJ$=Z#=wIc%MZZ#1fE0Ir(wY_*Q?nTNfRU#F6v5< z0njvQNjk4tXGE02$s|e%%k*5c>)V%hUXSp`l}RS%Mywp_V3^mNt6YY~{oO@Jqhfki zXH`+m+jBdij8^-G?5VlUvpc-IFlyNzJWFme8DFuV?O*N##Wvri>=4J0Fodj6Dg+xy zt52z zs%bDI?R3q1P*a(Xcyr%!YoX-lPO znd+f*%H+Ys6@rBEfLse6DoV`hNC9-<3brAeCP~5p?fE*k`GG;obOmE>`rox5Ddpe? zy=+ZAF09MW_%Y%{g&xtIp09m@p3j-tIytdsw7O=TE;Lc3vOUhAszEGip`s4cR9=36 zk6-?Fk9$^hz@em~SLne9loDborMMI;iY@Ji4P;~?+Npp>PdgbjO70s0Ykp9HFZxkm zSQQj3Z{k`2C2}=wJHEjX+9E`+GS%!ngky>%+iPV?>{~T7PyYzYp~~ugBA8U zOXmp5Fjud+XM4H2VPX3V;U!!(PspxfDOcUkn9CAfiM&12iS-UOcr1uxd}T_BARX+5 zhk*ff*PMx2%f>Da;&n2@_H5ovQ$skdqZiw7qI>Kofm<^Ck+n|`Y4}wG-47Qc4XC#l zfa)Ujq0lE98k(DQ4_N#S?xV^KF^~}lF zKaS@T3g0X{`Me0VkD!(zg%FPtN`qlP`-y(RVl!qDqO^3XI!cMo+oDDydls@_O+~U2 z`z5d;=y`FYNph|QcW9r2X5D}rr4zP5$1sPj56GVSN@Epuv)Z4J`_2P3;n&e^&$PqJ zTqs(zmjxmVUTmS79H=dB(8CF^JSX$CkYqttJ^2S&v?%p zA-~fqGmPlI&32hVhB=W7M8EYiMD$-Jnb%x_@neEnwM&}^pARNkFniY+}^Wtto45hWzD>763m8q5;!%}+oxLq zw?4htA7n{2p9r)DG_0s5HROVvZEJ`|IYet2NSwCr>z{5PA_teU24*O+BJRELxRHAm z)Hb~-MmqW3M;wZ@3kNT3V9USOVTQJ?gm96*2-q z93d5p%8>P;aPEs8R3Hrri|X(_Bngjd1GFR6@cz>RR6QyTAd+(+fv6tSy{zDQ-C$e; zre`lOprH_chtLOkzJp~p4l=7F3OAIuyJ*K?VYuC6cd1 zfG>VLGk^!52aPQ21`smAbhR@=+-ui zgX~-|2|?C`f;b1FNkS#9sF(^{USjk>Q?Yq5nXAz05{^GktC=u=`Bs!Rt*_P%;T|JB zhUj_3dBofHdD%xPa_w`7FF8c{)ds9N^L$$aStFof-dZrZF{h|GqR5Q;q^7ENsI+pr zP}05gVD&)fSP&<8wUlm0XX{^xnuC2wqJ+8|s3lQg0F!)>V-xr>VS_L_ z0j!`grH0Ui8IcDSk^OXH{j5!Z^?eilTp?pv`2Nr;`P9&Ep^kl~PJZ)aToicV)l-mu>y~`|PPCG^qd& z=`K}(TXX^KDC|bH1QT3)iuZ;vJfg?EAXW5km4-r9_R_VZY>;qUVn#(oV8_@%U*8!;Y}cg4OXxd7dhV;3f2%8r<^1Q8&`^)_2j(2bNKAjA&Lfoje{&Y zG#JsVILRW1#JyGuvF-+AXG0QhLsGYbx!6aH1p>p^h@z^H3!z)$h}yVF6wPbUQtRGF z(^AU3gm{orkk2(SHOM8>!BD2dwFEQ=1|%%t89vR%UDmx8ZQ z;&9d+a97R25+CP)rEF4FRiwz^d3Iq>DGe!kUd7n49XI>Px#v!`YGNts$KUe$S{x;T z6~MeUgprIFc%V*n%L+0Kb%OzMwp25wKqOTjov_LZ?cTIvX<~kU9{UnHe|y->?z!~E zNkw0aV|xpD-x%+WD@)c$ztA*ET2MEg-$-dCnDds)y)swk>X$qY>EkW)%nWUZ8gt7p zf*Jy;OgZ)MZz}*m`-@Z;=*%ofTPK2kvV?&?fQQ|rcKce;9|zv|alJTP6#F3f1SScA zd`BnnIzwjZxVn5%vEeR*EZ*u_`c?Wx01WluJ#W140eC2Mx3(f+K=#O=I^vz|vG;a* zGZLfMx6i+&hqw^mm{vp@qI!S{s-l7)&6lVMLVFA)2QP}%vD%yx^oRQE46e;rX(eZ> zr3s7f^B0^s#1-4+|I{e7$jfV7ADoKag9qZq?%n@NbLick`d&-KHyEK;V2boulTu9p zy6vl4$-IP>uCZ#bX&1kQ$&f1aiuEjp4_@e2vTZ4H4j(dSn2N38+0lFIxB2l_p!1~^ zU9BV~UB}}G06gl2!LUe)9HwaH(wH*RY^(}QGHaho>qxK;$qcBxoy z&9z))je^0Dup5IZ6pc~U@pW8md?;df9~q3a06vidDIfTnMB*-?4I;&c=(B#oYMta= z8_VjC3Qe$R!9) zRLIMu8UYBK7QrzoAerPsHK|YSF|NOxZsSjzyp8Jgkq2uq>#HavJ1>sYdfzzRWtV~| z7BFwrf}A19Q0AiW4hbZbEh(p{C2w&$vkD^cafxbCM|n+zWH>a0>Xs3o#}qcKDA*3o z)K$$u@h$RAjUJt6Vkau^_6OP&JWCc9-{^vMLVB!)f;^qAlh^}>*Q#o_0F8*pSigc) zP;ZdUm`Gzwp$qwWs4rPpRK&$NW~tfox%Gg4CwG3D?As9a8C|_M&-TSWz}ip>h&t^H z&(lbe9=NEBq}xl^^4311NjW%-)pQR#bPzWrQn?~3x^ge1(;4Qv9pRi}JiN}JahD?o zdVBjcl%2aEd=hxN9PnTuAL5V;&HuP~O)?`Mj6CgBzg-doN944>B*jj5D`*jgB3%&$ zEp$D(e!+c->rZMHv+>MnZpJKj^R>Vt4Fc~XL>io0xIrw*$eW;-5*|x>UN^sj=s|R| zUDIH;tRbuwP2D0bF)bJmbA^C~Z)AU1&8(Rb7ry$TcnrdD#h?d4cupi(Q#~fAp4Qj_ zoxDjn%!I&EKVYG2(Euyz$t5viyNDlz^XA6}h3nO_TI9qv{3X#Va{4fu^f`%&uxrJC zF_^QTL&oo7hLO9myJx8rUulXFR?bl}x8cRFL;vNHJ8>!)2x3U{27tUvDk*EhngbBj zr8*IrUwBVnCNcKNq!^*sOY_Z_nym*U7xmoMp-rY-;XAtNPH**3H3EfW2U-b<>jw=M zFugERJGeVa!KK-zi70|ufg6F_c1)V@hjz0&j%>tfj5VV0bPQcxtpxn&KqbanSI79= zjl_z*J8RH!<=x#nMqZYOU-tMeUS!w&=GI<>5v%J+IWOTL3JdkjSDGX3I5guH@cYIr zvcsH4H8C%53zW}Gpgt~HqCT^74u=`DDVRFUY}*KW)sh?TT*9z1r>;$RiE@E)3(?*g zf;~`IM<2G)g2L%>v*~5OVvk8z*2vxhcXXjEBgEgIBXOxoD>^EE3uGv>-?&w;5Ya2f^+6S)I5{xV50g}E>yI@RNmXLi9=oZ=JCg1+u)K_3N<4Gq zp)9+o5eW^|)}1CGi89^OFBEhsIAUZ?qFfmwYdJ;RJSssFYQAF@y5$g=1t0AaOe$TM zY!XX>uQ!et^-I;~dR(_->=-;6y-6duIkYV--q(k~PRj?`FGb;W7&Qn!>yd@#83XLF zQTzI;v4FUeC$*12;)~5`dCQiQ6WkrKAB?q*U5w?_s%Ac0eVT)x-fI**7gGfEj$8mr zx6pEa$2xz5GDG>vV&7_{v4LbE-ABlYQkY~SAvi`e?m~aL#(A21cDG-zAH7nfhf9;a zIk1%^={i=KIIT8kpZ(GNMm06>iCE?XEcA>=>xpoIXRo4kYRTbcN49MF;LOnoLCQNE zlznP&TvP;3YgkT*AO%)g3x_maOV3}r`)Y;tiTDRo&Q6!n^^RDUIzPfmr>lOU+5B4C zlv-kNXc8oKu6oFJSn`8+p?BcPoo;e(HLrAa3ho89y5Qvp$`@8BOyv#@n)1wMyJH)+cmGsp)wj(j3Oyx85W~%qH{@dH;A$FI(o2}gHu_F5Oo!< z>cJ;NA9UY_kEXS$2;V6L0dVLX079xIg14DZ9`n?OH%d@FIlt0yF)uh$Y0_}PF&61$)sGkGXuFJ?AqiWxc*0mh@UoN2 z`ooe<=B@gKN_La_=5RBhf}=$R5t*7^I{W2OqG&8u_N>ON;M-=M;mCnbE?03&MjCM) zc?oQ@?nqo;x;>?XDAiMGKAPhq*O0k%O}V4`)uObCexK70AwGDc1P5n#>1s|(idkDb z!`xVpxuD{_)R;<&nvRVB+v8CL3_*q=P=yUr(;g7imYdFegXFnvX$+Ae*>oK{al%mS z8AG-m?kz}HS1x<%-en%v$J7zg22AK41%VQ%b(PgLnxX&P5x6%--P)b=;RnJ~HLAL3 zZy#mf|6}j1qbh5Xd{HP0sKUK)cRRRC;SPnnySr-vg}b{HR=B&nySux)!#(wVJw4q$ zJ#VhOxof?*`XA0_@7x)Y5s{IRJAaYM)eW3-A70D+RpCQ_RrnKeGqPIrsYZEFBg&c7 zOaFP_kO^n3H~sTvRSoXv9pDK{=hP;^5nnr{J@p9~QM8m7xz3OBnAXp7Mel3>65wgh zKeT;R7n#VdeY&YTX2Q;|ZsH=0%8t++)tuoHLb=cePB0#O0ZflrS8d+Z+7i1?*LmkD z-lWV@A|otP?6)`V!x8|}Zbx&HVKouPDNFco?t+G!&$mYO7={}z2{G_bsDM$_7vM}c zULU26KXmpb8R$8+j$J{=}M{r}i1|?k{b6$=m8CTcUdPDvl;d zh**}r^C93`vRhO#8h_ZaMZRAn>os0G78M_G4Joty9fTt=;X5^L16p+b}jXXwevmy$YH3BoAXw= z;TCO`k(u4?PPxishieE%ksrBj1|9*l&gp7B;--{ixwAejhC2sZu>9yelG0Y}SX8yP zEM;%>G&sd%T4QoXy_qqxvaG(cB5b8}1cs6jk_Z`Wy5k)K+^1E`;wo|P$jjt$TWUgy zQ=*(z+eFR$F;(rlFE4-9d`Tw8A=Gtio~v8m+Lbr}51^eJ)`Py4!A1nYunCUwiF}EF z34WP;DY)8CO`;E#STZW zsI3jVTijiZRHdrI{*v$?H2v<%_+|?^hO05v5}PCbq?&rw{(_Nf!eiDB?Cl=iEWm?k zyhpv%=Fa#1#Ae11&H%&Dt@wv|AQ!Vr~GYHGb?7-fBBmdi`uUJ&S{hK@D;=R5F zuDN}?njp=6)AiE!-qOw4GYWVSc$Lh&8IvZhKERs?&I($on9l6~J0W~Bj&NcA6Ks?+ z;}#c?&G4Bm#o1mSZ(5J$U#|d(r(EHC+5lHx>AJ}pSmST=j#8v&>R9Lr(AFht0@-GW zCB97GdX4eZ4;bw;SrTR04deEai3~mkLvyBZ$v&q$!MKGXp!et!Abw3okAbAZW_VtN zJmh^Ggnx=}r)|F|pX0rZA8K&OJgWZPz21sgE|0vE;ctLbhal&FEY}|>_EyaMsKk5p z^S`H*%?wZ+;>r-#4*`z@KoCO$FReGQ z8Qzz1f5RTzE$@-dJBYOR7S5Bzn;q|4UgU7*3)OAriy{5yly}kin_}eCuNJq=TQ=_k z-b=Vw65v7z9`JKY|L*u3>eKieL(;_|?<|l!W&f*!7Prh(8t-)GL!eg-ut!G%7wYw| z_JId-{lTB)f8=lcMF9KSI+2&Vo&Ke<^bvU^VNK|2Jkev>kSBT%)>>x1R#WK=3u|Es zI@a2h_Pb6bLHGiIA`Xn-T->mM(bPtoorry)#}_mxsIsBDpQe1Un2Q->!#1gp@T;$2 zFg~t3diWdQCkf@0=-{0MQaj~oiv8#!b;tiEKo#EEiuRPYRKn&9J(o~NDSM&X^io3+ z4YQ}A0@dN1T?GmQbRlwLJ6>@uk0QG<7B1>~zN7b3EpUjOvd9E(JK3WJ>6JYD4s!Hc zUSd_=i!3~Z7o0a^Kp&}8%=;u2`!-m4`Q`iHzZDtO0{6;T3_P#_sEk;k+pMzi5ZZ7) zOxJ!ZRr0<`C3}t4-vWTOOCG(0+?oN6Gk1~qBozz%q@+rNTE!NVV^T*3JXOmPz2CX4pEx>jCBP~V_&bw)4bg8WU@Yzo}HG^ zc&z_iKbZeA(6WTmR_}r-q!tnn|BWhk+L(=@8-G3E>q~gWc#u&)wZS|B(H_OsTl9|h z;|L!0GHq;@>-0cqj@rs7a|x1}^3bOghlXf=ncd?>ePLY^h#Uy^~@gjgBy0E?N!WcyU?+?w>uf896%aOeu1zRWBhUQ>grhJm8qzeiv@8B z@>OOZzrX=`$W_c&T})989UVDILY$>WyRoe3|HNXsLqU4PJODsyhIEiQDJ z^B*drz{&ESd9%BIi8|MioF}`df8?p1QvP|7Z7SN$WajSE8_fR8 z!K15V&RdG2Rt8GM1n-eIyDKo5&5OJN9&Q#IJo)JTW^c(&tL1TpvX)seo{`74-#xKA z92u8*`UFt>OI12%?jM!Ut~0N5c6a9@pG+0qT=;OZ-1HxZ$Dg>Vz4N}jXsGc5{!Qc= z{C|qP;Gc+G3Nxb<^||FAxZv@`+1{8E(VGEgEWxJiF6bRcNtI@R>h z?s`8e|K^p)qYeX3 zlbx`1cZahPty%=jW%k(9gu_xXNVyt7%Ola-qinZnzM<%X?e`Mg+2FOx-(u8`~qxRBx2iepv0nXJZBH?0RmAtP?yk`Y%kGy9#-+;ZThw~=!Wykwf z0xyvl*bLr>A^q;$cM!$QmtwqIS(pEwLC(MIdDuHm%f035EPemiOZsj*l_}<3bor6I zNmE~5iMB>tpf^N;r8TxP2TL-xp4e#)%$|TyDN1Z?fF%!f90>(75^GS{bcRv)*B`!v`6u7o%DBiZPiSaY*Ev^MKO{S$NV6@cXjpmYr) zTIpw=zzo!0yHwi7FpQrU2G|wL?tTxWegcDe5aKmTM@}2iDaF)><9mL&I#+NBM4ORG zb_^xr=3Na~aJ4%SR4+%QntqHhM$94Y6N{t;G2iY3>|nbLD}bYaeY_O)OoKdE_$Rt& z`}aVDEK>euz`G#vMW7uB`5P1Uo+*60atUw%D6aWZh^z!5bz(xFaPHyfuq}h zsPnDh&mheD|H%Td{~H6Cb}{cU5g;-UbFStB z*CSpsSL>lA;nrO9r?@5B%0lxm^MLI2&cbE&e$=t>d=c~*cav`Rs7Z4n;+@S@8QIGPoXtkizt+k>wb8Vu&FwBW2g_EoT0kKg{Q3fZSXC;}!=0 zmlEdxSHiac)zt~I4)3_YH@naqnD(nY`4NcWFuXjOxJ;$n1|{v{SNj{coATnr>+|u* zQ{%F`#|f9pH#to8b-zCPvNR1I{Qa9t<=7#8ytcs&>=$)g7w3KDF3($QrSg{-k=Of2 zV1Ng?7x`Gg#Cp>2Y~*qARzO(m`7|E)4x%0moFfBgzQBIU{0_450vzd>HX#=y&PE8LI8G(CHup2%zt}c3W;T6W4J>OI?t!2mkVk{~8zmH8%b$KKTD|jMT+TKmM{)|L{DJc~vnzDsWA9ykz`xChd0>c(pC@Xhqb&ndI_CAhc%_7jII-L%Va_ zu#03XgDACkb2;Kge64}{(!LGz4Z!-o2n7peXk}pUU}van2@AZk)-!`;XTYb!2VQV- z0VEBrj2%qynds>N!lo7uhIRm93tb08K|_6O14AC3zq|(}8k`o>X@*6Q5OD4Z#Ro5* zO|=LId$P5PfF$m2Lm`IW2Cj;^tXCUD8NOJmT^b1drkK5?xhy3BM^NuA%((u7Y2>x& zN?`uA$~U}@SDfPR{IY0>~8&Rw=JRZXX0!NRZ}0UkFP^`W#xf5 zWt=)+v_s}z91Mv{qC?|@^aQ)SIWc2`@uNr;+|TcGKT;$Y!AsXh{nWnHvu48Apu{_b z9zc&R*BPF&+v|20xMo}rhK(Dk77c94g#H}1@Q{J`baOSUj)o0La)6ze;4wt@!gc_Y zg*cVvlz37pS%H&q%Y7i5e(%l=>|vKlWB2ZJ#c4!-GqiI<$BD@eIR^Ql*&xG7b*QK< z*oIjhivD!7cnTR)J(wy*?s~Q1+zFGa7~}UHg1T=9m`wg{kUxT_=(`&vuduE|Ud$KE z&%x@yI+G?vha&ZU?Z`aG3Wq}ocf@xR71k@D>#~xZGJu;`GKv<{d;;H`YzWui*k*j0 z7<(tsUiiS9CJ&HFO!1vA^pm>BnId>c)d7i9{y6+k)yw!-_3}A7m{{A98#vlKm|EfE z+nX4g8(LUU00e;M-~fEU2eb!6d@&0PU;(?|cQnZ5G$`=dY3V2cQicw?2D%Qq_8CYo9*zg&dS!tP>@EL)BSu_Fij(QHiE08p`G6(PhJCLHB z7`d~vGp&oMg{hSbt-iG-1wj7yT^=3)zqK7uR)0u=PV-N~e+c1kDF2qi-%zG!rl)0P z!e?Qnqh(>hr)OiOWy5D-W2B}32g-k6v!J291Gxx29V0s(9X-WAC-hs+e?y3Yj)|5H zNQj<^mYEHofr*Y5s1OzgR$6+Xrv9#x|C|&PJv|fKe?scF(*A}NJv$pM3ky)0%(V3Q z42(>)tZewqzlF;7KSzmzdmObqluT>%@#{+kpNkmCQW_~@Dc z6H0#?&!40OtSzkV6!lM6Tp|g*;(t$8#<^1z6lBg6bxM)fPDejmkjM3ObzXU zwMhxkvjZ*pcL$dP78i1H5Rw0F|G-Bg@<2*#e{?6{HPCpN{!;4St?kb~^Jgpm;`r(5 z=@|Yi*WaQnVT~;U*LGiiunkjTh8lXyWp&c?6%E3P0F)h_otS8`_(W8kST*GBHRff3 zn>z+!C#J)P*+4lOZ@pw1-k8a&5wDFMPr5ynD11LNkO$&%z8_@?Wm?4^Z@fH^&I_Xp zg`a%;am-5=b38LZCiPzV9)6kOc64=iT-^-Wy3Hy!3O@16cK`74a`XOag1?75&!;tl0$G%VuljJV zL;4o-LEEdl7Z?c>l_Yi=(l;#2x*S9_NBXuBV{F2+lBt)O?OXk;cm7v1EXwZvDDU)7 z7?mAgX)@$3nbtfp^pdyqN*9ldvD(L%g_AM_krc~%cdU1t?szSf3Ykg>}kt|MdWb@?Pamy^lz-nJ}^M7E;k zvC3^BqbDW5l4iLpx$@%H*!<*C`+T^0bY~=tosYd36~xFOgS62TLL>MpHrh@ zhQGrSf3{xJ@2=a0(c!Xrrtc*B_(%2xG1#4e zOboq9SQ&+aeQFhm+CeYctUzl^ujO*Te2AAO!C-uQ|h%K9Km;z1~1!{gw?FW+j zToXH=+7;%)85iHwV6n1+Rbk9eRk7~ee6Vb4QbPms;)7LO#@w>G9&%drcIj+jDiUVx zpX3=8O^U$D^aAHJGz57zmfAlS<-hFb(c4j|VmCPf=!IuLGUrR1d5Xz1)Eg_3*4#WD z?E9I{Dvap}+NDu}dXsWS(7j3woacpkBT|ND%jjeBMr3-yZ1>tcZBic{JPpfc1{Wv| zHL&>i9ck9yTZN^>6d$WGDWW4j$#uq?T`GBEXqKv}7V=nvA`u;xSD!?tUDR)|tFumL zF)t`v#`zLEj2BZ@HU!x9M8ib%qqKU7mw*ve9ArX))C-0hHUvSn^ERGXBOu(M~yW@9+dJH{gx%@&uYrTjYdV?s!Q z2OEKdh3AvXflzOkEkdY2#!fV9^X3}N^zKO0cUM{TJle3<&AHcp4B`~}_9CMcRaX1-3-OXZDYhQ(SuU{AuV%YUVIOR^qGQ>s=a zAupMlPg@F+C=94pTF92zPa(C6@Yth!4EH_jxVuB(u#&1$4_&8NJe|L2p@Rfdl*oXDSo^h<*~P*9P#^dA5-@9w&pL+ zs}g*x=T;DU7`z;jRb{^vv#aB9%@dH{ZOy@U(M{_SVh%G&h)A>2h9j?8y0rCE$qt_SC}b4C=(JNea$v zN=v};$*6VX<^&a*n6m~(!y~~NpuTKKpW&Lqe$93s{oYAKG*PrYS^L4YI%?))uh z`<%jrr@(Nx??I{<1f|`&zZ{NYB~H;#?a!K=#5*6Yk7k%>K63W7FS6sT8Ps#JPGmRl zn%1>6wkHpKdmN&<{V}5VF|P69$JZnq4vrB=9z&!7xrztjnfRldw1H@ZP06yKP1g28 zn6qjx{>ITYDIzZG^@Cj%Ty!<0!c2R;Q6=%)!jO`_=WZQ$IM7qeDY2H@)np;s2iKqw z=~03-XgTVc-F{55Pc?#ZHVegHP2?$z9^16Bi|om;KTz^qEfoyBC1f8Qq|}01 z*h_9G2Q{uqmzz>;xeP+acx`e)K4_{r{`_IJ27A~2tfsE@*YO5WVJOMpLB|NK&nB%K zN;$%h(&CeZ)Ev-OAYih`*Pu|3!CG=WVVM}TkxaZqWivvD*Fe>>FdFn3Q=qrn@4_7i zh-JR4qUSj$8bSZCIfMsuhi4E8G%I)%Dk+B+&uFIaI(^x zVJ~*MCA@W(jEv3}y3c1?QNmpQq_G;c*olho>&S@eQ=p+^*X`T<)4bm!`(`m9;UfzX zpFAIwxizbB84vBkebS7l2g-Pm&(Ua}n_6b!wyA0M3W2L}V^RLaU zMbfvrcW8msmQ8vs4p^o!y=?eF^cK{67~6`@pMD;w=CBM-2O!wi3i4Gs(hq;nBJ)36 zAoPR9(~SF?$iq5vgoT96P_1wq%SFJ!p>Z0O^fAp@vV=m^kb>ROe*)I(GYh()^oT@w z7nCr5N^3Z==j1rl<1NRqjF#Hkc4%SA39ym`lpYmlj2{s8G8wcn+fzIK^YOgMM2JE z`gon2Ff@*`Ry>*U3vovCv3TZv8f?VS^vp0iDH+Q4PovRVL#2wJ4eoQ-y5`~}ue&si z=V}M$B;h@!m}&|C@W|1#;H5x4v9Vk`YN#&nRH=rpeeE(ef4v z3q~F)B?l6qRsm{jIU1oj%=J!0Cfo(fg!I9WMnK${Snq0UXjNO^!jF2%g@HpSiuqj{ zr?&IGpcyKLrcrjIP397?0r#1h7^l0Ky`yKO@9c;1W>DK}ltIzk^}YQJRD2W&UksCD znh>@dDOgwB>YIERl$FKLF%`{7fgh%2{mq#6W*Vj*@8SppU8TweYqwd{Hae1R4C&HA zHnbPgbW}rD+jm)Ar3w@d;d)%XD2nFsIVTZeuL?w@(ZN62M1zR11t|F<3Sb7H((xfK z2={YVW;6S2POVcR*+BD{)#&`ck%>y~v&>%6$1E%2kobMu2u}`(f5bpR;-Q1r9Qq0y zMP4h$#dDyUvuH9&>`@xc%QTguxRDxc?Pr&>;!&8SU)>_(crBl!Xd1Uq0iRw$yPX=! zSpq_&Zer8VK;K~tY8ZSOPAdntf#pLbgoSa_J88EjLSW1NjZUJ2lJ;B+Jb?5};6ajQ zbLUMHg_cIeK)v`Be#m2{H(w{bee=`0iNgGNxbV*|y{^e>xP|Sw7hfp1?@oggb8NaZ zE{@x<$-T)f^y81RRgR6dE_#W}RPt{!0r?!XeV@scQ1f#Xf(`fS?)$N;s!GeA4jlKU zM7u#rEN!ZW7HGp;**}g7P({3i^`2M`^&on=$0ImDN%Twgf;JQraqziNBt))zw}A$q z81Q+3mob3)jQ*(LQ(FvE!ASQ?ZQ9MCjU%ft_<&o^Nk69aKC>MJu7S4SI}Ke0P0EED zWSQlC%S%4aV%2?%@T41PoB!d-67%+`ulb`*4A#w$1-qIQM2C`y1wSujm}#mEw%a79 zZaZY7X3WVM{hz0(ewkbsw|$w`zT;Y2rs=3RIZ75Qko`1bb7;sPU{Q=nI^{!F(8Q%9 z9f(mE>u{4Rm-QaUBR0*3WUtVP0rqQks}rSmhRL2DwbwW@!(3^^f;q5`SXdf#gte=n z%xr5e7O(Bp7Lu3gM0bsKL7dT?5QBjtiG4>L@(XPV=%tz^`=2Mv`qpYFHA+n9p`Hi;rQhWbjBaM@d=X&e^V6*e_1iY}I5-ajKdQ zmY#U6*H?+(En8m3XC_QsHKzU734Y<6RR}TYhF^<74+&h0)*DYS%qLGxz}-#8^+pn& zp}HS`Lar}B^rZVHqId3$j{bJk!=tAnP{m+&kF}5&AC;PovLs+2@ID_#Rv}q9`{446 zk~Ap8=tqnkR6aI#I+PR?KJgCTkXbv1qZOQ!l;Uh~)gnYS8=BayE0fXo5RXAa;=X!8 zpE*TzP8MWkV8jXX2Kit^AEKi;h@>iN$j|TSeSJu!F%~F++W{{!0sUakgLOYREu{3# z50Zrruc|zhQ@-^wcc{$liS-!Ata>>cR2UHncSGdfo-kL%ODcuM=LH09{1`IG`CeC6cJ(-9X>N;o5uY<}++tL+Aq8?(?D@zOH*5Wu;{XjbQY}DiS4)x>Y2HcC ze!2)hu82!(X8)PmMgS_o*Mc7ggGm;FX{6BusB!w-yr~~ViFc5hbVYO#KDc6>>hTN& zbUP5liqYre{%kbwrQ}u{2$Tk<2ck6T%rk9)U(@Mo7u+BKw|@E2@5s+W;CrjU@S*xE z>JLoKcA?Hk67?*tqdI<4?xG^z(ilu9rpdJ?td;Lq8{R*c*jYIx4?G-)jJ&`ZHm>eTy zg%3{=Mlqo_)IgDREct7VP4e#P?>fKTQB?i+~e>>N37kb*_onU&atn3S)mx2M>7AxCTP0ke2jWW637ctrR z5T|d@1(8qixRJ<{-UQILI4e~PGAyXqs zEG{LByXww4I!HsSPLFRt^FuBO55_VCuxNEwF*ggVPK!^#B7>lrOD`_(cH9^CBcxOW z%*{wPC1Evlc>jE8Qa<4{u}o=&Gt7D)U+VP7^)+Q94Hu|}G_G&8(%H-HnzW~JG_A{M zsWPM7wYU6qNBVs14Myblcf9j=MU$!k-`eap;5!X)BQQIqG*>QEiI`gDVXgm zHHU9wVs$K@Qv*9&scAQ#y{IcjqX1cEt)zKuwiZ^(fn;tYFZ&&J(;jZEADeoHJuRj~ z$#A}M&N{rdF=AmlawzICe!;1ukOiN8*>%Kq@*p!Q#WbX`T$>h}?_e>h##tbw)?!G9 zlXO~?*p%5yEClXMb|FP!*#L*8^U|*YRl?DHVc@w?fqRGnO{j~6!$u{`_d?|pE;c{< zXO;M86g>4arqnynw3IYH_fbTE&shf1&b;-1!dBSceu!I%aSn1B6x3+=MX;?`k z$%{|M{6gEH+jKVqy+x{>A8)f%#1o@hlD@xQ_}u!xiRw!QEF~U@Puk(r?k+qBXengz zXWE&Efi;zp=wtG;=kOyX38dLO1jdOiyH!TTFjHWPu_zkkj8?8KTU`!$)WdOF~9ft*!GNgUWO7Uj|Ws}J17%1)+fSMXQl?efC- z1c6&MX(BG%TBMGmnU4Yfz2M^#lKTOS4f^0x7V-=sI#U>CC28;#Tj_@ObQt__`6Aor zmDYw%`-qYeA%w)iefYgC7X~19{;=|pRmBt~;h1n{(5kTo6y#zt@Y$VWC_13K@>d3- z-62WB)CoO;#t^mWEmcEEBVCzPLr3y}ZmP%zkxykFw!lp=p7aDM+~rkn*6(0<5WNq3 zd}%5z`%opJZP}(X1{kB%Ik)JpmV;m=4#6`BgE&L-4jU`Gp4`$Y)Km%bPnws#? zh>N&acdEsNi^O1C$f*L54jnV0(&%dyEjLkL@?P0ngjs z?~ixokrvPk6^lE@P1iX}Mbx!d&)P~;4zwefL~GO@M=l=RyJf`PlS8e~=TtLKfblss zNeq?47q@3J(Bs;e+>R4RiI|y7r8ZW-*)wkyP?|070?%8W=lOVq=jR!{trqpinH2BP zp3wPEJ>~05kZo_5%k^u@BvO^PBb9iy`~j7G@N!U-U=v#?kptXILZtFCD`iQl1fT|t zccT&XFz@Feoo*dNTSE|y-9L&hve-WI>nr*0hd>)F?Z7FIt5#JeJ?x-Mgts+JE&sv^ zx(_=#B>AalG^Wg1#?KtDk-V~rZ%>igNd=L_Y=9LHa^pbz>`|Ev0=an1Jh75ld#Hl#Nt;3;yz8w<4Gz0#v_Ts*zggeN+)K&dmgyjAnfkCgGt)kO9@9rKy|y zO1@x)?3raX5)}9#gV@?+w@vEkJ~w;ft)`Ds0A=OsE}@+2AF(vFJR+0shvR%f8?341`&H84~!zL_Zyx@v8sj>dZ>^H{!_$d_cD)kd*sy_>y z42Vh)C_ErKkAQsGU}bjfJ3~+s9s^0F zm+R#E5U6A37v3g@z!?N6LI}E1QtW8-`s{SV=9=HX!;_@CelNkkk>D496J9sCb7ybg zTW0*;%VRaPt5F&={aTC_7b1*zC^>{Zb12wLR~h74^}dsYlPU*2l>g4gH3w3RArV4z z;so>IjO(P{XX|I38T)5r{sC~-AO!pMLU6>7yZ%pKJ=pZ=h{@LW1C=Vm)ZFG=144`( zlPJHlpL4$Fk67Otm=Fv$oO9B$W1$-a91!lU+~BCC4|DLOuAhq)Mr|_41P%rDU!HBC zN)@)h#?5%-@|rT9v{dDvB~vu-$+(dKJierdQGq&Nd|B1(yu5zZ!)T=NWt{WCjn=au z$uw9mn44S0%Qv~*%e|y&6d4kF^*EWsf4ja?V97uFUioynN^$QXH0U`xiN{eE>}~5C zYqw1|s~l)_@zng#phXyrG~Zo8X3Z|qk6`w(jhOn@UFqH zyU9Bl;W2nNw&r;lcMeR@+7MFy!8Llkv>93~wmw)-F%3=oFiRBt^H+48<|CpEeolly zWtbM9aj2!t9gIAx+O#5)?Kkt51tY4S+0;l%+!9RaUZgzNwUBMWQ>(>h2@@(S@P^~A zEdV`!8nwvXPss0GdvGC%2Ekzqa)rjjj}eNYtYuqD=hk;`gbn4dk%AJviOz0n-V&d_ zU%-u1w@t(5qD40DEnk}kGHL|jrO$;dGFe*CTtrcu(JlzVBC#i${;1}o4)+6=Jj0Wnr7kXjm2P^RH z5(8crYp^VO8IndPEAa-M6R@Ll6wH6ln^`w+dhpJr3A+S3LTz75qXk{Mf z+v`KOt8>Lh5Z>w)6_vN*&$rji^&fHTyeIwVyl>Bd>z}eo-67Zz-hoC(UYGBcnl3!0m|U@h1Nca)>A75F7K*8#c+`74QF;@jrZOLum6 zNi=s2Ncr~$Q&_5~6I@m7V0QPugZoUyaIS9D+_2e*=v6SVahx113jtmX{!@Z5=L2QQ z`4(fB{X(<;#_!6sEAMIvY`(`cw=MT2Ii1an$_E!y*GCrcCuBub;eJ}+DMZs1-A+-ca^ zbL3Lt!ha86GU>sSoWVQqOvrc+9E32Cl`<@PN8c?k%saheBRKGzUnLwSJN*$Ye zr^N_FfhdZlb(yHX#gpNb%&h3J3Ib11 z*;bkb{bcR-k%Eo~F6A$fl*6c!EL4;H;fT>ehT|4gOSmZMhnXehBPb@v+tALH4Ab*( zb-n5Z05?cP22udr`~-k9O?pY(=jR=101N$QA5Di2j`LM?^5B9d?`<*a&??WJWnfc1 zqSBaAush9|$*a~SCWqiheeVX3WEFWZ$yMI&&5&0{ZN>*b7%3AFkaFs9TS@4FhXE9& ztbDGNsFh95V)F-wuiOPsH zH+x-(wNK*6>Xl%0t(>_ZlP%iNF;EcgFA9ysdpp{G=r@^d9@ zntd122ld3C<>A+KMQg0dN!dJ(p99|~wP+-)u#_EmwI_##hq4x3h&WYagz_EQ!-$p9M30I}jsnisQtHE=J?P1#M0@mnYN+A+x2G z5=%M$WP9A03$`s@ucybJC+@Y}-wlN?&^yxc?(^ad!c9?r!hziq$IxBJgU7%|&Sehid{Xra3Z{vUu zQzUUx^v(xXKNPd0B67tkyBfL}EAVU7UE#7L{CivMVz(YU?L94*SPjqE5sZRM>UYB1 zRD%yMm|Lys=*BU0IMKGI9A{jQUhHPqk`RUEuI|rI5c~eJYFpH<4A@Mq-Zlrq*(kBA-C9&r zXwbB2`9g|sr9^o_w~r3@{5<7hL4$gf_=38s_xAB4PBZW3J$e7*EWQ4em_aF`V+}}YFQ2fsvdxoO8?Qq_&LLcPo4KEZ?2;FsjPe3g!qO#? z$EaxbfcU3D|Cvwm(@BgU{jDuhK}ZZCr{}vXtm`3kZW>q|T@E7r8oh<+8#M=JbZdwQ z#l|W&22Hh|)hnLnKVn1Cif@}@VeGF#x_f^?87Nw|*T|xp;fv6=mm@G?%DX1X(*lv;uSB;`)=c0};o-#zV?E#HgKR`zCQAE^o+ z2=E>Y3RxP2owoZ2cUmv+L7gy{Y0h;buRz?4kB6E1b%NywpkbATr3nj6dUwn{cy{!8 zdhA>Vi;J@3o=oO7Idu-P)sIs0*OFv3a@aoZ(ViqxLiNI*ZZZw{ibiscuL_}H82@-Y z>kvh&Gk3TD6#>GgdjyIBQ@i2?a}Y-F>+OtbV%0~`OP?*_M78biLDR0rHAZ7-^|e;V z7r|ie2B+U@NPTVL{mbXYGJMvS(V>JA_k<;!|5ZNNYJ=U66NXVWpkoYU|{bf8ur?Okm^RxmxVH ztm&m1lhnDOZG^9(aH!&zq6RDe!A)vhlRRq5h!d$CQG*c_qLYYV-o}nd6)!3KP$+Za=|CxcL%bG)p|D)9WQ~GPbY|=zvp9LO(fXA$!{@lr@-(<$*hfEsuLvP& zt5*ZjL5yf}!JI8>aT>P%8@-2_1IZM}MWX>MT^8J=EBTm3))J9t3#@r!z-V*@3WeI-~n>I;r$V133@@#%s`e7a7CFd zoT@v+z-jgYgWHIMWc()WAjqN95r$1s(a4)#JZ`>)jDN$>imA6NzTEpI5M3{CXRq+7 zq`iIMk!w>}Wyqswezs7VsOf3=OWgTk!#&}AYWB5B(Rprn|1Z_D%w$MKXDoR}&U3In z;9k?2c1kpbZ}!b=mGlz@n#<`9vu5a#XN`CxaX}yR7q5;7GhYu3oa{zBSqq9jSN3oU zf+qwD@Ka~1^PncTQRzhrgWVEV7JLy7ZK1O-j&Y;ZN(y3pCM@JNCMSo)gtM^M<2dbA z#HLcwuxK-~{_%51JxMn!NIzb3|7tKJFjgC_FvC4AMdTokZ)`3ZRVRvyMO)5Jauq(F zYPm7oLw4}&m&oQNwkW>%N(T$)fMAedyqsg?!u1DhJ8S6lSgVsNhW>~-A_iHnFtLp6 zmo!}YAtT90X>x7BC{;GnF5~TT=>oPKkN!B|7E6YoOE*7>(HTkCTxcX{*r@*0NLXN$ z5wBTuQ|8#E?MRDp7N;k|C>#6)#v*euAQnT>TsV#rB489N)p|2;uce=vsZqHUVCL^G z#iLD0mr%Dv*Ov-Qbg+Kg>Y%V)PjL((7Jw06Pqp92iStMhe|q8$&ow;o@hmqjBYNz$ zwJ58Mj<*=#yhUr#`gwix>wc8}GD(9O{|n{`@q5)tOVbCv6D)Kv-#C9Avt%O`sIS<5 zYg`T@*M(S4IbKF2C>~wK=*kf@ssnK-Imro8Ie0>i`c$(aY-zgU*kivaU39hZ_);;`qJ72)kYlCmCj?hh@s07>H1K_F4EK_ z0f#e&NQN20@KmbfEPsOtMH*$+zCbq(_95`#0<}qxjKWxc&kA@xL5KrBbsm5Vwa_WP zS*4Xq&Vcnz3u69IkgGh%Nbowc68gCf2=*OD$3`lSrdMgWWc~IziR?kI{^&B!E2ZUa z%v!oKSE6}{_1!{acL+QvGM6zebA+E$^b$YRzGqEl5iXr$X-AI?yOJJpeFl~Q$NQo= z90~Nhum($fjtj$M3Mmll#^ZGAD%UL-BjZ6|ux&7R%wCtI#I`xo(h(miwRvsez#@wx z6=mzXFb@MQmUZUD<6cP~!sMx2t;?^p)07_c-jT1Vr1g#blwG>^gI4=(E(NK4VKo`M zn@}Y7$On?I`YJ~v9}MfI0=b7F}_U{5q8ph3oB;kl@ub=oC#+WLiZk< z0_D#ovDea`HdSDk%9DpgsYqxr*$th%qLU1&d~&v6ryw&v-1W3gbJTZ_VEBHnjIPne zu8~C>+HV>gJS#A>(oK}9*_O3dm?lS5E;6@iADP7hXb{WDA+3D~-I(kzaxQYvb9pRH z05u>$43RlCKs^YR#${n}Kq8#g+ET*Ei#WkB$4U%sk<3Xq-E9WGm$yw+7CsE5FLqhHMA4^P~R5|^OezQ+&_=8*Q-P#yr zTHLz05+^G`?AAS1yar_&R#QJpt*q97Ps|9^CiTvQ1N|nhx_fc1|8Myq#Y`E z{^F>Xu$ZUtTob}t)mK58bjdt?kzhhJ=lQbc=`$Tj9z|=4#2@Jsnt;Dan)nkR{=bkk@kg4* zKTDeUlkVT5@Bgo5P0-W*d)kDGjGh@VX9Ea;7qespLgW8vG7uX7--gl$2r~n*^?%Ay zm=&L%`QO0!{{g!XLjPZ6Z}likMG}j_ zw$7IiXh$JOR1je+8zCZ@z?;BhBYbf)O4KZZ3wrZ&CvE-g~VZ~R;to}L|s`vJ6eQ|lbS>A8=dcE2`PCS3Ryvf`zUw>=$ ze0sB|S+;I__Mk|8&pAE+vSj$|+FUV2+Y~eq@Srcj=9@f ziCs_@X;iicVZKq!+&FQQcJ=LAEbp~M7JsA6$6?|+2F`k~WcK+bfO6n}7vVQH1sb$*7 zJ2nSN^PaxDBCL{!B4k)7G1>OP+0%h7#CUz?mq5MsqMuDSswF+NQ0A0Yac1>4GUj6N zwL{&6P)xsZrc(Iz(VuBCqB8S?DDsvbS~j zTd0_x>g##m^8Iq=yIdV0f{58>M;Ycea2W6WEN@X(O2_X@07A9hxI32aM4bAXI%>fT zDed{F0J>@W+_=j7$h*F;cPj{YDXYR4B&r>@%|?{a_@&icc&bqx%&5`N^Nf023zvdT zd5cS*CnC$G6D+3oUJmNO+VM_7gb_N~3r>0R_<5SN5F}FjPR%}zNNnnT`D`oBJ;W}JOGySE`1uC7I`q8O>iW-pc!{LfHs9;zkZNdj%B3Gx=XqT<{&cHGKSxxE z-Y<8udZC%l*>BODjdqxtQ2AV0AXIbTJ*aRPq+RA| zMsIT)8!N@Hw5r9gSmT0qOye?s#7YN}b`4?%r3uaMdQ#@sgu%Tkf9z*3KD%0UH7Rr6 ze2AZSwt95&e0tFvz0(7`ySh0gJn{R2?aM?OoXURCpszl$q=GI**CTG!vd!G4gNHNC zwit|z4VgRh&|v}5%&@1Qog})%Zy`*kQ3h%dV1u3Akk2QuX5;l!;LZm(&BG3aK~cgC zs(w@uGF#z}=+07O>j{kkx*>HZk0t#hpwPwxlq_`76FL;PA~PvX8fM@`%1T!RzS&~> z6(xAGCARfc^G?`y5rI=W4h|0n0ntTv0o~Fco&=0_?^t>PkHlUXLFpTL>}$w;3yRi~ zMI$*5S~E@u9?Vmtg5xVHC-ONbavS3=M zj@T){_EB9(L)023M9|8+^P*|(u&8RkJyb+LeYuklRdp!iJ6T!Lr%z?&r-x0^VYf5|aR5^~ z@=!cb5zh!oJS<^iDaIblzJk+U&x+_X5->R1As33xv5pImv-`!2y~u&-W}eP4c`8;i z$cMtRjNf9Wg^3|zMs_i?-Bin`23eB&%=bo?7`N&-Qp<5xbM8h2<3n**6m3(~eWxOb z0M#xZZQ?J2Y-saWt(M$OP;ZGSevTyZg(3`|7@B&Unu?bb{6QN5ys zO@y?LuucU&4SS^S{Q zj>st@Prh=f??0B`g%W`__3R6fX)Q}Q!_6o~TCF$^{6>4B=qZ*jWt}7xDg%~Bu=(Sg zHxGmx`Gz9*@T0|+)5w-gr?9KzB)xU-#8>4yw>CUSpy?H|*(~Ljzdz74-4tU^v?k9b zb&|fluoejawtG*{I`Q29**!FYuNy=cWoI|7`+%c3)G#lw13|}6o9AUA0kjhPK1b@! z(<{YQT>?SnDI0-Ko^CzWai7iLTcoyk&%jkZ(hsi?!YV3h-1%`vMhWPO#v zmR;}j)0D_0im$4S;CshVyO}UbGx<}_wn4*{+6gdZ=qu$JXZubi5bS2h$#B_~;F8AVc}ad9m%MxgCH-Rs`tX7UD)x zD?|pUx@T~;WXkpy+iDgA7KWzHq&>rBm`u>v<|s8kj~mt&`lpE9hujn`uOOm@cp(}> zL|_9~_8^zLR!yqUw01NYY*67tOxTHG|5jr=6)`v(a;?vqp~e=lm!l-pboMKY<5Dpo z!q!8Cot$+dLoRtL+Fnal;e!x6ttdEs$FjScsTH}9JUy6@RX|;tm@K?TOiJ>NQg(TN z21~jF01`|*!cmY`(e`c?B~dLL$2rWx+U7#fRxq@S(4eziT677QD(?1!z+!coL<)jp zc<4G+m7LaNgCLKmV5^>AiQRk*!mv}c+=xGO0)HPUP3RLeCs`6rub~R>yzl=V zYYKi(PqF(#{D~Z(z*Tss1V?|?B~akP-^5Qu7*AK_z5Qz}@{^&)_6H3HWA5Oy4GJIl z<6$yx)X^_QnWA1NE)B9VA6j5@)0 zW4H(qLr+NvD?m8K{ouynvv#A$JsO~ zsn{n$Yv{#Ut%=SIJGDMNcU$OqhV7XbBExM=6pIl2VJ*)Lb3mnNZDNHjatmgx>`xe` z*OF~QvIoxkUgvKpdi@cm>AMg$U!W|1eq%meN#2Hh)|KbxyS{p1Me}U{3!C}!?hZmo zbcSEEe|Jm1yb5)=RXxnUlDKyBB+%Y6t?(%tOZK)?o$<(85qIeTwj;61=z;#9Pa(GjF5N%>E0srtU^K zE}sgmbl7&S-Dsm3CsN&IOnlu1ZZpE8leaRvC{CZ_gxhob_cpgKlBs6wz`qp-w@nIx zr9>ORgS5X&E5h>j!ZXKWl1(4{qvjTe%aQNI$M!rkhgd?Elyjx$7Q5nCK!-VRqg9|q zN6W?Q(=dG9p<)LMSY`|1MN@bP+iu_;$XkV}y@>>2snwQFFp5p~>3Y#p6c`56OFe+? zTd)Fq%WUo)cis^ee0bVAc0nQZ`v!jrKdPn_!^;ml$E1gIuz&T3moXnOf4G_pNZL>GZ8o$cC9l&$UXy$4|#-2`qBC2wV# z**jYycIVQWBk%VBN6p!QP6+k}^6mSME{A_vug$wSLi4^Y%JTcVDa$i!voZ#Hc=QXv zO|b6%8%f=7(^M|3)`O7F>&-kC?Z6WcunpUK)0mVa3|-AdTTo?zHi^=79`iVia<)CI z#Hw&>zZ1^mG4q+kCW+_dzIV;zi=*Ssh}6$$KXhKI|jCbZ0mSz4Cpgdw<^03p8#?J1PG@S zKs64oLwa5B4_PoomUCgBe4h#3b)t>ry-p^+{8(DkmB(q>$4rj$!g9&g|?Zn-^;eS zzA{h5V!JTycQ{@ha>1gyFIb5FG6d-ih&!U_$MVeOohRYXdQXg_5wFk)KlLs<1SUzw zD~_Qlp?t9TMn)3TWuxbtpTJ+qfTW3B?%+{1daCAN7=JS3u@boy{;_7l8U(XVjcrOW zo?|Kx@bzY#N3-G2)49}CkYCg__g!#C*aN$?+B?ktqC^@#m(loci(qv>p#ZssCk!hi{2Tz+1Q5F zyVDW#kObC=7IxsOfl1KpD;SbkHBc!Ll>re&gvk&_)K#L^95as)D!{`!Y%7Q=oVCXq%`c zX{5#9xV|T-vuus~M@#U%qspIiW3NHV1$H7d6JzQPt~ippdgaxVRP6!a<%71m?`1vy zhSdH#Nd39^ur>I&6~r#-GErtHwnF!d;8*6j>UU-Ja+5!_Q}jP@(&UEc@D`xBx|9GV z&vHSiY7^jJFn`Zyo$G8?iv0!m+M@ye!OjFmVXVd0LtS-)JAQATr2&~jIgR1hejDl( z12Q-ibtEc|Qfo<$LpqO9j(Cr6RBNjc!mmKv_malrK(jF(T)yo5fhoM4otr;(KlY11 z1ud}2O@HaHN`$cpf{HIEP9=jN^~XaMS^V-!Ov5tqT{ZPBsP+Pt>dOfwyr=>T4viA5 zCP7R~?W2V$s%olL#~-2Gx54an37Lp4 zUPITUy11idoX`auR|ZE8vWcE9!U!fa*mpD^6czGPKjOQeRS7p86cJz+D?~})eya`* zkSGsh)`hpp3W-E&yG2^X}wYB)g!`9QVZH&(#movAOasJ9wt82G~5m(|k6 zydy4WYuPx&$S)`DB^VzGHbA^OuI+y<<9b=>v7Rmv;q*BzXvW|F-nSrF#Sh#Hn){CN z{_6T7!qu3Zu)x25kHf{dPVx{0R^t?hWn8c>_)+xK`JQ{nlC7B{mQB9HIt-O(RWIh+bg*T3xlT9rPRNqZF(R zoRh$$!o0{{#H*fptPGwWhzq-FAj)fStRyWXagqNzeC+UR5P`uGO;Q_!Gm_=Eo^S>C zUn!qD&Yqv8h9)`;DA@o={-=!B45m`|?z^^~zbo>=ucCZQ$TIAZc_s@#R?D{u`q5rLPP_A4$Eq*{66MC% ztf>~tvx|hm*crciMs$xn|7^kw17dNzk*H{#Kh4)`b=sIkn}3N9pna%lAIam6s%iI< z>18qm^ONjS#}A?ZbxVh2!n$9^LQTX->}y%z>L9kRLIidTaZQT?aeV9!D9WAPK8;yQ zh!K;;HAn=hO8mVxsxdICVZoeK8{VreU>XAQP6(Mfn+Utn*|cs8c+pq}u=0(NWwZJ` zrH()bLqYL1%VGb7t3^eX9q#0GKZ)`3JiGCo5@f7@pOPdOs*3bDFV0&g^uIM?1`<)LN zrmK2AOvC%fBDGYzl$QxZZz~DxAZ(^h&--YItI$GeRht!&1UFSiiYLU-s_1BpD60G`|YJ+p&q%vfh;ZX zG3(WGI|d~9`SSXW?}C^zXH#cQeM2Mb2I;0Df4$c^ONt!Z0i#RWS*uBr+aDtx zpM|?F+r<;c;Cb4}KOpl$UFaT`I#ym6AVq5ry0XBRRgM$#UO~6UaBd+}JhoJR!{$ul zmy$@UUiBx9+3R3m@zq$kR0oU$J_W^WnA>53r#O5a9#m8T?^w^xA>}DEyO&Wj{5K1_ zgrs2nCyNHot6+z;q?o30Y%yTD^_(@jvyzSS*6*~ED5La_v{SP$CImDoU-uGeSA$9q zr{uYjf~Oy=8LJy5^-EqsjQ zv}XS8kwook|CUt%{t^wTsjH$&a{#2`=3jVQLfda;~6VlXUp2nKe`^_};q3e4P-=WYw)gOI$)`@qV)S`(seNqvpV|oQ- z>`V<-mQDuYcTdS@J99mg#gHGUh*c#i5=N5q;7=-bJD!#3<1DqEcLbXq?1N|5n5`IK zw_%~eUQsKVC5ZE=8VHqcm75I{XeRGxzV>hG=dRsBPqa4;xp%teFKil8r=qewAjTxuobxFKZb7yE@~a1K-jW(WL6k^@SyM zUYC8cpfsbeSshH@J0w#cB95z=^ub+tNDx9>Jim131DvNSo>8!n#v{pDw)4x^lOU|zW%0~G>&6Iw<%NGTcCM+ z`AuZA{Q8e%ILfC6ayiLp8ZCw*mnc<@N>_LIpA&e$)k<1y1`(~(%8$n%HOE<7IGNB!Zfd!8@U4L%lHj6{0g zU80w9PKG&zB)9x(Nyl`4I%ElWFlk_1ZC^5ibY%15?8!!g9Z?6#`iW{GTg{W)$P$j% zlTeVj5GzkpLiJ2y6`C`DMCknVLHl)Mh-*O3FT@Y;X12T+ty8g{kdF!Li5O*fZllUj z*es!45SxYswHRuYG~O>8+5aPZ$k$UPV;uY^z==90-YPC_IL)-XqHw23IMO}8LoFHooHp*%_zwgD57)PtgJHJM>r)!D|w4v_#uh}E+6JyF(N2^YO zJAegv5mssfGGj%m+yMKDZB~Bhks)e1n^#eFeFiVTK}rGVoq=QR3+ zE`IGg$)Sk(v%9mN#3bTkh`6%WOgpJ0+6MAnSY6W9?NTh$u{>d-e~4#w8U3L!u%=Tz zvU^DNlXs*n$X&^zmj;e+wqLy5UCZ=*QOvoP8Nv>uJFNwx0#-YAd>20}QXmY=-kLjw zN89?G(I%RE}PkRXCSRE*|-d18si)YE|-e8>1RD!Bnu$s@`~p z-o#BTDZ2aiuw3BA#29A7K!$#$+V&~`PaR@QqL|cG9oy#m%Ib=4O~XZ~967ShhBP38 zWt=zHuI@=Rv*Y~lkcI*3O?I?B8%yp(3Q75wm>PZRrtMY^HpfQMBU0wLCCo|*+g2Ry zU+cj?d#YV&n7tTWsjMuAvHT%Cw3eoKOPq1g3IE_J>s*{_Rhvwx&-(7yR6QH#qycUB zf13@USNr8vGbA@}rj|=I3q?iR^tked*Hvk;?vY~87=dd>nxFyozcCNF*M8rEB7RYz zfg{qRrP0K1R=}*Dq6$^YyLf_4F^704Q?_tDfG?iZVpm@TuN#+d37i)rYVqES;9oM; z&1-7vsNs$e=09C)7A3OoTA-;}aGs8rS{nOP#WvaqQ@1!b#X9q?+)d8Sb7a86RIust zUNSy(Hx66zbVyd75J^a8L?N@q$u5G9N6a9LRH0%uO3c%NBF*Q;c7Wggc&hXCgR;=g zYo-`MEwnhHs*ZsQX9Tie39EjhMn;570+Cnb^>yQ5Nja!$rLBND2-x2JJSW~O^7_(P zNA(V2YW{Gy^HyrGWn}c-70Ot!yVn9C=3g&}-5%b$lnY3<-flMk9(2E)zf1MKydD~_ z6l`^WJoO^3omO zpXB3MhAA#9H$+~K#zpEY+e=IB21`kLmCGgFBIj7Vjr%rbkk$iwoY5Y;GT;J(GJ*mR z3Q+p`{NJwMfL-Uu9vqh^D;-xKA?e=4ch+slLWtClDLDnGo>Iqsx!Ql6{>Y*SJZRsV zyQA9q;A92ByMci_m?;xF5QqE}#kS4T$u?8q=LpO-9>ck;P~Rw_RCbCXEz91hu05+z z7mKoM%n)EwW0M#h%ONpJ|Px?T4qHv|Phu2b2g~HvEz^6rYUqt%ZX#YNmM$_(Y-%`0l zzOObTFl%VV5u^5EU0w0@T39(;4>+NU(#+yI8XT%y1NaV%%^5gdns__qlzGR`_$QxV zi724O&=&~hbw0pwo6|t@x;A$BbZ@+4MSHL2u$9^`SW_V*1Rj$n>%$YDrJT#2}vkS zhe!LBP!%e|${?%lML3L90g9Z&u>S(U85ab#YNtb`&?bnQ;p1wPjyd`}dc@Pc2hA}t zWy4~`Dtj%kttgc>4ak^Yt>j*kgppoSn_AMNn%N!^zcDd-Xb4dgqCk(Ky=}!Mxd)m3&*R>kMYerve9t}4@kH>N`J$@q=i33T7~meaMhvqdmlMdD%-xi7%whQ;fN9nZ2w;5veRX6!IJ@t z(s8V!r2HY@6{;4U?qDlp)ru*A0`PXlA4Rv<%O~K9r?;Vb14PPop;6M7*uTni;2Qk| zYanm%w(@`)6!7h7P`}=(@5`C$vaSzjKYLLe4R8|Vt1XB8(a9{N#q!$;bIlOwa$1q@ z0q$4Ov#TaL@dDcD+Y<+nwrS^}O$?o)AAhf8lygM%hsGDp&`2H@6g~mX)K~5gD;8J4 zN-gN1-Lv8>=%)N|$rdcO7q(;EgbtBVhHF3z%((a7V9mkDvA}MSycSDHdWfrzNxxcz z3F!bQGn>PhU?os@rnR0Q)t+^Sh)!M@i;@QY{_zsUu}$IzR0*#L!_V;dvF$edc&wR2 zdBvOfeI1ogQ}aFh8O(T@fg3|2L3($B9;Q?v{&foXoxSKO3W1oZ*$)* z!L#A~!NB_1xMeWjI4A5J4~EmNR8}qJ)IG%J<*MOt8Gh;4K%GtvVP=mdu1qJ!WKRohr8y zg@jv#6m`F*dfnYKsZ8t>e(Dfek28|Qi$@-hE}2@2v<}N?y&9RFlF9p_cvKHXL*%1z zurl88IR^%`co9QjO``Z&9pojkhO`nX_7%imNXks1xKIk!d%2hRL-xL#cg7H+Ga)<* zCxby1H}hgP@_W%`B1aU;8NeqbEBe#C9XX+PP`)8;uQir`_XJZShTWt!rWDFvEa>Ff zkd^9w-+P+eqZd7%OWcDn$qP7U(aHLN#9{;!mxVdOURRE;9NWJLW?3bJ_ln{Yki6(Z z^|@0LfkaL$#DZmpD?P)kHm1niJ?oNzHRcUdrcM5~U1BABJk9@Q$*1CaO83E`a=-6MDb3ghH5uViE?&2*96 zbOc}&$N@~A>i|e2`X$wXcXGlXb+IyME$zvu%NH{{5`=(%pKGMGPUS~r z$e&q8jjQ3721i?{9CiYj4_6 z{%Cjm5f-U)I1RwAPHB3_AcozK@8}GZQw}T3)s`bp z%IeRe-~0Sn*;i3<`-z87LST#I-I*s!_ev8v++gw7C#@V{gXr!5;6|+(#5wdUI090- zd${9~N3=wK(Q3)TfLY-aTd$vRHkNo_%;z+T7Ryed-R*8PiT=JFZBs7Z`a;YqMasUO zmIdGDDo)ozA7&ov>dGDp?&`rSH*KiIax*Qoay_XW%&&EDqe4ZRHc`^ZUjDT0#QP;G z+;%wHTn|Tfj{5Uygr z<*P&TNeSOTy3$@TM&zTKTPULSOxd&1hEB+S$rG5q&Nuoy3dtiG9MANqBKt2cVu3`m za-byq3oL&Cacy9sPg-ii=>wZJk>0bNP3Bo%?eoa5?qm->^%XXP zt?e03heR1$W_znKfE8RE_nGq)8ZKA&A-)$3iK;Jw(~Q1b^F;9P=D6v;`~(0rJ(Guj zkQD9Nur(mIe!-onLSDY?z^}r7KTdF@>iQMzY3hZjI*?4s$v{)(T#LSCWh!r5^=a;& zm?ROdP#NkCjj~&x1J74-wOC=fDEfBdkKYlz83L=xG3GSE;j%HiiL}UOQ2Jst5uZQ? zbaPpRKYe|sJNVgG7gA$A=6fM!!HINp5Ft*}3L$~-H;bffBX~UnihK_#38P795{5nb z^YRz3K(aqA-L)mnWn#P9dZ^VWVi1u-bjc7#8B_SYgrswFI}{cXNlE}n)Ch^ks_KG` zWxe&OM)O#jUiF9RtC%)7!2kr{+8P*v!?flp?)SP|pn1Yz6*lw<1 z!tqMew%P{d5Ok&*IY_y!J<@ukfI=cG^g>uw-I_8 zlJL4rZ|2)|6PBiC!Vy_jtF$GbZkf%t<+|N12yBiR^{R-vsd!r_Co|-9@Ms*UiUkby z;-6ilYj0pEHb-Z@y1ehGw0E zQ>FCAR$@>IS0cxYjB@jHQHEofmHnuwp27&eot(xZ*|2pHbN|--jGb7sF3Ucf?$Lyh z`lx%xx-dQogPin2ZE9RZ))Yg!Db^-au)@vPZhrd4=VYs8xwXANJT;yckl=tBORzG4 zUP9xMOyshvG}BJt$^ikdoz!?iFwkSFX30fQ6N4C5%0DB>ZaTOStq9^0v#qI$c>wPd_-jP)Yx$I9593 z;5-``5Rj9N^U}0+br}SX2v{HA%Kv|kk^hgB;QyXm{GZs^f2SW{W98vs1AtfsU{=NqHSyONn*gm#>XOSX=`os znK_=F>)&FQ|5teMe=r$*{QkHAApev19$@hm004k}`~idk!2fT7_`HIE{5(QHLP7pZ zprE1t5ol-_7+4q>XgD}{c(_mM_5UJZ5D*YhkWg^Y&~Qiya0o~!$e)6O@=u@tbwd2N z(tjEDABB&802(Yf68J0x7#aW^4GaPe>|+S5=o26e*uUiS;va#8f&hmG1Hiz-!6Sfu z!iMXjpg*&hNrfhr+?*;)SJ3NtZNh zEojo>gZ6HQ7WZ#0J;T#VTZWeo?yS5b(#u*$mJjcFWVEflBQwg|Mpup=cx82Le4;Xc zw2%Ehex&33qH7C`&Z_7bUp;xE=aTixf6}R!&|bfOfA@d4^x**d=lzfB|Kki&d=wz4{_dHFcsb`_ zzC|*Ta5VeI{s>G^1z8#?x7^?yG8em-0R_hRnahQ8Np$*>Z5*o49e4Lp1s}ANB_Ktc zpp4v*WlYtx<5$leVa&F#?>a-{aYVC-W?ca2fnaO*GN$(lc2-v&^?WolMLcDWGzTz; zB@Plm6424U|M&q~pVD)y8wtoWAeH2%{>3e5NGL35+xYwofeq*bP%6jVN8864(kZsD zOuAtp{7Y9C7&+n1qt3f71=Wq8GtC$`r;3#oKLN)%$r|)id)0P7mSPPsmQ66_6mV@& zaK&<8K!~i6Z7{$FnKD=pQ3VA;c|9P>dksd(3}QU&TaHZf-zz>N*6(}m{l?i9Pw;YP z-(J1f)ZC5O8bkFq5mN{Q?XxDmd&B7Q=l;Ru*t4VlER2Hi5wuqj?Jp?Ko`6FbxpJIP z^dyTkx5TQ8A zKg>U5oUgp@&F+sL)MfdHAH3au{{UU@u8jYGk8c5EEj{$6WnlT( zWFpuI*}_cGV!^tj(OLNzbMCG{QT_<;aJ~V;Ic@BNh=_`CQ(1V|`!j+-`JfW8RP$IT z>F-o@cye+g=_2XR)&*uaGo`h_>L&?F5Or+lO!*I{&{O8?aUfK7k$Ccu{meH0N||R~ z%UTB~3?kd^HBGudz|{o-jlGNXHfH+XD*``>PstxG%qO~*xk#|uyD>l?#Kh!Ke1jZ* zczrlab((LmbhpI}j8UAwSUt>UuVjac1-dE00n`EvQtF>S|{@mc^bK# zK3AVwLrM2!p|La4E0|(4QnUpASes}FD%5Y8YG}hUYYbNr;x5+ANCd3VRNQwpxtiqL zSjG+^ilLFe$%K)dZe6?mUTPTpt=Dl7&nG(i?R3Xs&wtB?QIp`27n|qx58A(Z#IK#%a2N;rFx||Aq z6`X|i5-uL(qk)qqrwkpC<>~>V2+LkhDL;)GPot0m8-tid(zxP`CiaXnCf{{diWd&P z5osL|rIR_8CsqHHCtuk$TrT#nY7D6Ug=dORO2hk^7;Cf+=MISR>!wWaVra?s zb9)<|A|`@5;m4ETvv

    VDbYnULf>tA>-$M@J77zx5lGKTdlR*#=@e@k(@W*-rlWJ zLVjWwt5k~bTYlQ)xv>prUbdvWtZpi~RDyDpqMYH}?bs(DwVsDzMu8Swm>0P8%cEWY z&AR_Q!|WuXG+T4}Zq{@0+g#axD&2pq99KDKx5&&H$3IsK1%<~C%^qTp6N}` zd6w=b&&o5m6Ygy2Lc6P}xzA^kLf7!Y!owDZ7=YGbfL`{;up3;YDlR`F`0oNDm6gV=D=tF}syypPp zQLQ5UA`N_acWt^sm?X)3cYo~##ivvRTCyY;H>Q68jOLn8VwqX0O?stZ%Zw9ZZ#58g zkL42JyExX~us`>^wewYf?p|wHlLcns5})@7WEc81Eqh@XBbWKGL8OFK4-tQtpY6-g zLMeHd4|K9$qkoE#dTqPGt}5yik$YcI&eu$tZ@1TlG{tO6&4OoDk;`wMP7ku_5>U?g zIsRi5?*R`?i#PniKr!Z!kJsmgK|7t$Wtf8a3MC-mh5rvo|HkgYc+tPc`pL6y!~R(k z&EQnef}6c-5OKF&c37hhuW!Su4{w#;wV+uj50Pz_@d|fe7II9LBJ*MNUpFsZD6J}8 zBGxP^5nGB_CS$fdNcz@!+<3Z=_2fCdqUhb=>^VFl4#-?kxh`eSMA{u3BJW5_51WSf zl}<@CTb)Rz*)Xp>*EB_tf)0!nG2&XVM5M^?i3Z2g4m31@TO!KoC4e^N_nJvG6H~Q% zl5N%ES+=f!!oRRCQ*z2KJ&81_hp9WHBt@{mPHX2u!<{$_x1Y|NXoUwhzAQUgm<4MGM=}$nBd@5TDTC$oq22&&PRP3 z_n>Nr^eR+)zk`@nLR+xxe}5lq3ZOyynJ%!=pN|L zb8p6gQ zHhJy3fleZ>_<=;D^gJDQykN}bqhI8@Z}^xGUOL|09%YuP3v zyPszF9V>2M)7>~Y4nLCN10dOxwVnF`$Q<1Xl{OF$E)sqGDtk!~WBHm?eGM%FZy>3I z2@IU++0dvJq3q*f;;fo>I|5xAM#|N<`{_@PG$+FD$Gh9@e{N@WDef(b*hDeY|?E%5#>@usGd=;P|G2jtNpZ%ol+KTTDomQ*^?>gy<7Y=Nb+mTroASW->U_)ejrqB*9rO@Pck<*hcAtE=>l`Wk z{ua2rygP?~qbO^n%cQW4kYj~`j8QgP2smtO6!6mfd-F2|u|bJ7_Be?};V&5dGtJ2+ z%(>g$MmFc;UOFG-u7$Y?TDRTl`;W`!5b{Bg8In5aLF*d4Ys!y*!!!pnK^jvyd`n8uZ-q?mJMjuJ=N><$cYL8uXHv=v&DnN#K$Q9JE z(P_GB<_olp7q!H_#=WfX#b-YlUWv>T(OLKXyTLv(MQ>> zGjfm^W4*TUYBcPD;fFul|E~=-bnnW$ZW`*2|1J1VJDHyqbR#$S~LYPuqyM50GM z|9eya|9NxRpxDjF zM?mlcpv>};DI)p-=*Lmqv%5?B0N5qG)IWa!G(boXdEIrTPbr|Xo!8mQVNU#@Ji#jZ zVWRW6^TF$QpPyuUOXDvVucSCu-%}-SuodAJ&G0xkI~=Hpsxdy-85$3_M_Yrp!pN(U z)~Ds==U_Vnu?_p!=Db7B81KIYs@!};H;HNTMUF^sNtmA4Awgw1hwg{QJhomKAApJe zjut6H?gf<^t>p6i_ z-#o7z<}#mdLH74!l1nQ1=Q2KIX4l%hy$E*@cN=`d)1y*ue&TK4v3X5KoG?BKpER9P zo`Cf+jE!_pLRja!7Rl}SW2B@ED0TG3q89`rp^`YY)r#e*q(b?{vDhf;Ds1~ly?Q<% z=LzL0@=y^+Z$U8g`LIZ^rTTfzpP%Y`Zg(5byrh}V+MJ%-Bt7UGYjy13YcxV{j`4iH z&owTKnT!3WkI~yU4B6EuS_=)8mq!Ux!a}p9FOdy-@$RtbjuKk`64_Qg?*j#P@)KE! zd))lNC1;Q2kW<~^VcLUxF0#3bR@pavWB$ch}L(37U*+8Uo%cZ`Yn6T-)~Xp zh--|#2BV0Agm`R{{bSdYwBQG>35Zh82GTN15-IfsEqc6mR5`fg%!2i~VP6T!A|LQkPLXjX?u3~m zEPoV3Xwi2uzJ;!J#;$U?$CD*aD%0*^qy_G(bHtYSGsML2`Y5_l*z;z-IE%#)vP$mn zK+v+Y;k^7rL#G&Ch;%jYtAUFz{{Zl@99Sm;tK{cvcW$u13sz^hrQ%4>lQvRJW?=Im zH`$Z)bajMCSV6Kqt7gt>(HAQ9!PM&|y~L(OkCG5X(nKdtJ`A{G#Vo+ex;`HalQExB z##ZOA*nR+dVwLf(E(BT8-d3YG{U%feg_Fd)PWFtmH=RMy9s5t+HTyYR9Cpq>o{rRS zY7UMvnQCgnj7ypJqW;i^T?oYFzI=e4S z?IlIBRK;LxqG}Xnv6z?j!2H;ZXcXX5|dKRo>XiF3^`1%yt@g29@IO!LRIRQ zICBV$S#5-^=368RZS{uNQ*4cl7d)cRml-A#(;I!$p|;zl-3ogtH$$}o!dJ|qSW~Ge z5~%rPhQ{MbEv8c#EnVWKwB+*HDLk7yJ3`l{DaX;#0dg2HAsoV)(vTW)lIu?FkT>gs zYnL}`BR4AZEj)&H1To5Vfu^=Rgj&DRDuDwx+Fh-Ah%DeP5&-xIbb zP?g`vj0s!4_SV0)-e_!E{;K{y_u7QHX)%B9SV#SQnW3)+jp4|2(4GuO-m6N0r&0X9 z5^Ly%t7iVJv}Pezof;T`&K|Whz4P22W~l~)w@XMVNBLYM<9y<7J5sl z*VjgEPhyJuMv*KqU66|(dB~F|8147uT4s1+WIzA81Z*kbI?)UGyL_!b^-xvH^flco zo6}bR6dkW4oTU4^)&8nc=&D0NR>>@p!%%~~VghEYWNai#^r&i)PY{q8zUgG2mSfRR z+_U34k5e{tlJ2W4U<(HMLHk5ddu!?sR(+(30g|@^TSy*F$hq2O9Y^o1Jj@c5*{WY<7d!+I`b^Fktg6bdgbU ziYjyMtCzQ4!_?9}4?csvKE^jEuVNLd{x`v9QigaYGR$>gL~xT@c0Ua6ES@65J?gjb zqoT9c->Vn-V!W9j*VhxV4OauIsgWMz?L+y2IU9_fpCQCGTL+8$zG4C8z}79$n#g3r z-2EDJ^4v7OIMejxnR;`ZJjAG$pJy0P#WiKcefZ;B{NkXIm4ap1sZ!C5LORdx4z9Th zJB(@KOuHAWV4T{00c7(!7g?g&)emWbbM0M%G;Q9in*-A5d66TEW+IXsi5r|7m|N+J zDv86oDMf^7J)yYy{yO5k(&5TKzcA61+Za2KXBTKcf@tH{CEdG(NBsl z`PUW6*BGBXY--$SW!an*9zSDs=lhsgy89fBcAj2tZ+2+n%<8k5#rdorop0Q?cKut57bi>NuKD4o; zd-Y~_uQ0bP$-VdzE8-4G7l_+Owy%*bmR3F%blkM3+~V{0LPJc))@ z#4%erH*w;r?SdcETDHzQMT8nJRe6P+=HM{hD9bP4^(QmZE5-6^#u^c{twy}4pjd`Y zOfMP+%8wwP1rd%DALk>HL#l$qFe6oLYz&+0<78m`>E##8SiM2vu0w)19i|Ey%F01^ zOpC_alloG)(}0|NU&BoNI+0dW0mv=~G--|nemItQiEyuT-Y`fX%2s5_7@*U9`4 zg313Ed+#09)Vi&WXDf;YL{TiXpfr)*1SAjKl z#Bks1ACJaMi?yBc570i7C*I%1&Hnkc%0++G<}C|3lW>~9BgeTH?L1wLPaVwP^Was1 zsWU5t(?ukt9NNTo5<%`JjI)xGHgO`>yPI8kS+*Vn(dpIi-eyXtzL`?#`#x0}Bl4J4 zU!oCvA}T;j$e zk;bm~s>#|zutD`+DeLbhM~#jsAQ97Tw(=)Ua+euUmg2`|+xgi)Hanc$SN40C9dSEj zMkiobw!%DXxj=+bXqr<#N%k%yyBB65*6oS!DK^|pRwwjhVx#xeSaJn}nI}alE#nhQ zHvIB_lW+jBhn+#<>CwOczvYb}@M^WEfMyFmT?He? zu`7-1#8hw|D=(>lQWUeE-*yd4 zf1}zfoW{c(q#My%u+HQ!qj+eFFgq9a^= z=yy-PK7f2s$MjvG>?tMenK>Rn>|*yme!=j-ui~!5rKF6I7AKDS@B{>|Hc93lv&8be`RroIZd=<}D2$K*FILMWR$?BsH{7ib_OEp5!HN!0jnl97sUF0N5ELobOrb9R4;WHQZA+E6$_=p-! zU~1zUoDzuxo|({QJ^1%y%|TmOjo<)v_`C$BQFIrwEK0Msyao7v~iQO^{r6yx7!N0jc zVMH592XH?Rm*V1S?eKN*S*f5Ul0a2Zhwjui+b?@T0eMBRKH3h-C^RBzmoeYzZ7`Di zQJ5LhOlamKMD+9M8^AR)R3MadIgKIhJEiICtdJ9}kr+R5tdtigMN&Zjc{%PDl zSMJ}zg6QwC!1&K$fu?l;`Kzyiv?^8auZ{^i={7qU&X#KE|IU%IfXv^(48$kw@Aw4b zStZf$AMxn`!gm1qgWvz-+yA}i&rNOpJ4|7I!IaGuZ8ib=4=_bdr2WC|5r4r{5GKZ7 zD*rQ3jl}!`taAPeR#}+65Npgo0V}e@-XCxk{>y-+tDpfpnf?J+|6sshVD+mW^$V=P z-&f>P4

    tS8cdI;42uCL4fVU?YBt5{{dkCwDf<7V1HWr?});mYx+M&4~JjSM4)$Q z*F)^tgM`Gy@88G^!3?qE1JWqmGL-l`-2*8Z2p=GN2@8NQw(^T+RsM};QS*LhFCZYO z0odn%Atb+i90Zw|C=hMjeo?sbzfm~agSlVS2=v@EYIpfx$j&d&1CgNP4rWCj`S6e47I#Miqa!z-Sc6;(qyn^#Me3`7iVggpaEj zaxZo-kD`xnJf0Nhi3L;P^d| z3jQLJaG)JZEzP3MR`c)2%>IoYl8b)lTmNpk-|?ToL^B32(QyLgoQ{9voPfbE9tWa% zC`Az@t-q+*pGN-E$iGwkKUea<0r}sqjgfwyVTED_}}x1wOzWs`SI~(oM}qQhyt|K4F60!O=&*L zmreYNGTza_^wLc#$Pkl2=p0rcKRSSXt zIHd;NHN-Tfrc$seXyEX*~b9p|qEo z0}ewPhLVG6PcV4?SlrxJQ3?op^bV`eShB%N;|97J6ZC9LFOnwi*&4j{p` zm^CmS)sVzJIVd7MHOCOQVQ=4DQh6jNWI|NgU#t+cQWomY`_1J&>O`eSS)|0@A61p zUe@wO1Yc78Ey*a^ygqKm^hcQYR}4;xsOm%;p0~i#t!ch_66)qwC)F9K`M>eT0B1pW zjy-@BRDiynx0;7y$4vJ)rmQcyQKwl~cPt%t&FO=OK-Zgu?>xjzv_)dNKVb-L)J9Oz z2N%V(O&~=MAa9Gds}TTrceTfn=D22Q^p1BgI@{`1-As_%c?zt!0p?W8*zByzpi_JC za4|5=<*WZCk2n1CdyRB^@y3j~XJ=J(bgdOWy?#cnGoYlkCa4hxBY|YaR5|i$+>eUb zj`If){dkA6OQJWge=-^Qd_3rLzmBXEwDia+3!4p;ZQ1~Kk=eh+n*fVM?yj_HwQ#oqn1ciGW*wQ6l zsWqIGJya%SlMwQ~A@L~sA{76)^|lrBWhS}@m%t8oW6KZ^|I6{Xvu2k@t@^CFnL4JE zvJz;Yt}(|_%&h|bK7^kcH~W(Q$#Bu(!vxBsTeaNo6jAQVn-)-cu{foV+IOyCHu=(V zNVP0L_@Oy>t`* z-qN&A*8a@O|AzaO`ia>b=>4JrDz|^c^-Q~TAY>bV-c}^iMl{x0Q_yJ2s3t~AqF|sj zlwmNteW5;Gi-p5~7V*i@OLK|i^~c`1rRA$jwJDqluY;ca79NoE+soNh!SHx-IUcR` z+aoYyi5B417veDal;7T23DWH4yM9Ra$uVxU$W7?AdGMa&;zw}=&H%voG2ol03HXw< zv?;U)t6`6Nmqmojc;0WRlm|~6>Ob}UanmAp@IgpMz>Gjjtgy&2l5B|h*E0*z;U=B{ zpzuz+?fa8ujd|WTibt=uG`3oaJ$9r^5fNc_4_0NTYkhw>QHo-agpt_9b&9rOJB*}F z4j>}7r%@gxJT7t6EgCPBE_(6N`%5d_PjoW%k0$&7MDwO0vc580a{A8I{=s60s`oan z$GUVMn>PryNEU@zK{*zgC_)-;yOxk!eT zCC3Z`{*mB>;-$PCRM2bZpf`HkA>)BeqP7tIoox|fsH_e} zGcsW-&6_ZBV~aOMuB}*22)0R4H3r(z+G%{)rH1{qj59Xzq!Wdsd zKMaX|I@A6knuYFse(G;mQ#RCl5I7PXN8-jvV)zSSZwcLP1r}0B$%%2%IO=BZNfXVX zGV-{wZ%k0`le%~AU#jZ*XYPGPG2Q+Cer4trtdARkruKm!T|v#EW>Z|I!ZuGLq);uL z9tu7lMF|_B{6F11`m7VY8X`;=9PDekZsAx?o}X0}RMmZaky)7Uz8?iiB^u1hL`xys zi^SWAU~m%qc>qb)AN6e^lr_K3G+oerCYmK(`_hEB_DuZXOX2q0R|Hz&ypL*){hPG_ z(bJEtXy`LwP~6)T%uDy{>KnI~80W`s_z^H=~RIeV~G?`$%e=5Ph+*c{&P-ben zps~zp_p&QockaH?$^~Eg41B=n;nBuSB%Vo&x=ONs9TBni%3W&jU46;m;G}14aouMN zqttNbiQE&b_XXQbH5Azk!y7Ld5TMk#AwoAvG_H`EMp%T4TXR~K^qj?OeEyL2FQD6Uz3})=pSRBsAmna}UOO_;L%*&NC1RV{3B5@qgoZ=hNKQ>rWVOJQBaGRM8TKs-bMtoWN!|#jOJyJ(ZwCQ>AIs?f?PQ zL=2G;u3kFeGT~|V`NU|@U0j>6&SkL~iqa6c6%# zRvVO(hzp@}8ZaTZQGx zxyT?NN4iKnoPtG$O+Fk12MM(tNWw-q5$OW8+tlX%4Xp4K((tE*O@*)xZ__^hny#$b zeG65($UEzh_i1O$xHcT{IG`QZ9@?QiHzwo#vb+8<^=of))!fuofd5YAkhJ{%)eo4W z^z$=;$Mx=Yb#$PmXZjD(r6;JnAb?IJ(6TQ`F1ksb#p1UkQG!T{=#Fx~AEL^3OxVtj zR29*_)tcMQ;2*PmPd_u;Afh_LOU{(r|FQ^<1p2eUqh4x(Liuab1hSL!MOhuL2PafI zb!|b$%yKs-85UC^^U|iL+4!oy^~m9xBTv|Z-OHd1MQ8CC84O8QO5ULz!A*NY(=u8# zdlHJr#<60e%BE?Y^_7*q_zUIpxrPtN*7c5Tgx_JFV3*16Tstb28lvzsC#L%W70+DmRSe>?gfIEVCgXqDOlYD7CLi`d9Cgs9CnYtXLGmJ(e|fCKYx9z2ID zu+QSiLkS$G@J;au8<^7kZUqSnI&&LHLSUjxW!bf{wyFGaD+OcP@zS!TTPoq{5pCaE zGq~;TnN;XDF3M{4P7bCLMZ3ZII499|BYqrK*P(0TPPZ1d_N}(xBC{FWn7L~OuktA| zHAYU2Q|-r!9^TfbX*#@yQ2>w&%b5adG7+`2z-yp@kmI#a!rm|*wgJPDAFF+ArWu0I z*VCK#qjXA!J?UO}??rs3t74eL>k4+QkK!=DrT`u|!mIOT;RwnBe<8)7@A6=6r%mQW zCt-qf=CK3g#Kwyn6D6J&>p_h}Ip*J436~Qom|IjZ)Fg#a%R$AI4_S>ULsA37-*wnD zP=el?N`Qvn&<@W0>Nq!sDmX$Kx?IyYKJKCaI$G28JaZ9WP{pXp-6u+1VkHiJ_>9E= zVx}>N0C(7=l@C#8u>0k(&;v+-LYF5FWC0YPMci~eZr+De2F$(K+z%krjt3AoKCmvR z_!Vr5I#ABi9#QMSnw^VRE0_mV{97K7^dIs7h1vBi@>z;2Q-|#V1i*I#t#Wpjl#mJ_ z62QYn!2nB5W^c0-smd9{uh|r*2N_*4FbRA5g6%!`J(<&|oTneDq_ckBP3(qKA~5mD z$Vp?$U@*yB@D?SMSm(p3TR~O`jZKT`ZQnDc-=hM98 zetR$RQI6l=F9Ge2_C~v)?|}C*!B{4)cK>nyf|(ID93wTcFvxoMIzNJ9UzW!gnNg5q zE>ZVcQr$e{=EJo)vh<1RATGDU+^5Pi)QZwU7kD?k9nOWC(y1Dhc4%{GPrgCAS6_Vq z@!+Fy%w3;%ag&$f=6NTfWI35n%Hdi1tn61}`9xigS6^;OxL&?)l3lmQQ_87jq2KZh z|9&AP1-kKL%5xEvYLF|TAoKGN6%mGB_`+05~_aMAnV3;N>4f7m^u~^JDKOl<{^>I?{$t!d5zJRE6~Ap%V4kxZU)Z6T2l=Q$>IIOe4L^I#GEe%-b}Wrz4rQoJr~=<<=?()AvlnHCcbj)RgL(MXAnid zg8pEAquVJQTPq3*$bz1&A?uKVK?_M34}NVU^ai_k@0B9{FlLs8Ggwh0pv9O z5+J`ULpcxE@Sh5lBCvmIlhZzQUTIhD!kJfe5R~IfZ@BrcLqEUXb;W?tr)A7e%mzTx z_xGL*eRFGzeHd1EU=71a#TYDWNyJqAt6~n>gyv{MslnsIun}Rjhp0rFVu*>utyi z6fiP8#dJOSiA;jVE1LE!&-Ei>o%e>SPl*uAjSiWNHv4z2+){ly)5s3H)m7BY>Q!$`{Y){cta`7MzgrRWV#GM3HUD!> z<%asqp|zc3ACC-pXNx|jcJ)x#GiVN&u4gt0eqdaPFH=yaIUObo2vLJ`tc|6&QZpVt zt2T&nxmdV#WKQR^P^K}DPGs9i_|3TmR`Fa;75;cDWCA?a?72hhDzNPrD<#UiXy9gc zliEYgtflBj+h&b-Du$b9%$KO4US_L?$5w<)_@C9dde4XYl>N*V!ioU*BQjis_7q4X z=c#}|+)B+Egp=671jc0oLouMpQbMh z@RIz#*OmaYrviy!duU3G_P}_eS=6pMNg#dkdhuABbQz(fDnynz+jySv#opx8(J{9o zeT_MIhl3<|B?=ATcTWX6_~$?p-4Y1{$4#mmx~5{whoCbBnD%92j(H_`XqWKP^UQF= zJhmwHDkU?XD*6ZnOQ1RnA`?zxk=AnS!X$xhArN>CurX%VAk!NnvTR53k>8-Q?j+u+ z?l0I_zGfmPQYUvUy+oDu)xd+uI0mP)!@t=us8LqQn)=iq;8kQ}RqM&bk2F<^0d;l~ zN3ac*O_UqETQXq3iO?P3T)x*>()`u(zHrBM5wmzuE}Z2`2O$71f`h{)aU|9Q2zXz_ z!Mh4_#EuKZCIXI7LBK`T#)~APMDlkLQ7*}Ri+cT6D$aO(R`W*jY~O9qxYcZ2tHy2d znu}g|xF_>5she*B84aohpp-B(OG-5jJwJMZn7?aTHRm8p(+*e>mZmE!-ay{%j~P6k zBDYM)y~)1*Lj$Jo`%30a$4rWfJT;pdNr6Xs0bm$iO6en)beb8Gw`1q1{e!h58PEDU zz|&vKYtnCT3FIPM9$T>F$1;a#o(s=0(p0@Pq48C~FlN7?Vb_ zBk)rS==;Ddo>PyK1@37PPQ{|U3CpKu^>AcjMe4{m`_kn*UoR}4pSks0j?P}UPr-xs zI}f;2HgAsjo6_#1ZBPk_t2Ao_phwiA*P`}-H>i>9hJ8$C9845SNC_w833}$)1{)Zc z`lt)}1fjGRzVnR_zti*cXDs?CxatX#N>YEy3u3;5YGhHA|RQhxSEyn?u`FM|TI?tq6G3hP&{l zX8OEiwuVvBipJT3;73-6gVI58f*Yy%K=0?uA6z+)K}CE*7mt%k4*`EA6?k4a1%EfH@W%A zBj|xZ-L=k0$=njl9@ADhH;Q$vI}FnWM;qZHFeIK$s04s`*keWEkE$hM6oUzHf$MMS zTe6iJl7fNG-qq(Bnrj|6~i7!cisZy&Xw5}hF3%&0@)5qYSE@3M+f;G z1={U_B*9~;S-5G$?sLsTudd!wG)mc0+(^=%w|; zj|{F$Yb0amhT_VYc+m({q%ye7Ti$ecg2fDQ$N*hqOA^Q!+^k7H)#>mEi#KjcGWM?O zON%%3`1WLWsYat|u;@Gq9f`6+}Dv6N#9sC?<+b#}p+P9`9z1BVdTv zp51Vu5`_JTu1of!|MDb@VDUa3zo#_F1f7MA*16ZJ zf#__s63vn3g=)cLBQf#yw&ZT=94vtz6x@iw8VER{@ddX?)lp+rXU#pAS>fbyX1Uw% z?4@?9UW`Q@n}HaP$f&$WYoj%27Jw~i2;YR*M4afVRG9+|{S4^C?@AYxR_Leb`{8fg zr_8%n^fec-)a?4Q9R9RF{Xk*+T9i|vdDg6*=^pPw3yr$77Rs65 zwyLx0D)U{QBjQ=J+x_ynt5wl zIatOPR$Z4K5}qI4lHPD6&*RanW8LBlRU}HyDDu7@Df`{>?Iwd9}GZD&X>w!x@ub?=kd-nbv3N%EK`%OQ2>{@DP zG7jd|m;VfjMY~d84PivV2n?G5z;r`SJ`?Cu9)!!n#S5urihdSZHiKs_x_El-8x3;j zn6&%nFlf)Uoj&;hUSq44&L%HL-1o)1w)`vd^p8nWQ7aa}NDqzXpfwr-=O2ZzxY1;u#SBw+_`x)ibYc($XhhbF}(tUXj#KCFdZlr4WvxTZ8b6fBTJ&y?*s`IC(1q?v%p zv?!+QDrk72XEA7d@;e-mI#+~03dRPwkk!Dlp8k+7*>gBZY|M#gBLA#vYd-z(jE!jt zDsqSV(&p!`H>fP}Y5@&)<}nd2Z@h2bhE24hNOoZ3X?oGo(w*njg5OGdOFaYI z?D~d8&uU2#@zo7Nb51VzE$P;N8^`8YMPsfO;YdQY9pD=oyaYmt>ZN(dVXFkut7S#8 zab_mGMd3Xzg29RcDDLknjh z**M8e#4nh&l zT!0TgIqt&u)Bcttpp~}(LWy)p2GNdd#7x7@nR(FGC4j+3P{^qegYE5y}j$CF|kTUM^bjxKA6=Y0`)^JLBWXN+z9Ic*4@OncQ1 z%ZGg(A_A?gOG1fKV9&bY@m$;->%{+ML)qOe8N&rj27G~JaQ&`IFDhE}&yi1>OrS8W zTDk48!s_J2PTs;izF2KW)bS+W*sx(?rA&48*Xmi7bGrYdjqJZQIYAaI3+k!tLb(qh zGl-Wdt4kQ_^o`%!oR9xQn=|47()~#WjE{ao8ucG7xP zNctF0d0k1oa*L2ejs~}jJc|X->6c%W3MSY6QT*f%@*;V(alZa0S=0pyx<_qVG_Sza| zM3~r^2kN|WdFRe*<9_4$*Vb^(fM&;zTmGdNKRdMJIz)wO_fXs#WYOr(@hw)zgqc;n zek;$s#l6KUW6zGoswos-W&Eg`{E6gO=Pn(`w~pS-`uLO?GEF(L`4{+jG*SXW8eVt zjua1Hy%73VkuZDe0P+zmZgic+5Ec#~4KMN7?ZB3=Sf_PAOphjpbR0+C#7tKa5qnLu zKf0^j8*o1}a1@^@zyr57PT}95SzognuYEkVp*sb9bD-8CckEG-v~~9#$rZCdzx|)K z|8wR1?#+LC?Vp(QCu078jaE<>!d+}QoDz@O9Ya#yW44CLa2n6H+zJik>Ro3G!2o6n zZh3v$eF8(Zn>~Q|w(O6`^HX>CXgWoBB;flU4vGNdp=)lmZH)s6ui}z6e=%;B73ZZm z57)CwIcdmORTVG1T+owISsDF?$NFugq~tvaB!?eHchYlEhIWID*w?-R-ES1eEo5po z#5$U{aBgElY9kLIdLe$Gp!%f4ZceD5$V{!{s@u+D8+=ptCuaLJSd+<(+i_YE{PWp= z+T{PmI@v%c6A>bdXY0zti)=0f^W5jx_%cJU0JN1an+P(|cl z>8}23nf|}l%l=pE0Fg9rFzvoNL=>fd`w1m`8sI~BgtWIfaw zo1y3d_6GR7;9Cv-@CDYpYcR~c?YePVM7tA1@_#4a3N!`hSnUX_dyf~Pn`bkhw)A?> zZWP1sQQahx-tzxX+T>qa?7!SV1~E*r9yEn5Cb^ z&?jL&ueiQzh|NaJ$7?G^7V8U=aA3RRO>HPiRL)y%1G}TC-0xDzaO1S1-DvB4D@SkyJ%|a*s8kFG%#UUzYR6Ol6S0Pir(=(n7TE8 zj`p0K@6m~i<)Mf~r97@pu{F^;QuOG;=WaVYmbrD_DvVBwJZd&RN?2dU0Dkh&BYC*4 zBYOioRqQv3X&BcXRY?2;og|CX9_@UvuTNbr`ZUV=of*wHeRX^+<;&X@t_)*Qq5)wj z{&Gzvc@uuI0zh&S+X;AL#9B{7xlv>WPTkJz{09}8mt6C=P z=>V7F;~}p8xzg8GZvqvgIeZ(p>@$_PDs8=Q$@>Of<~!ZXdi6-0t7@i)#zG^)@9@cC za;w!D@v;|=vr!C1i&x|mGmXcUrCv;BPw8~QctU8`!lE(PJ5Es4h$⪼l!gknJDcR zJ+dDGA-X=9;gY9mlY^eUTQO*lQ60|kZIoeuzLGY*Frn0Crapf9xQSKj61!Y;%Gr*q z#D0t`9bIZI;hiE6e)cMw%seyQ5|OIQuFH4$g3jpKmYF+ZM=#cYw9p;BV)YKj1Jz)0 z3QlBWoP14_C&PEJ)Uz~+@1WWlvw4*kUcN7|-!h1qgzF8`NZVMeB`;H%PBpTA3An*| z!kUvid?86<4OrWEY1s5YQN|MEfm%gT-xf|dad?K{RYjf}Z-P|;4RaWA)RU=h%#0S3 zI@w~RLNw>{$+lT&fN#z?w<;XNW zsZaAH!!RGc5pvd!w3L15E>ld}bH$on_^UGHzX9Ps@(dF+k^KP1H{hT2ehWXWo(UwQ{f)aaa7jjpiI~ zmo>BtNiC+`1&hvmI^f#W1Oe)@$hIvt6k89M+)V~|MbrVnLTwDZ4ZDMU2H$Bj2adZH zkrmN9)b0hheMU8sD9sQY&%;c6XCFXjc|+%TCvmO7-Yf;4GqoWNO~L`@RB%rU->o!o zq#_0$77IoxZqjNfo(Ar<(2sTkAv2V0MY}K>N|P|8-7;PLANlB2co;Tux0`e{oa9*~ zhPu_!mVk?Zb$L~}fu}o`CUll=_Bu~I;Ma_QE=6L|x_w#iRFlS>(%9MSqNnaJQXa=# ziqni+**2N@oSF6aXrFJqO4D-?#f&k5*PWd#$)^~(3e5=E^_#7Vn!Cj15R@;xJQMZB zp0JS~uhy7*W_(>CVD`?|mpjEwc!a=1pomQArs?%s8M?rw0ow;Xr9hEY(pdWTV8mCp zI~b2!?_L<@M&9|(8DFxb^-$^tl{Mgvc_EW(HRw=Vi!Bb`P4g~4_}2v zCa#Y^Uf#LI>aH2oavrOAV}8bJ-S3>rfc{-CD;p0K=fz3(y&I}VJl9B(>_tVV6d6ON zdZJI6YIhSOZU3_A`i+R9=DN^v|hGd~X7 z%fGA9{LpXl)dznp>4pEH&WKZTyLH@e_lnOxbZNvS>dvFJsg;!Gcpx|%ZH|gqRE}9S zsUN7XN}n%s;w~#5a4r)0l9E)SVnis%b}SyxZ7$(?H@hu_dvL?7XE~YEl+M{u^^VVD z-B`wUL$Vd$7sa@)8<8STVo_djLq);l%&hop_K1dySij%#z zjpyR{QAwTYEBCpZHdR;3iJ+>%hq_(_bW>zB_LDD=!qOEwf zUA&{^>on)(hJ{03Iz{A>&%_SS(_Bv99^OvePy=@wWITP>-62SSkCT>*l)ZL8i|uWA z&Q`2iZiv^*OWf>$MAvOq30bO*4Y}XE1$y?KJ8uZSc-(?LigDJqX#`cw?!?YB8+7GJ zc+(e&TWx6#j;*}yCT}^-viRq&SB|O?_Z}*~n|w-bC120`FfUUUeE?BI+KyJlZ3d1Z z^AjB}ml{cXKHX$Fto3s@nC|J7C*FDAB<2Mh*Ve3j=B{tHoQGXZ72&+Leyl&tG#MaT7(ry8rG*ztY$0Gs8!%T zH6Y*;Yu#_v{Q25HZS~(+8`q-Lw}w6*iJz;e3?Q6o$1eq6Qh}KUNIjZh@f~!V^|$1>n;0(tatl~V;!gszW5jRqw~+$&v^GgXFuO?B(S5s8IK`d z!BGr>8rshxl&rV%O^6y*s`oeCYGQJ`fx9pdX>DP{rglcSu_BQS-uaAS7b(jHiY92>no+3Ya zNFR6K{{QuyZ0-rf&d*VBj7PeKs?E;vfX&zRJ?;vIBmtVB97j5L09h`c!%#I=UQXTOczKZ7s2n+rGgPB@OZBdqPIZ@CYIA=z`hoj0d4_Yecd3T zlMueKG8eG|zvGU7*(4?2!qB#LX(IUM!#c|n#E7wD?A4>U4_D3<3eV7KH#hH9pXyx} z@_6plnQ+^j-sjl9ZtPT7bE6Ya%KU{$Y0~E^vT0W=F%Nfx_7H8EF^;}JP^QL=9Db%R zR@(Wf*MA^W?fv9*o`3{*W4qC7_UD(x7M-W!8U+i-gEaCAeOT$7oTOM=38#~In?kuZ zBJpSXmSEd-=Vd^Z1UJ~|_61(j&(YEVPn%scJI!tfrX*M~M781+gANV8!JkELjdtlp~DNG-J?R37gCq}e)X5WLpD&V^B= zt`_`+$K#^W58if9dXhzBVP6TMG7HuD*w}jg&`#sz&aG%q!myCfAn7KBA^c|e!Ugu^ z$122oQqfm4{D*VY^=M8-9XPQ08mp+*kCAkN_L-Se%yNi%P?7vtni+X>Vk?qR@Wk$h z{IbgCva)jDauKa?@ZDBNlFXU$j%z2c-agx8zh*RYzUu(;(+Zp;+P$Dh&WiTxCLy^O zMzf+eY;kxy3E>-4cl(NJ=XZrS{0j@pv~IQN*}t%Lm6TVqy7uwzIjpXndC-eB%l|F{vVHAW^&YJb3~^7z=i|8){VEORR{17pU1@_DuA2 z-yp{Gmb_as%^K)mb68hd>JY_|z*e*11_qzZM$R(REI8ab>N^;iVaw{WmG(h+Fgky6 zZA{ZVqdT)DPchAfn{CY5W@FDJ@3sW<(B;dO)<}kdtbl+8EF~ElnQ$~8Qj`U)VU9+~wb{A8GF+tUuyy-6V5AQ9QDKAr>wJTUM-b z%*M2LP$shqi%92l2+%dvB7&eTl&dfRnz90`o6m~JHI)1JHDnaYJMKPhlP0$~G^3CU zpKmvMV^cp*7RykHp>r14CSV^Qej95U9pH9EZdx&PAFZcbH-7^T@QD8AfjM>a|k@LLkr!tFi18%>Zx_o z&`ull0%eL8^;*wQgVAxaDt%1hu$pc2d?E7$igy+{zqH1M!!RCUM>nl zo_#>(PxhK8*;(!iYUY&YL4{gAOpY$Rn6OFkC8VT2cC^ZkfZp{Sv@zq^VHL~>{$b)4 z0Vj#!!1XQW!pNjd2Dg5HMl&C*?ao5klqyK-k(-M*rTg=xweASt0p%2GL!zaduZ1@> z2i+qGg)Qmu9{-rbR~*A?)tRngy6n++Py?IpUNtT*xu#0CwkU$;Nw!XA+9EOpY&3oD zS<*l0$)P)AdR;ScLc5(M)X0QhPgdfZkc=wb>}7VPd1OS2HwBrpiR|hl7BJl>7ws5y zyY60pOAxl&FhSas3xn#og`)x%y&?{FgiU)<%Vd*9W-+5f5w+e@%n)5A&qAet)g`!p z4!hn1ih$y5LwAy7Z{*6Hs9{0h216m3r!CrHx&#g&W9F@342~Qp)$D=#KUwV>+NDHV zoYJhz0c5=*ly(8S?er8&SzHBFapD-_1yDU4Ia>b|^L1)(f}a|-SNz9)DFi4()Ko=B za4S|$a7(6py;iSh!9cr0+KAB$d-_+>laJ`+bR&}@?O_=^bWo@{R1m)55x<{AhcCzS~R%OOd=iP?+!K>+o#U zC&ij_Dn1)MSH80%NN$9#vTT&5MoE8} zx(o8gLhLSIXeRQfag%T3jg-VkE=Ef(;5mD#cGq2t^;{SXK3+&+)r5o}d+vT`Y*0eL z^%}5BbHd#31LZeKDDV-}bEV(TVi1f%?HEZUa{jo4bpQE^1nmrtQt~$@sSvC3cpd+= zi{k>ktdV~G3O`|3DCy>Q$RAodQ#jJ81IQ$z8x(1e^nd~_4nk1hBBpi+7HfkELQHSd z50Ci&_`owD)#@Uzz-+2IC~S4Ftg8M~FXvN}oaN_}$5yP~Goxx>)Zwo!9XZ$f+FzvX z8pS~#?M^d5KQsk$ySr%C2N3Wx-oT3KlVAgwmlht+pKm1AROQ=UTGc&TAvy8Tq>ZI6 zx7D7{;>y*OxZ4_Yfe0%wlg+^Wnjd$Jy==obldUeMEF{NIM{5eR=-I&7RXc?stS^P$u|M{$yAQp<5QP+}?)AWWZgtFY5aoE2yg(zMGoVy$(*QFHX~bfMgn=7Rb*qdB{)VjxV))l(jGq1 zV4h=$Y~^MydwX|q{l?vP3s~V5=dfb^%h^@H(L@uUC;iT2p5ojYgFixiPHCqZeYj+P zRr@fXoV|JLTSCVa{HXn$koZq8p_u-A5w<=_e4dPu)#cXawH${0Q7c7^kxjf(g zZMN#2g)%JobWlELP-VLS*c|AR2F^o8(3Zf9VI3bwN8-6DCqyp}uBa2Dv)()L z33jjV%)Phrz))v~2+M_$sVJ*^V2mI_`F|?j#&qm>b2X@Y-1%3vUv{E z$}DV8CycWBFBw18{Aw+#BXZ6$s!!+>H9-rGH^-kwKSech_ZOB<7<99;OWMSg*FPO} zu94Tg=%S@+VtRwEGCe|AalrCT`^;#s&IS5%YeJ=lA9Jrt7I+VCSl>7wPhjM32WP)S zqYAt#=9ZaSZ_HI|O#~U3J^Bu-j#SGsL7LVDMUJ*ztO4sS>V2GD9$k1IhOmnW)?URv zGMmOHN=0n#kPQhV*_4Vh!0)ysl|no2%nN^1Dp=eaO=69n${)2EfDU?Fk}+DVLAE?m zDuxlV12ZEwD^+y=$!<%hDMc~76xB$FBf%hpEwEL?l@HY7a$hhXa}b6`yj0#wi`G=( zI>*cLiVHm2G8X<;{>oRnGjo{pum)`}GahSJu3<&&d6i z>MP(tah}Pz^$VvD5w;dV-mb1n`i6uGACA|NPO4uJT2O;a+|{8MT6WZG&#pLDmeIXf zgLtm?t|I6szSIv>V(4HC}Kt=h>E6J5fuFPEh@DIFfzv znI!uNLpQRd;|F27QT*m@XDYJE2h*NR?%sh@SvHsTHVk%FY5Eri6%5hEy9lZ&O>zU} z!S2megkBBhM29wXV^<~Wg;&?dyW&zRfa95nOcVud-EB^Zq0~C{>n&46+f0^?q(X%? zb5N_on~W?U)qXIXKV)m48OF?Z=406};Ae zQ4?fO`6y#%bb*P6)cIZ4SfaO3)xbum2%xRLuTC9t)_Gj6ks5QAVA8@kfBSCJJ*xM9 z>u>Y-{^GZ$;-$-;pzHVXW!Mty&Z#p$sG_T&Sgf_4=eh3tzJgabswWmwx{Np56S4d}1VM0~fyO|WY)yMd z-)t$9O{U6LM1@^9tOArjF3$#*w8=uWPEZ@DH3j~;N%FB>62B?4sl4U|G-*cH0P^7+ z`2nbSj#o5ehGo`G^w2(zuW9e`RhpEM%xFoX<}3SB5B`hS^-t{qU48hF>Jr|jR$j6-ce>40S7}M~nx&c|B5&>{z9v~L~Gb&pBPgK-1MEd=I#etar0|%lX_p9U}U^gjf zztB1BMMO13dN88=^FEDQmQ7&X?Sq4@MfJ@v!`FgSY{l^(oeZxxIlO%MNva~`xfy&C zpehfqgHRVqvU7+deoG!8RVCIW58F2;9kjBTS+bvJgR7gz714DR%bU!|7S}&s8ZU$a zyeTqrLl=I-v8i;gqR) z03$qWeOo%sa!Dw>f3NY_UTkqRSQDuevoKdjO!e=u@CwAqKS99^rucA}L@BFNzVMP?)&2DR;t- z1Da1c+`v~D>ShY2Ytj_kmOkpa_zgf>dq~#FUT(q z*}Gv(@j31x)l+hg4T&T7l{((_Uvw`?fJ6S!+v^G&*+9p_a~N~iJ#hPIYXkuF5M?=V zrZabiN%1W0skgFS9bsl))>II2w_o(YD$c&K;{pz&=ji&c7b->?sKjxH^RQ@4MN=FcG;7W^44x=pgYTl2m(daRw@pt4pywxZS87wzZEk;LXjTZ8dqp8_{b zLY!a%R_LWXz;<6YveabFWGw`Of9%3wfy&Q>RzuU3B=&ugzf3pt4PLLCuq5BFGV4?s z9=G$}r>$(}iGD|#f1u%zk1FB+iUYcusbwWD3?|byT?-02ua+RknO7C)f5Zf>0`>zN&_`~5T(g1;8Ml*h9#c75@6L@Df{ZOZm9r0Hm0$0{tc`kT=P8$tXS znxCKg1@iS9Y*U_Ia@OQcR06-qhdDHuwwlrj8(G(Bp7&@z`F?_EN%wueLWo#cthXyN zU52HP&a!8_vCTJSo^@9J@&(CUb(*gYUHkMA*`7d!^VOEej%LaLE!qDiGbj1>;jc>x zysbH23-l6wJML5M9}0)R>2dybOY&d%VMTzUL{DptdEExMVf2Mn7J2@=q5fYt)K&LZ zh!)&Jy2a}PuZ?*5QpJ$Dks0wsGwVuynE3J3S1Kjp)?2scQTvB-c52d6b8N8&ogI|M zjzk4HibDwnqNxlyoC`Z)J!!;pL zQxL<&Auo-_9?vxM^rK0RO3MP)&F9a$)imd8Y-cvKK_B4`<>uy7jt63aT;wuu<91^F zk%yxq4KwZ&rWzO#k=+<7 zk3EN7N{&(A2@kum0Eni$YCC!nJ2bv%7+iB9XaMGf36(u8cFi;CnG-dKb72z3$z0)v zi<@(fG3y#l!m+-r?$>zzIIFEVgp#7pj}?>sFjJUZznz3p8TdFKvB4~^T#V0nlp-2Q zD&unT;)k?$WTx+$h<01=0xv(mXjN21O*4A@bb|LzgbS0V;Ky4Q<;A%*SBmjIFym3Y z3TijIS5QGpwrF=vVan_qRv{^9dB>gNm|jA^17sduH0SoUWkI(8!r0>nC1KN=fQaQhjl6#fq9UowtIf?@>$$CI7biRxmLHQ?&yEPGn0M z!;oQTwqYn9t=T-kGuuq{9I~bcCa0Z{?=wqVe!ki{=5>9&xw(5hMQ!g3p$fKZJ;&iq zc=XjX-WvYD-3=?Etd4(a)|+SY>HpZ=&N5M=+-gB<5}cDQ9X&cq3HL9)%IYi({r(1i z9yH%`2>!)Bo^H`O!XBb!LoAdrfmjCg*#v3sRANuhy3Hlexfec}1Q>LS7X9iyvo=V_ z8kq^jMd}YlAGN?U8MFX=b@$T1*rj(M&v7v*9{>Yq<|Wv{X2i*Ce(_NxXCxWnp83YQ z919c#ST^QVZSvM^u7?1~BxSm(lT=_06KDwK?i0hm&wJjEu1od(#dE}{*?@A&>>7er zg}mz0=Fn|xg~SoZbU{A(#v`e$7ge3BESJcs`)H+nJpq!F{&`DRq$|7ikanob2J-SFlH5FSGvDaS`&ygiUiLz)kz)(q)yF4 zGt_K$NK{1Ygtb*#c|VOFtHi_*gUmj$T7LIr9LjQ&1y3-d-@dT2=t1kGo=-@Fz#Iqb zPlMs;o4<7CpC8?w0xkB6KyeAxtLqw`pNLJHGMX?1d5~uF3L{X|Gm7uSg~OZd8JXRH z$T4S8yf>*gyEiLOnf=5F*N*Dxu2d_8h3U+Tj>WozG-o&TLRX*8p6HKaH|CY^6tJ4) zeodCr#d@nE>*+u6);V=eQ5MNgqY(Qt9NhX{+B{lsB52fuAPUH`-QYUhHXcAWQdH^d zD!tRb0;7i~+&2 z`W7OxS{nfsW$DSJv`2e2d~_ezjXRiq)CD4@w?mzPAUral4BZhQl8K?8vDO-v$tI>x zsbaXQeC~GHB{RzqYiS5rjV}L=YyJR$J0JcZ2rKH+gN87@$iw`}6DAntSb%SB{!Xp} zngYrO*jxBfD%PFUtq#*9WHUFmz8BXoT;T>eadl88O&qFz$KE8j54^5oy`3fCmx7^0 zhoPO+Q3*S(*+5M?X02d`0sM#>$MZ9>>q%AYsR)cf*H~FT|3*c}qLEA>kBmyJ5yZK7 zX3IoZv{l$bf+8v-R{qQ^xBzb-;*AH)4CL|8UGW}^d~hK^_RoCeTZ-#j@P*YFtDFB~ zF(?`;cE-xAnQ2}aMyKOxupun4u^EF!^A}76UmpAz{Y7@fNqI`>3TI)P9=3$6+ zY3eoLEdu5OQb!mC;4p69t>FRazvydYAzq7Oxpqon0Y?^O^t!{o)1Md{O```;rTvYUM1I9+`E&32K>?K7{g_0j)EkdUsBFMF;&Z^z<&*tPp|gDdOJ4B20{u9^A->^z ziO&XVR$wy6&h`Nop}P5W?D5jsnuIom-|GXJsN*{7RJUXM2gyideQIWhM^)GA$E!{4 zsAeEGSf0vtADr3ED<*Q@}x3!<#~mRo#8JnLJR5!Ri)5Rtd#k%{E;!F zwGiI;@Th{84C9WJnG(Ews(f(yd=2JjI{k$1^2u3SuKD7wD*hyrF*bRQ$naNY5;R*D zz}b>V$W=Hq{_)5g9O5F_tvTj|}mT|>K((9yA6@RZp``WcCYCPmM)R-lvV z?t5ryc-&l3=i%=7nh6Q{(HbrKdHGh$Ia~T{`cnEEer!=#p%0RD1S}ZhFooc$n}o{m z<2@5b@lNt~VT!;dr6W*3a%qPOAZC_bE%7TY1?A=&13uMkvsNW1$8pt+Mz4w5zet`E zbR2ZCC1d(fCll*#xVE{VGA~RRR3hg&To3~vr;rbtf3E16qK(KGGJ~W6lRWLF?s|>l zR9?Gy#JjX*2GwNsqF1*+CBFHPS{(Zz3e1ApHl6>CfcN}F0U!lwES*n{LB%&P@r&C^ zsPoAij@!UyGL(Dj5oUPz?ebg&${hUumA2$#?o;E9963pM~ zMRG>ri77ltQh~V6N-JrVR~|A+MQl9vWYB6H0e16vD@&9gvZV?*Emkp7`oZw=Jcow) zEtF1H&-1)r<7lfX*xe%Ja%r9^pPjp=Z;T8C1WRLWjW}kFn6BChJfs0Knc>=+l#%(+C~R|qwE-3g1QyQZx~)heqn!;&hQ3g z&%bFNo1NZ4eRFACNQiR+jQ8p@S%5aJ3Sqh{F@Yn}gc)6jNCg4smAknQ^O|q$=8K*P zeJuad)3HSUzOAtf{h-kWr3k&YcGpho@H|;<#xr#*;nfl)@_{FTQ`#0q4jWC3d8Np2p-emZwmEpx65_6*Ph& z@vA!wu|la8Rpzs=xiV%f0nFKuU=7h#o?!5(LPp18RjsQPsP)yc0MrO}Vy<=HQVl{z zF+DnMWj?`CY<-VbA%ShPtTDt3Z|Drqr6I|Cg`^Itf!?f5PruKt!68FD>@<5m#`ZLe zhF)2cFfiERso3sJC@v}#8{pyO@k1}SLT zNxT(0OzV`MLO}rIiXIztRq%H@4`~Pf&sOQ74+3RFvBvpK{q~9JJSH*z@&NNvvS7zr zaA;Tkq&A8Hihe4cyNwMy<4PW`yno9I&cX#XH^+DH4oTPuLdd!+@B?YNVFJqM$0Mh zX~mM3uo_Gabu@VjZ;0Tv;nA|00Oa97h@(Z!HGuC(`O8=i*r(<%$*^jpX4a|rq1w{D zf;sJ>m6)zexi++z7YgNIvCP_=A@ALRwqMbLC$9ThGPv7(OPNe4$B2n;x_R8OF22Jt z-fMl&^YVnT68lAAO%{KLUDo`wF2pHm0&``zvv<_e7$v=nhJ#yhEs?_#8|iq1#`ma# z1Y>i`nUd+I-9=y8I9nvoM%9Ny*}~b`fzmut?(ZM$UybBwY#lVgAN5=1Ufmh31n2N9KD&=TT4(mh_l-7tzWEa!Hmbv^J|63Nq5t5sA>sGY`X&0uJz( z1_p~kjXR9|W-cos(R@EDYy!(<8$Z%#CIw5L)0P>Anxqz|=IJpJ_n*?^X22%HC7-L1 zQpHX4hvVN#CLB)x3dy9Pq_H(?Yw^J3Qlq*(TfaY5HPOq7*h;o-L0SJD1;NV+1J}?L z)s}Squ6cI9OflkHXNPOzDse|8F%qfs4Gk0=7n8-_TZ(G|bh769MImKQ=TozcPa_ZU z2@Ck{{kobx)b2j^En+C`tcItDSWGiCL20%o3!n@VyYpksZJaw&OS-tEpH!B|RO`LE zMdn|1wfELaXoi1+VR3U%Zb3i*ImkI(;GH>NZuj_1KWf5_uee!oazLKdAvL4?9baz? zn-HET*XHZGH|8#@)u=*QJ2T#(TFNdI@5;Jm8p2lI z-w$-0feZX@jTz-CfMN!FF~f(xsU-c@sq1dZCfDw|COcmgP}S*D6%hnZ|FqvcCk-Iw z7;K-G+y293<>Ca>*L#tI{+$di{v7@@5@sig-8hjaWm~b{zW+1$;}3CLNp44HBj=-) zrN3KOj2N+m6tWQyUKuPrj%>kjOW@q<3t+Xpr>|@cM8(Qy_eU zYh+FRDPgvOgX9yUot9{Kvk~7XGwoVSN4LK`0KxJ^8I+bkELgLA@UR^>nH*G(@ojM1?Gjb{jan9wrz;J z-9u*v-@Ojdua1pvo6QE_Y&?`UsQvss7ndqL3=DXHSJb3=16R5ZFW^3)zg!p!a>qG9onp?Kw8xPG7Toq7b?f?uR{f*$M zr$_C%7$e4^1*l1Z`o2=~_}`!ZeLw#FKK$3~p+=cx%%XW=j`^+f!|tvp4VCr!!QIB+gJq z9KXWx&WOV9(grFn#1`Of@B<8r|JdAne@`jk0_04;$N&9@oayh2x&McpDHS#~x{`Vb zkhkQ+(BAvj@y~Q|KFI!X@K1IZsxR6l zd+|x;t{fl~ZrFpD_t=AC;u%@k9rzW9Q?gQdI5ic4pRFudA8nXW_~d^xF_r#)g!xvy zGOy0U!gX1&gh0?AD(l8N%wPihVUKM#dg}s&25P0Wnl0SGYr^_w^6!3t_Qm~wTolmT z3>EoeKKvr%Hv*#kzGKp|UW73WIOh2W>n|+e%Z_$`zCJn{xdSh}8LWfe`bi#mT-yl0 zKDm2n0OsIUV$pAkb7;$;Oq9}l$}^PDKfF5_$Ea?`yJ|9b?8^t5q;V7Kg4SSci9tN= zEQ#g;1t&A9rB5Ah1V3kkjJ|$HLs!fGQf}y+jTX<~iTQ@OIUi`wO-r%!@8~l6LBiJ`GqcZAMr-ob z{CQI7OAGqmvFMOM^6?$SI{9+KpGE7y}S{ns52(iDmcBWG=& zj<459)%A}{dVl#Wd{-c$FL#CO?ZnQSCd7^oWb-V!duri%hUG-PDJrEhX$z`9; z8LI8`j*lC)kxOabldifD)Lx0<80WcWwF-k6fxrA8QVH1} ztOP~8(k0+ga#cctRU};s%2_=peO{h)*^)~%8`{S~r@x7J*yVBX)}IqFawjD+9)mvv z_=yAWX$Gqg%Jo%9mK{RK0X*AVNR&})H9gnYc?JlV7-zxLxn|nsEx)GMrmwPCb?;T! zFgyp-o0S?S^k8(fI8cP$tcar{=aT%G_)me@)nwtE9Z6d?y{6?UeU=e27!M=E7uH>af z&3NfoRa-?el+LZeRIxKE0-#HbKa4usQc z4|5|)EKkNfE`Z0KChvhdPC?4aa~-*#t6xucxp1xUbCOO@r||PCl8o6}F?4oJNaA8{ zpr7Ban*pok`8z5K$qvmiO^QSpc;>k3rk_5ECW+Zc56KHj9tD#M2869WdXc!Ncqart z9(;FWIL`z3DbReo5F>z7!|KnoTAQWdgna#6V0&d%AJBmuLf*dRJY%oQslC3r%`R@v zgfYnWP!er~`=7ok2(%0?-PC2+2*wzv$8z8u~QlJ89hLj=y**pQZ!!Z5epq%NYd62U5S)`GKce^tkAjoq!q)>GVOG8 z>O2P0wMhc?SPnK1|2r?EHt99QUp$a3%ya+UKRCA;D&q=tFw@YVfhDqHocg@8S3ny$ zv9-OCbLt&SwT@@*zLXs^$(sWFHFVKCX(Fzs+g^U^g;U=yJ;Ib@?@GEVj>W@@*>Ae} z7x5~ z|Bp9eDAEymA5_V4t1q%f_?J#o+w`}lZZco4re*%3)&?|V7Qef@eXM3ujqRD}uU~@X z1n(a)bG|egY4oBFkGx+=c{vQF!`3y(24sXA{p|LA zY=ot*TnoPvB%uUTXUJDC*Q8aOl~PM3=rP2_ch17unsV7EgN-$C^I<5Q3osxmL-HA6 z=bkJfXC_iCOjVcsUi^UGNtWhq@p`R3R;(8G5F&=3SCfEDv4*piNXHAa#L_vW-y0d~ z6X_$`At$&`*HEEO1fJ(r1241F2$9(dL@MnvGs!d1#-qrJnm@SllEfI4;Elel!*)lo ziUZ-kN{sppG-h!t)Z-jN@Wl=&bebwPt9i&w17@abm!iyrqsNRA} z%j~Fj&gV7aktQD`2E4vpxo3JK649Iat(OJP59d6~Wd<6!FM5lb5~Qp$zP8Qj({pw& zoX;-`ele&0rR^99RyBE(G{$QD23`3+O}1}r)hsBtzWnG;%G-}yc|!I&sHZk$BGmq- zqNn)}9Qrs1{hxRx-(g)Nxofglzh8J=y?~`Yp$vBap4tO%su>ER`|WpaxaZ*lvKJl~ zEAWgnTE_7WE{UwK=#W;#1oz64&fK}l$=TR1;u`i=-iJtbsUHnKHriOow+yYa7#%r{TQ)d8^F7@ zE%_#K`~IUVWIS&QpD}TfHU0F?<05ciRx5{&HiJj%gg`rtlKYg_$qHq?{nCt?Zg3u4 zjkSQnPigFL1eR!$Zz8kEOTHR8h`Vav)_a&quyt(2Ss|k5;}QFnn!aS|^}Ba6O0wX9 za~#ndp=YlWN^kmX=E<%)-ec@)+n_tNPH7aTyC3~=V1PPGZRS^@Q}W{z?zm{>J&yg2 zx2Dzr2xJqh8Y7WhhZ+6Soh?RN=btAC!JL#@QO!pS=SX1gWT&#=@dzypD%05CpAiiy zP%w*9PvT<{;r{B}UD`)97RhU;K&*XB$4S+!P1gDljnlYT@=XoyVgr_cMm=;>jM<@BT&b0q~9tQ;1WX7YXKrtn_xTPw33 zKxPP60l(`_A%h~5`!ub~2Lu*Ei(Ob3r31h0w|Eaf?W(X{e-m%E8!ilHCEJ%PUQ{!# z+>W=t_dPbfJoZZ>N1__PdTjZa;3*5uz<}g-yoS>c$NQ0#Lf=(#tbym=LduW$@a2z>9i;Pe|oRq7P(4&ylpK-?#l02khvC;umv!as;5ff(xMjr^%>AUF_}3r4mN^g+bw=0`0x?vuhbW<*Zxm` z!A`B)^4#<{f&+vP5D-szsXv$y(0S1K8^OZpF8(Gdwj_?>{NESw|K1&_j;OWi#5Tf*zx{`!sZ*k97za)ff6~2bB5G!)J$Szm#eaZ5i{l?r= z4{8^K@%s|Ko_)|9KWjUpuwa_;pi2qF?8Vd(L+(!~gM(tzW@8g1UDL%qzYMu!xgjLB z5XhJTQ?v(5>ukyV6DWHDo!$E(!R4Ll_uYon!5cEWAyclxzegy zj646`3ej(&S9o6#KhJv^dW*P#Kh^klUkc8B0Cw%3Jx|i5YsJli%7JQ=eUxjXMW0I@ zsAO_>hyYS<4`_JRd9>`J37mJ9wQLCKGlVwCZ&*IR6s-(#$Bj*QWmhPc9HgbAzkuCZ z)qm9Y50m9iU$uHFR>|Xj6= z77Te360*1WLgMgl5yleva}&n5l;cwy=f6Q&!rPUUUa=+VRb^fKoyR*Be;r^5R9-i;}%{?eoCIAA7Sr>W|~L?@h4C(b~Qu606Lr zBh<-xX&jw~N!MR=x1J<-SxH}jD`7ln4>_%<5N~u&{H>IA=~{_BtBk(#=*P`55s+9L zV3YOu$`6VrMjkB5$A{1HXF9k*dC5gvLnlE?$%s4b>hnVluQ**R^VnF+A4mo*Rnnf- zHp#j{Ns&SjXc^S zQh(09lm@c4ZmC8xM+SAUb1EBS{xGe_+O?- z{ogfo0?cp!dg%0fUotpeqWmB7n0%THgkN;XzsqW^u)9MUu#nRtfh{IeZPmV0&cd?5 zt?}+^YWZjP7Im!{l-ZM^BIoa`H92O6IY!ZU7WZ8fRqLZXZn+fQqIhNhh~hz!-%!*= zl^^g|Z2OZv8644*uwjRu=w*Iia?txyK3+sOnTZ*8<>ooe@rUH4X`mj}vf zQyp9G1>{9Ug$mscsNCnE`guyXsCF~Vv!9`ikgT(*p!cx}YX?`8^~_?{gEiZvYnEvjE(p>c)d=hY;4;HM2&n z-{0(0x{bcf5@6AJa%C(ARrj#?tKYQ=z_%#pU+^t@AlmW*ZDFPmNAedop51LLglNi=(b4MT2V>2Q5_Q@tvxBh5&uACH-jw@{a< zQ;v*veF~lbhHu9zA0%GFXRy6X-D2n63>KFP`Z;Z=_0$r-kB$|(dV6MujoR}0Htr=G zAU8fr{ifCk4)s(+GQ$`_rmF%EpBZn`>Kc%e9W=daMU^JF1-0{fE}o3tE9rjyZj5n} zf$C_dm2B+MW6fFp`3H36!S6FuLY&Ju-UhWlMCQY8COAQ{B8w0ilbTI+BbTh3BH=_$ zRp-99KZM>0E#WA9dS|CMD- zJ){?--32sN-Q?`>h0n(`u;8wcG>!wtcqHIi5!!(VNMeA0Mj9aSB>yV=smQYu1TXJ{ z-no#V?9*v@b;;uWK&COi%azK_m8(B%>9z}*lYc`^C*P-ab1Nmm0CUGm2q z&!p+=jiUf%%i|C)*WTnG@U#F#X`D;7GtEg3qYkbw3#k@;w!ypXEIrs-b+CvO{B}~d zx*z9lYqA5Il?Y`$FOEy|-yC##6UVL_;%VwalYsmZ;=>1p?DUQkTeD%*v}T$nl%Fn~ zv*(X?F!ao*l$o%ttsy?O6nKAYnGT{jrKVRJ;LZ5y7~!i%o;rlv$=_+NIis=1d)v?F zgJR8l<_0q>ny?-j7sf4=lq=N`S96`vPlw>PFx|B8PtwRaL319HuOcJ)cTR5#U^X$m z!I#N`<>$#5Gyvd~%qBW)# zr?H!w-cr1tEBoXXIR@eyAFamrxf=T}>`~)j$`>Y~sXI+)$ha&Px`ZdEH;Jl% zX9I>2D*=Mpt__#EkGm6oYPb%#jCr=4jNbHFjpLSp0HY9FIHiQw+JJ+fuYIoth{!1! zC8J77a=*V+DM-Y5E5HVa#PwSGfl+7aE6vU4n7P8VgTu#*HPz35bz4c6%$;3Y;RC6T zo_8LmwuQ|#^d!@!xx52|a!z^5=pry2QLN${=%n7a=>Z&RK_k!_*OLNRm3qRsQ9#*G zsFjJ^bE)EFPO)5@Y%pWtbIc`?70-n7bSt3#PLrbHTwC~F@}*1hMip>N^+TLOO!1Z& zZT@rXQ-SPrC_kpoIz?&mZXC_Nyv33jD2i9?L`ja{d>HzC_-odKw$$yCes`LY`x$S% zl8F>kHvSGp$nsB)?FC*!5ymm7WMi`a@Y(91;Fs6)-3-;Hy9^G9*P)6grVmt3H1FE> zeDf?JyZ*^PS@$-oj#bX^RS{R2r-~rcDMuQx&Efj0FpX!ncqhCGCczTO1~otd;AB#E zpW;48F4LTQ&>G+gTt4QDXX=2Tfo}DJzA(-(k#tB_3*}d{tA%s=Z0z(!pBdm^;7vYO zyLPCYWSWB#RNa+irbG_JB^Ko^UCyFP^7Wfr(IgXH0fqwuIWN%7{rA3fy^rFTdtzNR zwZHJeb=kyrAE0^xB;Y^*%uOI6C#+&`_7yU|;f@djf|wAsx!sxOBOPkHY_rzc*%f{o zlC?g|Vb*Xpi0BjEWYvQpElWL5M|E z#>_ECtVMlq!)r@nDYtW*=N!G_r&wF^p0dTSygwadPOtwMLT{ti@rO!tM%&}!(z}_y zV*&zu>&Ek4HAs3Hle9zK)~?Rx%%;u>Y1-j$JCWc{c`0$nT$sC3alPv$(I$ly1UA@7r4&KoyXVFcaN%j z_)3LRycV3fwXA&YKq^oE9^X}?b6m~e8t?sq{&Ir@_uL(hq}j%E+`U`*yO{M~ajE`C zqCx&XX{G%yq!nPUR+-aK%}w8zXvuO_)P=?~JoSHgI@bRG;OS@=(30X3=pq(@ z6Ol_9_pnX-OyR#4&G-Fff3ivLtHTJ+8tG58{%-C^@BDe2z7Bo{H8!@fq)NwSR_U4L zWmIScP3<7i0ziw1=lsWfTokSaO~0EFQpt24(&5q7S-r=6H>5DYLZ{}?rwH!XmoPBm z05IV*lAw1{7r1R{48@!QlnM5Af2Fw>8Fzs+PE^p|hZq0eW+mkrLa-Ey#nm8l(4SXK zWcMBDL{@_>Rhr;k@i$G&w!ZNt+%5zbyJ&F;2zP>dj~*Kd#BA<>>bMUB-p^h4Tsbf+ ztwLTx_2-|pV7FV}ErqxzD!QT?)gExx`S8>y-cNr;n&3iw|ivh8PWU2`Hkht?G4Ee4kJmu9F1@p0tMYEJ5EH*9JT;kJEKH<0DH z#l;d7Llu(V++-RzhujeS0=qhh9=9`}sdnSL3WJ-@*VVzFxS;5TDeg6QFZ4TtB4du& zlDQtS2!9tM?#1-w^IN$9gKKfh^bl?I!=bmmd|g!-ms-fWCyF8$8T-)=;p`N{v%z0l z^uqnDs$vD@msHE#6CJba9g#+9nw0I`MGBhhWy1}WVRlEQD>1*o(6K2N%*I4W$V^Zn z-a56p-B&@v9QF#z+`e?PI&JXQao3O!t813+`#9#f+|RPLvbt`0zMxN7Le9U#F#nyk zR1V27Q@sD~raA0-{k7?=hb$|V{kD~GOJ0ArnvYpw7j6+xjR%##yRV0DYV(*YpZgmL zD~p-#JmVzpr1U22y#I>y5qR`=i1jk;M%N^Ag>ifnli(G2t`M=02@m?=CP!MW`%R^+ z(j3os_8Y+(%yX>mxKvhvViCSeE?XLj2I;yBD9$(iMj)|_*+OKGt?Nt!_+9AaIOq^s z!C&CK?!Dk)#r*Q1amcmG-BnM2g{u}gV6pv0SrLyuI;He-!=-@`YfNl;h&$fx;1J_i z{kbKHFzqW4Y{@-kKvK3B0-HtT@)e*NbKLH!apV+bQJ1!PA6*+@OD>~!$SI==Tb#ba zTd%N7t^aE@4R4I5%v%pM@8yOq4R=iD7w+5X%zg`M-ZgRS4ptaTOP9zBUx6<;&DPkr zN&wj9$?HJ>n(bEcB-4d0V|EM9IwoK-N|?Z8tWaf{MJdC1mOZG8%-6ksg?LNY)xMDq z!!Mo~pK<92WFR`@)+KKp+Hqm^Iqm1C;n6HHD;%)WWHqtyTSBCOoMMt;k3oHUF!h}! zMntI{byOPx-O=_@L^0%+95jv~a-Bd+qO185t`)v~>&+|{do86q=JGiy=r-Fb7W3|k z)0qz!8)J;jn6MGZ?k^yq8PfcwBu zss!pkHUDEg%HNM9gBla<3e!I5HD*~qZ15a4(Y1)09f`Z*gx>XuayaRoLgy;Rd1U1H z2-L;FL2PLriCvgXt@^yaY`U&WwslsL7HFGKJls(Jb%UHFa>bMs{7p?%EI+jw1Qs!K zMQvPquS?p+YXsZISEdzSBc--!%QMMRId5zJOt+e33NRptK6VrBSH1NpvCU`Z&$v7R5f2m_Ogo~L|_!dce@0byKs3PwV zG?z+HKL8}2Xr0%pHZJ{k5o?V|$YIYy`o3pq7%N=oF)I&Ul-L?DEar7-@VbGQtPYPr z9U?;+MI290{_uc|d*PGxKptj(KCnm{fry5FnKA0J#oq{E-(mc-0E6jwVoQA^*~kB! zIsXM|{M%CF?<92mAL>z^o(K|FomOXVYlRzK{Zwfdq51w$i7KvjzzCa_fNNNV&jNNg z3v{$q-P&q+Q}^C|V4FIKm-+X{f8UM&hh7HVKJO(y-{-?6_XeO)GTzGSr;Q>H^)(-bbgt#JjMm`YubMnW$AM4Khao^zo8AO)wcY?@}e+eS1hl{{s+;3At z%&+&h#eBLjNaJ!8-#>?3VXPWhl+y5au;S^z)@`C| zZN1srZyrmAoCo_;)!R`MsopkuOB(s}r`hVGg=Vhm8{y(DBYwe^7TM;UC2#vE#a^3! z9$_x7E(+(GtKbm6KitwFerj_h*Gxf#|%)T+?GmO_Gk<0z9gQ zbxhg`n3NbAU)%-iRD$-$zO~doRDaS>6{-N^yh@yqVCEoC zWcSwIkKp_9m8)uVT%_Q8?8B_V%xX?0j!BcY9d+4!XT8GCA%@!gzYG?94{5tKKbu`z zsL|I%AhVr(S!-m!&&^%3C(kIdk?SxOe@)0Q`-X9to058KcS}=9;)R3cPR`>^!u)W% z?kilX2eSI{^4gDIt8W)-y^=A0WJ@Y6os9Xg04wL8!?{z%9?b1Dh6$_(dBBGVc0{3J`Be5}nid#OCM089wtg<@5ba z8f|`UBWIg_exJlQs-F-nk4@k4=9Oll9pX7PeZ;6j!EGKUW$9g5Y)@OB`C?aYEsW8u zv%YP3GzZRGyGF*a1--G%2(@&<}?!csck9FggATO;Sci|?L)kxn^5ucxl5=)$uw%t*pBTrNrVyj?_%^((Jk!h?4A z`<$O}mj+QQlNZC}J7C^twnXcyk(osT9c&M6yu=W3xoZRASj&NVvI+Uj=Qb`!x$`2* zJmw>!D${wqc1dYPn~o2ptW0ic^{cC2Rf(;D#WPXsA$hN@UvVI!enQTTrKv|WCnPZe zH~DXX;@_;8e_EjRPxBlB%cK9UtdXZ>7Sm@Ng8QvBRuvv8tCR8N-=e078-$5$`Kuq! z3vJD>vQblI-&UeQk76J0P_`VEnm^7;o#~`0D3rchOh(PX;*B`R7SPa;|7P3+Lxa@ZVPO*!04rm5bqs7xq*)u!h3V$hDN`I7-Bls*lfYKCX-%_mlGADM0Xz3 zwbgN-n&}XF%1*=Nr6ymU(ElMe^j|I%(D|aS=^fDApj3wZGWIgR%FhQsy!u!HcFvQV zAfi0g5OTLFGS({wD`j?n@TeG4ooAc>7Sb%&VWyK#X*8!u_xdR*#EY%-p?6X;QG8*g z@SP;e2A!%qM&lJ?^LfeRp9%;myyuS}8z^o^8xdj35Nmeh3aJ~Jd6qLPmc}M%(zoZi zB5LoG-bI0Y{Tz4&%gR5oS?PQ*%;2oWOSSxf8zg9~n7J)G=)Sh_PO2&&e4GL-d1;T$ zAMt=AJRee05IADJr;M~`t%ns*TUZM2Kg5p_>&fXv<>TwoQAf3eSwV;!%lu%!kZMc8JgP*nz zL3H{>WL%OR@e@%|-#-d(MJ{luM@0|n7YRe0m#^jCwI`ggQpPnd0U~y_9avD(4#4K+ zulD$jK;XkO{Fas}{Ft!xd`T;y-M!5L+UOR7nW zd{~?78b^^3=*`@Gu1qt0avmFY@59&;dz#m{u>Gr?0t;R0sLbzTo`eZPd8UazwnGCc zp)GIhHn+E>?tSZ;5!{R>ySbNPp%(qxT8kk3ML0sQ6A6CMrWc^Llb(s|Mr@48(BB_Z z(C@7WqR4>Os^!2pQc-LvV3x+UK6Kt*oSSucVAPTov#OWN6h-xHD}m14s%RxxzD&Nw z)ccE$Ru5tE^iay(Qo@(Fu(BFcS4Nt&5C$WT{~vqr8P#N)wvD2qpr|M)ND-9Yk&X}u zD7}T=LXqAK5dk5z*k~eM2p#D)AT>x;>4YLJC{;>A6VL?IV2I!S%seyiw7vHJ-u>)7 z^R4y%a7A5^a_7FT>pYM1DAGykszcZ~u4DA$7o%fa7ESVmec{vHSJsvZJStKz)%1Lk zViLfW2Qs=Oc6~orht-vNb!tt*x|H!#flwXZ>6*_?j$(s{0@U5zwcCN)Hr?ePY^x`Z zY?vIY{GTn_x@#!kS1GBT(vnWs)d!8{M==RL)k)(I3VkL~MQ3#$H z@naL4UsGt+`asYmP7QtTLXE7n9@nRLzt99rNQu=FzhhgG0AoZL;H>ZjBx3i(t;xLV zl)lbsv9M(4{Gu6sU_{cKS=#v3uuwnL{cHIBo8ej*gwXPIbY;zx6U}m|pQ)3dDOtlQ z*i>34vFMqV5dXEocUn$~*HBR|?*w@3V!58MamKS;*$QaQSdMvmpN&2da{k9EM+=)) zOU)16#lsJp5pc=OuyMTOaH4{@s_t}Haw*w`m^hXNO7cOm+chkVRja%BF+(NN!pkM; zQHFlk(~9JRf6)CHviiI^xhbav1IgSLtM}fY+&}wJ!$l@`<*U>4`2>^hcl`U!zggUh)Ws!wL=-8xHX6*Iq}w1 zG(Kmr2r%t%Y;2gR-j!>C$vx7uqecqS+Xis}cq`<+SAytApHE zf}_WUopSDFx<0=@ex*S>`spg&je1&Q#;ec7`+a`hZxf_rKkbu_-x;P)Wn6vKwo-n- z?t%^|nG9K1;eZh3qH5Pd$Ya3#`h zW8iICA!|J@x7ETd>J-{3{kijo~Fw%2Pqq&%t*xFA5b}#qLL4=`&eY=}saNd=6h` z^l!#W(bNNE&A(xF`Uri0ZS+4p&HuRq|36;=i=?Ju1*Bybyt-EwCdTxNf#-!tHM-~I zH6ks0@anYL+5eu$`5$TL@i*G~e^Zpo-?Y{>f1*VqJaYJ2;z%cm<2$fUjNiug<0}j? zQw{1gRX1xd+fK*OzULC@d*(s;s~}#2n3NU}OS_w5B&T9-$meas1`rJG?u$g`lncHT z^IExoHZ|@a(nkL2MgQPtk+0R=W_}_YF*O zvD%AX5Zu(x>%yNVB?3wEjE7OFAV%&fl|6rOaedAc!M`H#P;?izXmgGZ2WXV*d#9^U0r)Fsj0OO^RW!-B9H%(I>VwH=ymr_UPBTV_GhR^B7E!f)?$6(IbbI6xQpYkz@%yr4{Fmr zn$k6cT<-0lJ#BMVw9a3Oe8GR&^U-S=HTI2k!Cy2Yi6p=c(K(T%OkJh|XLq0WpQjyw zP6e3#T9AdwD8Drz;QGcOd2YQ!UxU&~sUy{tRcyC5b*1ti37(@yhr=aNfX^`TC~c-d z=Jw^@ZKIXzo3lp_Hzk7SHz)r*0Ab=>?AZRBdP3rFh`oRMT?d=>(-J&@ziMMB z6po$`QTh~hd__*|y_(3VPp@T@^D$YvXLW^u&C%(*2D-WXCvkB_8PONJL%Q>_?>p|#kSra7>Ty}i#) zKprf0Fdb!BG*}3ojloYH*X5xm3n;SXhTB}y_;xQb@l)Yq8Xi7ciLx8>Sw?`Q>b9yKDKV`*7ZATmdMWi*&)BNWWVZKu;SZPiP6a*I;X-gvB%8mEEvn3_ zFXV@*0unV$a$pZJAy+c5J%{Q`f>o+SqX2(6+b#XTfL6C=v_DJsbr#~H_T`c_h3h@^ zwu?Gtt{TaHQlegasP><0jtbrpuH&GJbwh^X!?9G~&LYAQukI8K?%P;ZNbKKmyiJ?% zjp+YabM=~;xszVS%WF^PXo-#lNOt>Xt%IF4`P5W|tw38X*?ndtd0V|HxR&xd98v0E zh%CZ-oi(x54JsSql!h+O8_e%4?5tX4%rVhuwhaM(T*y=^oS2Ar%yA-pi!7L>`rE!$ zz*Ca}{!@6<(a}_?5Pq`Ysa;Ff?kpmGG1$pNSYdap*T8^Ya1fS`92%zpr3wdf22a+kKfz-2tH#0Su z!_~mutS=(W5U4?9Mr&=#^=Tl`ol=KKGm}CGt-u-iFG3=7hrF$<*iTk%-cqlz9W1jE z$PYHNYj8T(K9f8%W2SgfYchWrPYvtzIH;#|N)oi!xOI?2)s$wyj+_}7MHOE`5^|6- zC^C_pkLyD9QU)kby6~0}P~=o>0pMeI7ECe%XJRSju(54N>~)FxEIq7a0O< zQQ8Rg=lP-$cHo((4%Wm;`Mh4qOI{(t`vaFju}@xYg_vKQ;;i15L8>~+BVTm?_NF-7 z#@lOs!q;;3)!*;OvGLEG0m!^AFdtD(fz(Qt_^d0dviK|f5Wg-16hYdxH%U+98Ryu3 z;ncl%Y}Z^aoXfj?DD9D1@!%X7%?8JLq3I6=nV{>Fdv4H@|&^U@Hoqo7x~r zS+k=0a4R4e*!Kh(%R>Ql>Bb+0E)mBRb^TZgboip#xp3dr@fq41tQP@E*fC3g2a%?# z3#VU#EaESX{rD@>*dBjfWRvOi0$+}INO_jdRG|ztsb$TA;ifS}&3dwDjZKjC9}<1T zzLU)W8WVZVk!NWu&u^OYWNkZfgkw*UJT@#9;cT;MSz8t7DCN_dyHhA%!rvI=Q0Vo& z0)7pzt`%=nM3Xt>`ZMVSVQeseka6@Jhvqa`NUnqR)+8VTNmQg-ZiRFa7`)jZwzjnr zxzi}|AZ)Q$ikC?*!plFXAlu~rOx+c%o`Kkx5K|*l&ZA#6CBR|>PDI5-!jiKT7)6vurJ zBQ>i?mEU%$94nGS3RtX+II^E-4ZrC?&L{etO@ZFJyQ_9)lHqP^KYY=yuYGg~A%=yH z9fi_gjtOq?oZ{Et{-7gFwjvy1zM{}_%A+*`5rPUE0Cs%sjNK+> zt8nmCYn<+MaiS5Caz9=|FjQId^<~$13EqTR)N%i&1<1YUQYIK2tD(aa%_a9z^j&Dh_I#(T8vVdy5snmz6vG(jr2NTha8FQl%&cw+0wBEFi(QK|Re^}v%d5OA1y{Xwj z`P{Ix^6@9SFU>Ic$(A}h?~Ty1J4bjC>2i@WO>v3j{%}E!)<&WsCI>0oG~z;XC+U#* zpQb01=X6MsRcQ^=0rp`1_5|F|;R;%qTeZ=5p}2d=e3GKjmyf#I_IX-WoX3cCq~ntY zB<_s6vf>Qdlyo|ADUs<${9BF#r z5^e0~t=RubAm17xsXw)U?o>Y(bNC>#%$EEB*KaURhq@jrdKw0+hK*-km3necNiIj9 z(-N!lM#ofUo5fwDy50?ma{P+>EqkXKUwbrh*WVr7)_>&qed6Bg!5HAOaK}F(#cA7oHMz>--e_j?2gfz}jy|3y>L7fpio9A&=7Um(oRiBjRm^^@ z7tv>mUzFV{ifH8K5tcrgq2{B0awgT1@ATOSC+pQSLPZIQm7g2u?r7ORUli+_=H4xw zL~ZvUxljoPD7js;zp38-^_KYOhT~t={(n?@_8&6V{d2dn%H>Y^*a93|QqDxfd=whq zcqB4|4a$2k0}l)hm)5tYELS>swu)@Nw<{|Nz1~)b+P*mG=erdp<&8lxF@z;0;NrqQ z0!y$AC?EI%;{lkq7Lhx9t(64MZVG46AXyN){9I+R42IXpjDxL_0MTQ;xd9s5_PPm; z%*0qa>ap1`tY|hqMvT(He-Mlrwz(ClJ_yHw)*N;%ObNN~aBF26&Wpk>8jut+&>Fsb zSFGB`1F#>M^!j`_6z?h48CMs-(VDyqp+^1YUQR6m43YLI2aDkVt>_fj2|S4bjzX>r zcdZKq$Wm%4?}@D&Tz*IistZ7QYrxLReg~X5{l;sm1E=51LEn?cDmL#yAzmtt=LQON z#SOGl@ZS`A-8UENGd($1#IDJ<$ht(3Yrecj1klR!J89Nt==2N?f&?z{9VWvS#3A z)vt%v`Q;JHnL#g}Ru$_A2Bi2^Y!!O(n#PPB-dasI7sIQo9CLv%C%NX>Bax-R2iHdq z#@^#{`jcPaKi;R73jTN%o-HErDBraC^%S2C{9IlI(lbR#D=A6}It)F=4^n^8h-a-I^#{U#iVj8u3GO2&`VV-C@ApuL zUJ)4Nlg51V-5Kz%auxEU12}i^hpYvz zMF{XjraDefO?LaVBb8GR!J96JDB>&XZ||WA{$-)|$o{v@Ch|N`G`M9TRZxHWiDa@h zQXhC5wj1r3vi=~qP<|XbR5h2^&{gsPru9v6EjW*9MKn8>8FiY?r|%_ma$W=9inDiO zfHUaul*!~RKK;7Dw|8FXy|Lf&nRrd!G61zyB8Od6}ngtV>u}j2ItKq~S z%E(Z_G%}^@fgr~Rz&q^sKsv4vU|u9R3jWqT1>Rye_yR&2fA1Lnn?O2X3#-&DM?kSk z&6wXLJ>GeC69<* zTG_qEJ@fS6HwN#AmctukJ_l?=1Djeglc(a&exYAA;Y{8f5}QtsguQvn4O#9RniP8j zC<5e>qb6hz4RA7`sBrKLm4puakd+BL>~kjRx_pNnabBn(rd}9xT>_(nTD-DG?#SY;$LqiUkG`?{ZQDSVH{HBWJ;Qid6`zL>!T~#K6>N-hiTl8cLuF_W4f#c5 zIhX%K2)tDzADXsgn@>e!z+a4!({^{ii*`1FkD$KDPcH$Gy5-}pRk%0uuzCI$&0FF!14wV~DJzC4r~l55JFEB@xG+8y-0ArE`6oLG$R{=H?D`_FAz zrR^+EBatp89~Qksa@W`&WS6P);|j{{bzZ%ty-WvbRJl}WpRm_1+s=}&C>R6L z52avXTva~alf{NSV(}N8*n+#i9x$gzVCv=Abxw~x?AuySPBQ}ZdDUkT) z)*U8Y9mYP4DCy>)%hi*9#EXq#K-avs?sQ7CbNuje#0egRIEn77xY;y$ElX4Tb*@v& za$%(P<6WL8-7{#&W%rF@0Za3I~znGaG1Z-!62 zqo>8a85*D^*7J&74TktRdtAKEF~4W;X+fzD>plZ;;AJS8_#M-2y=h5!x}kFPCR%Go zZ>m;yK_N@5Ygga2sX2WV`&6O{ooppK3=4Wt4YmMIT{`QxZ&08e59IgHPTh>P%tmj9 z^p_r5%xrS#$8p%}jhSP#Q~FkL->3HZFzpsM3 zlq^3mlYd%ppq(4?kT&+1yL9Dpy3C>Bvr~r`5GHGg0F{Mhx#0)>|1WB%zhyoCLrMML zi1hvA#$691?rdPPY7&FQ(K$+(>BhMqGkW>SNBiRy&j=^2@pzEknLfqGh%6=QbW8Cu zbsf1qp)IYgQ2eBroQd2}as6w5zYvsdZ~TzvgU%;kqh^J&l!z(G&%T;`iPdsJttP9V zp64>1Dr%X)d+k)*{R%Q*BYVYOUBf`&ZePN5#Z1Mk;HX-8)B~ zWn)0nTBpPG5|M#~+aO1qW-aLh^OUEPcB9QFa5e$ZULT7KwtW=q%Eried82Dx{g;!H zI_<;W-zMB$RQb;wpllHlPC7XH9t+3X$I}UT1;Q|)8`s7#$(6%;Vr$`mu(oeq`0bZapRU5%H9AKkSYm*1ASsWUz+ zmBjbH-t)W~GecDeFx8ldgHFYy#Z+dp-7J$xY>-<1JaCKsql;8}6-1g>(Swdf z@{-%il>~K*6xB?)GKj}Q1m|6%VqPM4T^y7I*$~DYz!FOm)KE#b{5G+&J7YT0Z3F`?xu{IZYfrS9A1>gZv+DB+8C=s<;$YYN z$R51>nTo|eU3(YEc0J?5S!q~rNk(km7s1DD9x;8U6^DM<{+2UDwyi+F{Ih+E8CQFX zI^1G;l=Zh>&{i`V5xKZNpBPY}`cAdSll^MQN+i+=Qw%U<8f_wD!m9=e!i$7&OIF0A zwXqT^O65R`5u&nCq9Xq?X`jsSioB@o!W_hmy#t!^qt3 zG4{S#LWc~NWoFUk74gwj3h|?<@)7gUecMcNld&={zXJ~Pt$C5+pi8U)aJeU7+TVWmi(Sr+;vfpTG9+{Hb<9G-y~iM8UH-<0gX)W+iN=_Tu64fl7qaK>lN=~>hciDIx0JLBLR|t6_C&l|#ke|)U~vB2 z{6n|um?hgRi8HjdeF9dJZhB1EkF&MRSNcn9S)bi|UesManDJ%xMU+8)TaM0`RSBM8 z7ynb&r(@~2{O%24#lK9ss<-<+d`;uRZOl8R>96ejw54lm4=e{df)_3#hPFp-9SL;l z=z!qssrE?mIYfBlnB=^VltFRsInLRU&}%jo3i6h5-$zbkug7sJD;yiT@mzWmFI^Yc zm+lMt+M`X0>qzepa0VEd6}?xJw03I^Q05opDrx-c_7z1Q)Rj;M;B{YF5?ZE zNAqmnQ)2NQrZZ#m4jKS5kOs;xr8Cg&Rm!OTYAv`dubbSCiS2)nyCbc^7Wj(>Vgi_Y zR>2Rok%#DV}p`!n^;5<-{LIi}`&fP`7XzIbbFLTo7d-b%8pQVJqn>Z!Bt z@mha^H|7V8%G>`>1RwutAgz-UF#6M2SU4@a2i2b>WX)1{+)LhMUA=iA&GWE~)r={! zA`QY5!G0#27ucV<0^;*=@}*aRJcp_?owi<)$KBE|WpBwTZygd_OBN82DO{`ZXVWRpO?QE=NbZw2*RuKv(ckkFFja#iA66l>kuwdoT!f@YNS? z$qdcTFkrP6lfqvC4`Gxa4J;VKj3uR!6o_uqEp?o058~D6OsSr{RX#9K+E`!&O5_t8 zAHA^j?J08uLG1q+W)=@FY>yzWZ9OB4b8%hx{?)MBv3uEM z0K9ekwpZ>jdF)pxTV=;vET-9>= zK-udet7a3*U@DNUDX!Ku_CR0um9)LG5kP2#61PJa0wfB}HK z5q3~O-X3%WydF?gz4z@OtH}+wNOT##zG2-22J~?pZbq$je248KS~lDXpJIi(@X+M= zQ+Q0usS77<)Fmdsp!PV{q`Q>wC z-V$FnSr*Jzl%RXvg!eY{{dg|s0G5yLZR8@d8y+d+N!24M5G2zOufQwl8cPaAQVMJG;h$Sw!&h!eq+rM_mTs-<+dZ7-ZzPR+@iE* zlVq%j(P>R__6}RCx0;${MnX$MqDFRiu1@uz!~(9`OiiC`AAU(Oxm!O+(k2d(pv19s zfDtAYRu@PBD|z{CM*!prrH<4k?^)NnLD?W23syK~g)V`OQ=h5+vevb6qw`GJYQ5wU zGFYW6wPu-uBL?8QGEk-;sE+fcZDoxiJ5#I-USjOf)0;s&M%&C{pqTp?5;V?&1Yffx zkrPSSfmTUEP*RsrHK@D5Coi1zpiMp%O*UGxZaR`IvhBqmX*)o-<8KysZ=CRU%*5>vP4k6GDOrv~Ed?ycwDPfoZYPk@N-o~X@R zNwsGfUUX?9(MCW>izp8#w4?1vIDW@P&#QRyKr|Gt>nkLnh9hZBRNQD#F(+Ex;dma% zuG7aQAZ_3q1A4o;#}x{15VOJezm?LezBrKhKCE-9iexs{=}xrkLWNkRwpW#q8-|e? ziyo=itiaNSYQsY7xkDw1Dl`^^0jz;4t`~h*7mwKCR(tHC5hB!YZL`Ww}f%s?2@!MPfo* zK3bPGbT^t4CIJhj29-r1bUx3M*qfh08L9L+M5YCZihFasZj$_RH2=jOUD*m96#F*b zb8bzlZ^;ssW3bCS<)qszi038`fCm9n9kMpDcJv1M=dJfU$eZXp*+569gOjsYouyK@ z%r4#EeE&|u&M*iD!ooo}8eU|7_gE=qK7N|0SvFg?uCPXpF7;Mg#E{WzJKS312Orzf zRa@U@ck|&sJ~eX%DKHU4ajvOy%ecPmS&e7M_`MQO??QEuvPfWglAVzFS_wyT^sN@s zUe>{qn3s|u{-CeU$D?X~mK z)Gbvw$+v@W)d~wnr7PX_BS)Bc5f~MGbp7NS70{gi3_egr_EW8{32Lrz2f16frn8gm z6T~GH-#~;_(QhsX4h29g|Bbp$uL*m*Y)O~ z(!F_hVa%7j-*Uzr(P4M=y5vAV32=TaIj97oJk;u#4eBSy6A+-+Wwt&_J+u7rT}6dk zt@91oKzNyV3cGemy-4+`>Xqu3obO^Vd@oKVlkscT?N2<`0S5Vv4acVVCYJq5_6yH1*)N-ueSnECRdYP-tKV8| z4Gad@kF8(C!-pAmjHtLF74~ULYWTWmQ?%&>cO{6$5Izdm@Ynso^oq+>ZJK&>Vs0Uz z!^~htc0?IEF2`Z-qJYR`ZWlI49Epf}VNn^C*>AJkhSETDxu3S(r)fA$f`Dc#K ze-sO+L?MJL8&R>D)ziGun)l)}?t5hnzpH0SOwXu!9b`$yk2cKw=5?Nv;@8ZERPCOT zAni}I8!$&~+j6rQ+OwjW@KlSE}`A$I0iVtG1h8x=1fMD-8?RYjf^H8tgsfcILxq9^rIo{dk@RMsICl)r2%|Ptrz=bx+=9vG_Nu ze1T(CV$6b+pEmKukQ!IK%{-?|OM(uhgvUCOgsQ<-q4_} zb8#){G#|pQy=FpZgzx!qZ)PlC&J%%}uC7TWfxm05rv(tp&Wn)!M{K#`y^6^*MA8_S zb3XB>)=bmfk~HEriLZ~i*}YBV$c~W{&ft@3v|?ovDV`8%v^%3>{1m$*F`RhUD@t*w zn1=Rz(A7s5sn@iXwn>P*51%)@UyVW1wJu7pJa2UbTN$~2hKLloctKM3M|gI+#M&`y zZE;5)1NkpZ7U%Op-omnym0LmS6Hx7|1?h4JXq@O^ZYE>#6^&%#@)w&#eXh}Qs>81N zN&jmnT=Q1%7;k`bI)^8;FG;QTyI*-*!F6A7k0W0~?Y^sH<`N1_e0AVBR9j=a8G=HA z$_U}N%}5Dxm1XF2)J!DaK2$wrN^M-1bAD~wg^)6S_Lg3XCpwbZayKT}D3W}PoV~W4 z>oUyZ;4uNzOL7Ywq-U!n#sN#)qn({Z+`evmdZ%IIM3bS})?w~Dh!b3t8!c;PY9B&R zx|~k1iqg76>CzMCNu>HY4vzt(6Y_vUTPl`uU2+tHy&5z$d%nb`_~>Eb%Qr5EjW>xlwn zWh|H1qyTW9WPH9pwOO%k)k=#CGKSi#i}6lI8@|@MKeW9iWc)V&xkmZj6!BH|G0JLU zt|@jv!PaGVTfq_a-7!PSG8(VUtwc^8c+gC>CqXh^PVHOY!GStp;uG2>UWei6tW7{h ze%L~LFWC}zov)>T{uu(@p9-2=GxY5yG7oeb|Ds{q$SMOgvLfyM)#S%lMMpw36B6NT zA1Gz#rdU`NS{+J?7u3>Kq0CYFj?DLy(ZOT>Oh)Nr`zdfGIEQOW8(m&f0zB@*{!MC?tZWqcRyS765}_ake0^Ni%UI#o8-t zL~z_x2foKnXPL~duWLE&l;iiTq?7@muK>i~k3h~bSaMMwMG&DHk@bm1WA~8H0Yl&s z=}C(6If@l)m+|{^wLhLQBu=C-Ryyc=Xv94p&bLkKmb?(&+%9Q70TxEe1k@@=I9i88 zvCLFE1T#r_6rAykW~FOlrV71)j1zAkUNSynI24=fKk!MEI*h2@WLf7_Eh~)|EKXjz z{KZi9)zHLWkQR0#p`$3m(#OGbJd7m0p>(Pa?YO;YN6LMV93NvT5o&$?`0z_v2~5n2 zS?7YmCs{j1g?B@31N|i9*eD{6Mt{xRaXMpQQAT{X6_6z+<&EiDz_7>_~%9YeIvwrP1vGPzG!hO2}wj5Q06))rHv$(*aAfU zkg6f+`JxlaBc0NH7uAeuF2lCsGLQ3DzaP0&uN_pbF2v+V!>j1)T{#;wgdXErlp+9$ zw`l84Oe;Kfd1Aj-0s(kRf|aRO5%!+51oT=PN4PXxuohJ-yU`?moUz)I(!|iVpc$DX z%{ScZO0!__bpW!f2@)kq5`T_yr!SgQx+$-Tf+^tMPHzgCQrAYzD=LIa=GImRRPAV+ zlMMGw1+#o*<9(Nm^FkA)hcHZAsMh;YF?NFo!4i+51{VzTq6cYJpT6(n0UZ>QQMWqG ziGib;k~v}hHRRH7PJvbcm zYbRJ*Q5Evp{(i^R2EKiD7;5Nvhwb5p)iQ|9fY&+0wzSg@s_2?#V5IXq8fe1!Q36SE&6qP_~N!kU!E!REME$v;dl8E zlf6yd5nP)G8MO`;S|Yo%c@Tq=+&ZTCNd|TLceA2O0^M=OZ{4=~N>>)HwPvm*LrU$m zSd%S9&uQN27GhE?x%K+}sqee;C(j4oeHj*3_aY+WW2dDKx~-QPAMp0KlnSJ1Ab5m< z5v%hVl)bh$tnbK2?jc7*i3)i&Tyr{8Xy`4RY>8Ij#DU+|ZPkKH8|)tv7miAN1?6s} z-CTn*kN>s{ghjLB^D{wJFnppkB5|x@shk!Zj&Z0BAbgajxw3_I+)|mzXMAy|C}k~kfvPksyq}MUq&9(h$d2aY za)=#YiQ4wnb?}W|{%qww@vvS33}V};CC%qCr6XzLdgB?M-AHbXE?zVJ4=*r( z7o}EaW?jxwevo#ur<|yH%xNS=y5NJP>7~}kq2|HM76w3>{Hcrd!tB-(DIQ5m#%+sm z#B_?#uZhVVyIFdvqm?qecWtNDEYDe zxyV;Z$2)$}+&`nP@S^tR5hp*9npVNl0pDh2k;b4ow3-zjs^B;3t&-@H{G@yK#vY`_ zQJ7Y*uA?@&Jd3GgSk$4#QE3Sx{7^ICgGis>}#8th= zN-L*hN(hhMhh<8nReH8&Z)Pd!dYz*v+@I^enp9T6VhFia7h6h*ul>u;mgOfL(Lmpolk>eTbeT~eGId*1Gdv1(`md!s&63=Zu)Q& zMFGURo)dJtCA8kg#d@^xwLa-8X!D#P72J5A` z%a%!XrGq`JKUV6QSh2NP^kE~}{eJ|>pQR08Ue95dzIEfkTY?6IiN!zrH=QF2e(bv4 zJf$Q057P0Px;k8wsDF?b%bs_ z4GOq#k2wa1&wR1dJP5>e|&a;P}u z7;-Qj?<~i(rftpIZOLd}nvEYx%ef`0EE*T(6BmPeu4&$g=7eAAkL8r!NBH!Y0i$*hH-_hhfd!!^e2;1oxE+LvJ;XJW-5NnUeN$$^?$BPGi z%t+5_TB$6~xe?8jssm_15i3ih-?H!lDv+Vs^|Zj-h2(~z*4GUwB*RYm2d&TV4XS1b zhPz2tc!a|geL`c+#R@p9tN1+mnJ=H3?0^nuNko^E9rdR6&NUaT8yeYjV=Y&NT@Ob- zD}@uP`0R?btbfc}Lj;A-)V}R&&oqB{TA!W-Aq4it5xfB4ED>8P6X8yd1MHX&@^5@V zguu=NA{B8xpQ>#|12vO35heAblSoOHZ>u;_$nKnp}L#&Jh)RVSwEu_VR+; z8{gzmD8p12C{TrW|9pNqRg3BbMRW}xeh%S!v{o3_IJv+Epfi@N!WA{`0lo~U;)6zI zkOwhC1;LjE9<62cK#UUvUy(!2rkZkD`pu>(wdG_P<2EKXN>df2%+6u%UfQaot)T$P zxDhn15w|(|i-zI6oo(gy>zdbQ1C#atUd~dP8t%3$h8E{ZfW~cR2_1Qz^;GQTD^530 z+E2)pHi=Ds`PfV5=p+G5_LxL&O2B{eW08`Q0w5=m$GUtrwByzOw?XgqVtQv@Fg0pa zT{cx0HF-6pQ)JLR7%(=1!!===#sS7d*m5QLrrdU|7={p7Y-=}bwJ9n}mW?Z?zRZfn z9VyCPDQ-%Ek1wWN^7`0YnEeQRcDg9`^G(}7A9HUh2kTXEKB%W&D@z5T133~>wgDGL z=xZGoE^b*>dHI)*Tr%?p$T5EO)t_r5*lHwr9HcIrPBi+p7jf}18-XZBY76nBRQxn*w==pDVHeS{kf`b;c$KBZLeJcRSIb1o7^aLN z3B&~v>|KUfzDEI29lLvWwf8r!7cXzSRgHwhT_h`wwHB+hU;``FHo+DT&z3~8HoWBw5BQXH9VUe=a1Au8})0E z!2tlKHyhZMasU~g>l)fqfl_VM@!_{ex$H<=>c#f9KDPD=+42pSn!hz?Re#4h@AjQa zXPMeTGqqn&TWLvA%p0SxTM6mP0|7CnOW3x2(QZOj;&^(e=d|Sh+iF9;3m6yXnGlWiCN-uyvKqP38Dw{abHll_-w4~9Q=P9%L(EL!T26EOT!5e2ez7MS(f%5|1 z63=HF6|nyN2Z`zXv6i7#p%uk4b8X^Nle(PsYie(p-pnyldfp=?x&$c8_mQ&gX=~Yg z18wohVH2u3Rnt2pKecf|h$EMA@El8Rsb`+&)P9GE;^$iNz!tm+_FjXdY%Fuh{KP7A zkNn|_o!vWJ0K$`Vm(nu@mgo}a7*)MZk}ttqH=@~B_J}k3%|uOHi2$I=LUE%)44VrO z#ne09swn!pY|Ux()nfC`1l{93rKij8X6C3n9}CYXbPXQ447W;BRspxb*rt(NbHqLC zC;(ZrZzRDew)N<;IM5IS9X8?OIP)9`NGfWf_T?U`aVqEF;iPlC#ZHHQC`AwFJ^=8K*+MjkOoXQW*3d z?swKqllJrmn+h){YAr-KZY=B((D$wqc8-4^4A}!{ezI^?L>m^F#bq!Pwo0%mX_%^Q z+SmsVRWS-{SC$Y8O>Iy{q|4LOhv8mDFU0)k)j3AL@=eObd_Yja9M_J2kB5QQ*SbdX z<;m+>l%^T5^nN}Fp*?hXNuCPrcn|ZH{h284NRA>rXlJKZ6<^nXI>U1zgUigCaKLhd zSK5qSYPqvIp>OFXqKF6~MGxhtF5abJi2bQz01Qd#UuPuu)e2KhBubpBK7R0OX2-nP zM@P&Vryvek9tY`nP0}}WJ!d-2{~SGD9}`QQ6iWTtEPD%D9bxI|;6V8V9KvA$M5Z`h zMh(2GwMv>CffdhwAZrmhzLV&3!qAo0+tr|6q!Y7TbAIUgj2$Uf20j?4Fn_u3Y?xJ; zK(hVkYz;~YkaIGFYRtzjm|>Htcf7N@2#ml4Cl}b<$fdIfb@eyMrb`2d5p7=`TfG%9 zecM=+f-C4W!|dP|Lu#PDLj0R#{GpjvUgS%_;^GOQfhNT*y>Lu#wR!=Q1;(*dvw1QT z06G#Evv4;gY6I62r8ZleYgL}c^4((#L`{~pG>2jy<*vuqo@;3A%nfr%j4Sl! zWigFIJh9`2R_mN4&}fi2Uu1{Nto6S}ifr&~W7)@2T``SN4dQx66GynC1twuCJ9q?t zR8v&N-;+}8e4E#PXlJNiq1^gRsnGrp>9g6_{xYWhy?L|Hm;A9qMpB)DinCn#IffB; zWh`}4=4J{024GZDj-1m2+Q~xvNC35H56+nnrrOsMz$xsj#IHzExpR8B)lsT9ZjFKs zhiWtvSG@AvNLnQXE+^Fvf%?h0k6T=crLv=v5AyOWo7cCU2ajDlN2KRa_4th(a*yPI zE+n&JXA{?sqSCW8Yf}Rf$pcsQsP-p!0OiF&oTL&0Y%)dZ4G`a5&o_IftRiTWTa0sw z+Ds=szBOS7VYg@N+x@n=_U3V7C-8i3q;oSrJoMs-GXPR}-p9dx8cI^oK3AgcQQ@f+ zw9c9y$S5-BEx%Z<-xdxY{1%jKGuPTWI~&!g@qFOA6I*}nrvuY7z&x_QOUbMG+b^1z zlvoe9lEsnr(_kq|SLwW0bN&iM5;zAlXD@$G59{z_f?QJ26-*VE447jGO^5pUAPjTR zK4)ugoc`^(C}C!rs>Eo@YGmhYa(tN&R}dwCa-Vx}0}a~r!{-lHkps#m_W7q=h*z8P z@wq^_8UTneG*eX|qD^IjD-=G7V;;0Y}46&Briz0gg%Ok&fTZyG? z-4RTM%xxT^2v+GUQ`H{0rgK`W1Bdx2$sUE-_|Gh6V^^t_0-1z%P0tBS?G&SngA6gr zH5!5;y4oqgVqKZOL-~jzl8i&U$%9}5E2XleYn)@4*C3U+9QHIhGpgk9ijk!Dj3PC8 zMLa?R3Frs3y6+rgp0|pC=d@$V3IwSW^9@4yzX@>paGEj%9sXz(X6mR*`f!Sm3+_jR4}8+pj(uVaEN2G&uP405rRXLNNH8+?O~D~ z6UaxFEb6PVFU3!Qq}vmfG-P!2Q|<`I%#&S6&$g+4KIXtZ70^J*o8rMWN)E=2UDI7x zK7y-7>rBHxgX#nleP?AK9L7)Y=i7JaJikSq9ke`!a1Sole5^5!x?GMo7$ZpzMYsb` z@)QRaxkjSHL$bkQ`-#`7E`r%*xIh?WsNUXo#0%dg74dAUx@e*)-u)(D-SlE_hiFWe zg1g#R2wly~XR!i(-xBVqJ|af0=lC{aW7-c&ZD$cr4BAqLRxKtMjPk1r`R)spc$_el z>uvNuE%e@w>B~^PM!lUz@&ZJC&z;TkA7MQ#bRERejs5I!1 zdja`Gv)!JRP!6h8)%Wh*)cvx55mVguUopjwmZVFw5d~M%Iv(5eLCVf)c?n2enKWyY zPs(_qEm&@m9Cu1sU60vJw~s{?=`0>Uhv>F#o7%z688)Xc*)>nPy{{&;dcNxoWGTAu zo~POTz{<7BLh9ibqxN>H;v25*BL=U<%ys%eXfAl`n?%-w;;+!R{=AQFyv};#t%s&R z860vd^6693k6^zaM8T^OpiP+cw4BejiaunrTDE7(VRv?mcyEU5NwsC|ap|5j(ruU; z#VA*MjMEHF49`$;79_JNSKoH>v+HEF_~%{mTDP`UzX0FDQ1|9zwj6Q#?zbXdmBmT+ zRC8XSVH8$7hD_{$r8sU|hTO%T>`@>pzf6*=$wvgd;EP{eWV>{=mD#b~mG(cCT4&v~ zL@8Z#0IEu^I$*4=jn1)@7gLe^;#k73!>f{tfqChxhek<(Ri? zOcv*lZQ5Q4TDp9T8Z&|1`Uq^OJT5>f?`Q66+)L|o=^~#Le{es0q=}iCn*8>&%D+Q5 zy@#vWbS=#+oa$%;t}Xx9JNXwc{5X}WB;Q3k=l6?-IhxKwYx#da3Otn>4tQt?%@8Ch z?>4x9HyQXv^Cy>q{0D-}AC~@NGNAgeOa|uvYBHb~XwPVfI~TV&S2XX1xv^lq@a47` z6j(msq=g{uHEw~=w(sz=v0%D(G z6#<<=>*Pe6o5JPuVPX@Dd|t4M!MnKFDs4`8f@192W&82wb6-#1vFuPEm^GH4<*BVb zG&+PKXCl%4oAfY7dbhGF_CuC0)2@Z2bH>5X1?=ZU1kQ12HyT!uxkcV| zxwbhk4%ie|lUa_ReHifWaC|5Ir(R3Fe#KcSL*BW15kgJ~U+leiT$9<>KZdLNX$7QY?R zR?p3CW61-pC=^*g-M--S%jd-#?n>LVBjalS$r31yss3M z@tQ?9D{qB+1o};#aSC&aub&n%*sJz1?nHx}{KP#sbt)-A5vk%@7QhBbo-eWIxRko- zaNEv)DxObyK)ENw$L6C=8-K0(K4C+imy1o|!f)7%l+SI z`bJ9e&C+rH2rsUocQ(}oson2{?$HuHc@UWu`nTnCJ6jdMWJq? zZ1wL4o`v(ny5tRar7tH%v6GP(qqdn|zowzA$9*R2VJtZs)TZmOEkC%eCO*uSNL=F1 zVHwU0SrktY6sH4H)0WrP>}0vStexg#xhB)u3BjH_SjLjUDye%UxGglzzK}mYJ+g$8 zM3o!UvJ#XFGu>*g^vGPP3{(zpO||b=FZb;}8`;zvmpGCVvmhYK6KRsBWb!fg&Xea3 zZCYER|4wM_oPp?G8?7xRSbx5o2cF*(z7w@4L#?Ul`4(-`*3F6AjfBye`Rg3L1b=`B zgY4DM>C#_ZJ~lo%dO4=`N!z~f7n?=pYbEx!EUKOTAx4_uhR}|UJfC_Mt_s00@kzw1 zYZkJV*;C526P-%9FP(iFUOB-!^ynGn3(#)9co|_h8^kVUha>XPvtsX#mska4Y5kN6 zcs13FR*1!iXAQffuT)g+fNsSdvPj6XvUeYfW%Z;Jr>v$cuc@eDO{FgwD2U3PvPzG# z*m!az<_AE9d?Sn-rX39K^9^0k+)_%;i`ZGz z8m_2sFDVkM^;E}MJ}m6T9ZxmP#UAnA1x5W*{!4x-n*BozkU(h=S@66Rj~XvawbGi5 zY+dO&F301*|3Ea|L`>+e3GcrpIkC4V0J=wtxnbp=*fv?7>o#iJFMq;&riXZUy!+9tk`*$c1BRtW#5l7(1A~(vVtTvH;|eC=F^_=LjLJRwC%%+SF@AfaY`ms84;zv~>27=3msIn<<`0_TN$lhR)(RiPrMtWbccqo9^0o9UX^9v6~f8@6`&NadbC{)o1(aLwLf7RZA zb~c5l%_+mi?qhsg+ef*$J%dT9-d_CTI1Ri^o`fG{SC3tSE<9u+#MtHOttSW-F1xgQ^K; z*7gx7N1T|ISo88u0FyAIDfxQ{a?Dyf%8kL-j&|=;60nCzXIAG8n1lns?wI6_7IhE5~{2i_q zzWU&{Kp&UQNW|~98@4^uyg!mJSM;^Pwny9StdI98%5(eABEH0Qbl|Z5XcHrTn;M$s zq~7FrxXEA$QN;XAGrH?XQ=r@EcbC$AjWip$h24hCXBv44FW=Ai@8*v)$l%*sny8u~ za^%*gHB+tIv7~1p9v9S?fvOyDzlDr1x3}vWXTGhWwuR3KUM;H%Z7G8%sj`c_6_sBj z1STqbHO;%1-U=ijFPXjD#`xG;c==O=U^(@}Q}QxwQtWe$3G)+^zd#9gsf{oc6`APy~`11Evx+(lyT|9_M=-z1%#&(p4dn6T#W3S2(yphvDwc#fG>_N z1FQlF{!INHL>4+lqI=oHlT}Wj6!c$LB~mHov{2l08fQ!mAxj7`BX5+Mls-FiW>iW< zL>DEK+jO!0^d37`x$nw@C}a%@B=u=1-A-bXiuQD?#7>4LF~cFsS6`b#wG^azX*g9= zf1{$kUCbyyVez}jn*)n=Tl$VXH0)!%E_1lT@p((p$du~JEBZJYM?|y_HiXdA3p~)b zn6LY!;YY41R(V>`F1}GdG?0?mFgc@lMK&pzHw2Y-ZsBD!Z<=qDFfwmu4_^4YJ;Q{; z@kOeW(<~n@PV*|@gCnqS;-~|9ouy}Kjmdsq?FW|TL`>ekWmLCyBrJ1T({$}r1L-xH z0{9in{_7+QzXuYi`^@VPI`=f4em1`iBb-kkr>rnrsRFF>DD@(GcJ?qxW}(WKzoM6M z3wzw+>*rn3^zWP>@>DY#P{ifATkaXIR~pJJeelt>Y;O61{RPqPC;BN%$0XbX%gIj* zcNLPQZiQZ?)!>KxT37t70o@!Y_PTmeo*l6X(VUmnRl?)iC9dDC@3~P>QMy|?RI>Sy z`m1E4YEG%Yxk3L;%$J*aWqH(rkXZr1J`}og3t!>rx?klY_ zoTQ09(|hq~yvHm3Z6vyCCjZcggU9zWZEwP!ZT8)%Rp6B(t%zu|^Vg-yMqh_3Kkrwv zL5hEX+D+{~0vbMq1@j)d%*iF4`+A;3ar9mB;T{Z_An3Zy( z=?nO5dc``&rxf0PWb3C4I!_+fnmZEHJ z40y^r8Rg}sA5{s~VTFqX}Mx)-tT3rIGeM~AbEo@6>duNHA z!jgAIRA7?FEA===c&#|@{hEo_((3`sT%PYY?CTg!l3a~ZkE95lVmr7EIZfu$O96!0 zbaGC8+K+6rqYcqoDV~OM;z4U09#aZr8Ku*9axv_U+-)2*>QoRsUWercloBQPa`2v= zZKW$XSJA52z_D!?U^}8fV)3#7)Dn7*CPCTB8z9!#U*w6(Wg}-*&4~is=Su_2qL&JC zd2VWZT|2Lp@L}(<497vAey-WKH~^RP%78sm43In(b%xP=YUEVy^W4Pp;6w4WX$jaaR!xcLvj{&EPNUcvnVpv+6JG%?WWKZginD=2x}yf3U1*f)VoP5W;H#%5N+J9{^14M0&qkOAPEDq1u#!$tY&;=L_sAf z;}hlO5bD9vn*O0s;MG(xlwB?0KI2Jmxpq?_Rrb{g=^voWf2|&h(_7n)0^L8$Hom4yF_YDH#z+~|8l3b4B z@Z%5LR8amWCB{&l&QXLj{K%%p5w zIfe`0ELt8L@_ffdz~q3* zW3{j+=kDp%Je1T43d=ot)6B>E$%9LTzE!a!MO3vjWibD&Y15<~o;vS5w%Xh*_m^?M z9Aj%^{r&#l)3yCid3?8=-g&V~e`0mX`r^Nlne?XlazyWUP7pw%&c7L+U1gXa-=e(o z%DJg-FKVB+r^3blBqinP0jbTq!cMb80O#J!&3^cVMZ!9Vbk5W|eoZb4Uk$QXEjozq1@?$Gd3Vr^8!B;60vy)WInF5p1#EQ51$nD(U zxJQ!ZSi)Rr9@J}`@Qb>LmYX}DS^kE9T3hV(6M2vfuu%d3@R*mZ!|C?=!TSaq9~Vhn ziP|d?sry~qYnR6c*JbB-?zG>ZI)jR}dS$HT7#WARdfZd9Nf;HIadz{s5GoUY)mtej zpUlxwx0P~iqF5a^K&G!wjwqH=SJrb0-~V~$ys1)kYZ31`!M&;j;k)%kk1PBy;972x z`N7KuPtLcAdTnAnBksiP%iVuW|Mmx)&qV?^Le4FmMKCJdkxMu*Ve=b{MEr}88}FY< zWD5!JP%Bm|E^@j0xwYMJuT_-6DW06MZ>8>k8EDR~HRGOA{pTTOJ#&61WeVn$b_51C zIixC9y{>pV-|c6xKgM87oustqx%8YjU3X4u9T~jn{4=%NuRyyWSO32W^!~*(fj{*C zP;stv%*q4pqYF(I(fnCm1=Jgi&}z=;@tsqGi@)%20#})zNNdgXZ_<{e3TJBLixPvq zR&ktBbFjrQrsfZ8{Bno+emnU*>jk!%7YrKa1~HtMDGK76(F;PEVT{o6w=ok3#TX$B z;cjwdqSU3g?H4cR92nVQ_KM@Rc*^VDx$7JTZX_zn6ZrCGjPW|PK2k=>0^JL+^hds8 zd5;OqEOcA@Gs}mere1c%;;v=?X&E)P%^YFE9kRV08c?+qmjZHlR!CGOc!9chwH?FI z7-T%HdC?Ey1_Y0e7O=#!A!2YjGXgP-*}Y(ij_M(hYlhipvzHqm`R5Y;{P>^C{{J3< zds(mUA8@a_XgRIyo=)5+R|ks7*>~nu&v>&^lp7N+c5JWOkJbT)+zA|ZPpDmTonzPm zARb?%KT@jJgg{u=xQcx+i5;&s4qV;EatxbKX!WKejyAhMKTD8-{-+HWc+KwOxWX{yR$7{kK#d01HxV7V2S%;aCwq8lU^xR~k+U&^% z8BfhE32|0+k8u0$@6_6KD>JYs=q`_&wEaL^K>o-6Tb)yJJ$C1@J|otdAG?S=77qt` zmge*320^m%r7fmfhZe^^el68$<(U0Se=(9lPrB4wny=Ylr22leeQapOrbdPD?2JU2 z$L0NL6(wbk60_THzhRzx_l|?(^K%YD<7inkY&lm$+3DUGKV6_x|Ih#eUwLlt*#)ni zk9Uo1D;$;ID4My6eY^)w#)A8^LK%<(I}YCF#ZRQ(b4EfuHK?*!=jQz<~>~F}=IB(m1zg#Gx%a z^L}_!ZYMwF+jIT8Y)P@AoLp&O*wCl@LL5u)i#FWuz5{!3^Q_~Glx#ZkOizi`($*59 zTS>is|Jb&)um`mbFExH6`PwZ=2<_u544u6JB{qj$P+ zA0w{g=%p>Fpy0NxLwC+qitQ7ONUyzK=Iv(TZ7r%`lbNG+sNrbE(X1y&thYS7V`Uma z5)>IN2szs|SChW%dAyypAt7PAmpvYKA8JGL^<|( zZk=Pw7NQ6jwgwe6l95UI-4>!>o=o#yYq^sM6EW7=KzvLs+@JA-|E4xzHYES?X2bvY z#p^exVLc2 zwgKq24N|aTJZOCEE56)xjoe8+rT~Z_cSFsHs(oRfy}ma5@42?~|E;;UzY%~x?$_Vf zt%)DyLEFc^qE7fZc2fQ=b|M90C&7S)>MyaA3zS0onV|7?j%ym)?6i!IO^wUkfg_0D z#!i01LN+tIsFut;&@iqQNyK$SX;35Es*m}W;n2nC=!2j8VCXW-5X`X8&Yq!;x1mgv zLv7_0Jq;%ajQvnMl361NRPuXH;LS9YE39+CWhoxaB3vb0gfX(tai)+CvZk@M&A6|p zXW(D^$LVx`7_|XC#l+0|v$hi`FgB7~9Qw5BC1^EegQ1W=5{L)a(y8!#($aCDN(l5I zb>PF_-<7`35pf-k!`=igyURSLiCqqL=CZ+W@f2%tGu~+ts>*S9;_PyqAo$Upy;D#7*v^#nE^Y;Od6SP)bL)TL51NP{4| z_`M(LODk#VJvVHtJdLItu10~%R6(+P4*xZ5mgL@!*R_19t3f41)b#wY*ItA0oA z=D(gZxf5Wmn*k5>+wO% z`;7Zz&+Jb$z#CK%%r~Gh&qmOgCtBna4i;~J^y?PVxPS!v5@^VC*PXOtfO!~HbLDpE z4}8!QUmV>Lboto=y8MW19;DJAj0xSbW6O0z^PUUf8oS^x?iH)>caF~=bCdlJ90D{v;=-5G!$9VED)O&D#PByDA{GD+4+mnFoof%1bb`x%7`HLqqs0o&EQb&i%%j{(Qxq7r6X z=TadsNR($(^D56qXIguByO$lpH#;*PrwGqjNy}cB^yJCXiEH0x{=7n1rCg^X)&j1= zoPbU2FQ10xp>Ly+?-=~&-VFFbX01b}EX#AfHMxLfeDS|F~_Ccw0G56iV8dPdCL zkXcNpPYC$XENMHa9wsyi>=Jh?OagU}OXv(WxS`a8;bK#YB__JW^P80Q9B%ZUeqIwN z^3IW`+<#J2OxJD_>gYH!U8b6g(r!&fGT-+ziz!G+5DG@yb}i>#Dk!ljRHVoC5bw#c-2u=-bKOe|(S-a{kw9F-bTM+&-aCBUvJGkR^TZq{>mkQh4@LWH>YhtaV z4I_n;qVcz+UaDeF5Llb?t+EOa*`Y7fM>|>r)V~aqh7`}}OJ7Np9DSZn*xLH2^=s}F z$itx<P2hK1i(Z@#Kg_}zKlJJJ)5}0(W$(=qQs)q!pcd1(>AWs z_eaYkEt~jAae}v~f|v2>a_l z@+R)Dv?)k|UU?YoQ%)SQGP_MYNG|-SBPTxe6I!_aS zI z!#2{q%*>@37g55wye=e}-J7M(>QMbkR!m&Gr#fpD{ryuf9*b6DIvq_ucf|$M>1VbB zMsEQ92_ht@2x4L>DOOq$SVoOTQZ1=w)VN%?mn1u*Nh2v;npd<^Rogknkdg9;Z&ipJ znM1hrsZE{R_1j7&j>wzWc&S1fxiZd zeivr-pK+9bWzzpXyAVjE5}=eZ^}JbSa%IM>13SxkecgI}*{ zdAj8-ZK<`+;DNVqjb%5pYLp=?+FMXLpgL<{`E`w^rO8Lt*{k}wV`p50yq+KIrHIK& zPRLxc^qS4kC*kjsHVCYMxLjtTzM{Yr|HTQ7A4ngbU`k2U*Kh@B<}Bi?8e*;45sK3Q z=4_0u5S&p7$|Er#a=Djo$bMDDw&0xfV(B-7x(_!{EGGT`iw9qES+=LT^_G|wPMNp{ zpda{&KYw%c2~jcMLnEi*g;dhrbG8b5-8!Aei%LfbILSjyEAR2bPsPFkmId0REAI!U z1J!t7-x(PDvt3s|UJdRg(z1N#|0nGE-yzL^oYB6l8-F~`LHB}b@Wb1iM)4^GRrS>F zk9yhDH8*HGd3)5kUrh;`(0Ge4ck9&KIaK$Qtc9aaMryy;PTKGx;NAvd;Wf%uTucV{ z;HMPyZr8oRT`{4p8v)cLg(VV}kFgy=`cV}vlr?r@okNVm4rS&6#F+=vF$S7b8URnN zifswQLNgbbKxjMsDcoyy4oobLAw(?}Vw$ocz9m98f-Od(z6}E0A*Pr~1Ury$5*if| zn!vAfbOJ*o9#EuVX?mjvSS_(cGKmob$wRaedM$hLz%M^bz}2&0OCW(e%o;j{ zuLR|cG|3ty7VX7WJA7i#FiJ#&(zpfH}5OY=`{1=n44w2 zB@4oU39Z}d^OujqZZ~z0r?x)P`s{Os8T;~ZonFli&IzaMeAI{gh>zCNP6v{ay3j7P`lyi(gD=6!&hW39Og3y9%D^!Vkw1Ue02HIf{;#s?#Vmke zP;Uwy`|WMO>s<|d_p?ZYG{s|A=W%}(eK?jdW6T6bZ-@UkE2wV#|ImZ!zNEGe$r;tG zq;%qyNgQm{ofeEd56RJO>^eOuxUefb{Z%s~@??&3bZw$)+-;ip{P{~*B*)$M%!8Ki z<;7x@1~ZQeyi+fb#|$6Y)HPp_|JgGeUzH$}@9E%nT~_S!qen0DT3b(lYh`!hj%ep3 zIobyMG@G*U%;l-%=g;cXuReS{8*S~b3Ps^N0^PiM9v>9DHwoIrNjmr)$xYvXr9#ws zfWFU?`ED_pbI@@X<33GDhPsJtF@I(uP7LY`?*Or@)AVxo;z>{}<_?O*;61f$Nhr2t zgu(*w&KQVtNl}`S%U5ZjT6(vpCun?Z3~vI3=a{80>l}}fWKR_o$fbaP#X>D~swK+` z+$I3ZzBA6}y(S%!cm0uH89$X>Mi z%~bxd2V;o(%o(b3Va{}-3b&jqwzBQ}lJ2BdLF@jdld`hs)bEW-MHsgX@x?|dOC7k` zrhVEi*7BGX4(4o`ZCY6=tMP;efdB?KS(umB8 zir9O?!jFp;k0AYfp~%<@WXl1BtjPTRCi0L;@|P zvd%Fo!TDdNDI$6x74>XT&2=3k6Pg%AK;^bA2g@-V$)5gN<*8_~`*I&=;*?*P+~1_b z-L%3f5?x&UUR-A*r)rE7a%)qZ$-vc^`~I7$6)o^H zDR3|h=i=;0%uh~_Ez8VC@wKUxMZ;VkP7WJJNnTuWyvps6#66LJdQw<}b_XsZb%PLd zBGp!JWLqR{&&7XLtN)8PIe~nW?$6E?YQ-^E(Ko==a2DPSZUcahNXY>w2S!;0eX+mY zBH*_Et2u!vXXgF`!$S%p`_Kc~84Ilh@J_F9*UkTCPyk!zw^H&eW9ApO#~<@3e-`^X z3UQ%NaYWFDTak{YStiXe9O#&qq4^Lmb*AA~hqrywo>$zqe)mWy^7Ae|2^W|9=O1kb zN57?JPo#8OLA~VGc~HF{gZ{uFh>MrC`L`V{F#y0{hJc?H_Rqj8!wU8o^tFNXl5$65 zYI1R*8P+QFg+R_`j_JiLDBeg!OsbGs5h<7io$*h!EvXMYa8;o&6LzeVB!T@&E&c$VbTr|hrvleo)6Rx2+g1kt(#t3&6w5F=W$>Mvs2#KXe zH`P3HhmjJRDNcDahS_bN2OqaLnkF*ZXWX1G94vomzI+s~huPsTTMH{*SyMB9n-2!UVs&X1I<2`!P0y<3-zU(d zXkJECJ~Cl)6<6|gPQA~cuJzn~sOBx17&tio%+4**a@z0p+sM5Ro}&E=`<{A7?bV46 zk&?0Nf4`|kC}GqC*R}`6NmFZ4wTup$eKl>FdYM|MiR^c#eTRKH9pJkXSN^#id&oIj zQH3`eX%lue?!$$s+lkwpuiS{6pS=Qu=*>{`hv+8DL?PS~xAGJr9_@oX;>vdJ^z&2& zoh(D_qt93M9WAb`d^~>7K;HUMdW4~VciYCz8Ob4)gD#`C%yud)SqY)wiZ-QX-JMMF zX!bwT*siJ>`D%z{=cb}dexwEM+^aU++`f(PNt)4x`r}zoXRq9$OVB*p(f+h8bM+YV z$P2cWIN*bk8bVcScq6UCO{jgWNi0f(9U&8QqTWSpGDY2_*(=yb!Kd86Ji^}R+I*P+ z9jNzHJ0_5=AXibUqlX@Hn!5Z^^L!_MnjS`O?DuquZYisrk4eO9n?GZSZ>_IV$Sc;{ z?Q3>qgNL5qYuqS02yHw)YTQkbQ{ryJh=Fz-vjavBY4pi)WY3GPh3n5+^S6|)waSp6 z@uRdZ-h^(g1<8Paq;j{D+K|$yS}KlxbD?R-yrnRKAc-$}2aGV#lm_&>0D_FCr~|CS8Tfga=E zc}!}^dk84ZQV)1)R^7yYcTxkjL7#Q@@Zbga8(&fV*e{yS_S>YH-y6*R``#TRmCW+h#r{oJ#6Y#U-1yA99H= z;YZ4%s;FyrNEoWvxTlbGu?JnMoNy)Y^onJV^`N1mbB|d_LR7!-8^)vkkrtP>@!Y9f zM$nreqKMlBKS=_(NP?)>%y+aA+%v_wK;waH8Qq@Cr{=_aogR*@j1=$~dwPEG{J|Wi zR2TOJXXLDK_V)H6HJS%aqKy<+>0CZZ6go0AJ9>ty{J>|@3r@ecV9m>Cc*QXq;j=5; zVSnbQ>Z->(Tzmw2<8DNKIc}>W8By_Qi-85e@g6!Onh@>TXOB%!AWz7vChk6)a%%IQ zgz6aBrBKG=I*0FJW8l&95?Kbp^-CWurFMGP?v&(_JEs5Q^nUY;Cdcm_;}H1H%0T8t zs4k*_Kt;npg!sK)_~|Ebu#R*F&Ay=HmU>)Opp3LmC7Tq!3;3s}bO{4_U-NrU7&jGt z47NXe;#_BOo=?W>Q6#Z?uH!)+r4ULAJL@;wPi#YOp>;!>sE2srp1Q8cHYc3}N z@7Md*I>!ri>8@AH5fTQB()aA-lBIs3Jdy6}2%)Uh+{(cKPaLIjVm^Ih&XTqd!5pO8 zt&-Uxpah$e>bcBPwG(WaZax0mmXy&jD!C1;hd<|PSb1Hkzs*)I7_FA5nw_FlDBBpE z35(0a=T8*MPuU0B8FLo(L5+vv3bO$HPb<$iZoX@0I1OwDJnrk5oSvL76RcVuU?UpW zU6yx`eS(B|6mBmYGkkZSyQpZLt1CA)qe^s19;C zZPC~oqw6)5v70hmpc>XS%fNTsSTz|^I(@ltyBf50t-3ZPHN}`(BhvMpS;Z_ZV-WfX zGR#7+WrW^LO=`4bDz=aPYU)dd2qt@ZhrQyO=6yx>+{v*p{hROz!WdvHdRNgk3Filf zi%`OXuMifBDY@T!4kxbwj z?Gt)7zulE46ag$AOzUcmIWwI}Vx}?MsBq>uAz2Y>O4&j5hmsf!y1yy4o}7vkScsF& zV;1{N+W0#??M)DgBN12jeu%R=A6Mw)yMO;x%pS>IdW+@E^Jwh~$@Eq+;sV^U_9@9+#{RBoEKt8o7l<#3c@t`Z&5^HK;rJA z-elkh|2RM{6yd)VMfY#2u~b0mnFsS-EM{%<^6<_^x)DrWKSgcxOpiLQ=i;Q!RVV#S zCgV%o{dY%oGENCKkQU|WyMD;R$bBWTk1;y}AWkxgoL}C@&Zm2k1EHJ%xcSmjlIT)R zA=umpM-K9G>6%B+-J!igRSTz%vS&K%Y0RG1d03yu|9Y_{+W&lXc3HYY;ZE#~ znlZ+8sn~}nKRx7md>}^j!jbJcImh;&=Hb{CA}t!%rTrp%07-`neSTLt=>OACjef7c zXsFO^Xoyx^f_)IR9C+cf>bc$%g+z?v^r$tBFR>J%Z2!SMD%eA}+pj7q+)kVuX7`>Q zqnh(Vge38D3Z>}oF3?{qOKqgUBmE|*=p>a?d>s1*EjULLM{eV5wWv%S84*wL$o*KK zrEf9nF*sI?e+VdL&-7Du)y{?qk5>*})${<Ugh9seGuGQC}2BPZ|T+FrFu`zNWVxTgU6>Mg-< zvEdH9Cv>f%2&76nJ2LMxXMC?dP1U7s5_y%j*{$c9*1?buXO`okLZMZy;u3iM8{oqt zy@OTux6~fKQM+At=efPdo^3HV=h^;zI|rv$++IW1v@WxsZrsZw*W|ccxmyKPsH7(Q zTg~ZZ?M;_xsX0yUkIl55>8AJS(mXs4*<~oJTTi%0xd#}Bh!xI%B=R>N?z-{RLGjuC zN5=DId#K;6xLR^9{8SsgXrcm-dpY!xe`q-u>54vE(K~mwALeOtzD=Vvq)Arn z$qtl(;~Ds^HRd<_@B5&(AMH*%WuiG?tqnQN{JtMwN`W6o!P-HLP$>ySemx2m=uthy z=cEiFtJ|V?=SBY~@LQE1TE_Z$4|g$UL7Lz=D<0^v{og9-eI2Pt?1t?Jp%b8M_~S$7 zcQw7bd7cHDTfJHl^>3{{sD4wZvza|+tem%r1Ip%J^>(JexW(Osqqh=Ro`v*!_}VoT zC|cMXn7j%BG=#DZkccWkJzLeU6WGeYx_Cd%ojV>l;a! z<$T?EpwM4P!j|R7*TM+n{OI)5jjJI^lnzOhnmG-)UC_i6d&`Q_9#CIL#)#1MT9I*y z)#G@Jm7$fS%;9EttioxGsBS=XdaI~UyLj#=7~e|Bnk+t8Sug3+6#3pX$=a|QNCrxm?( z>nnQzlDrA+i6UO?1)XF7#||@x#>P%`oS-XE0l%}KN@Bk62le+WdzZNK7*b&01Y7y&ab-30gQb3bnRL(JUHidgdX9T?8%nRP(nz%yJ+4-ASBg zoBys92a14*nSXy!V|J~N$NS;7dx3$s$D<_0%3VJ^XVfAN%3C{;Vn|WA#2PE+L^t7t zI{nqKhxb&?dB8HVj8KLrGiwX%P$8y$%L2O=mXhsld-Fwlf~pP^USviY8man+?Tmb% zoc`dzCb121wRFT}5~f2yjdp09D5y<+*X?IGJZD4GBciJbiR#0d#4J0vetTs999LBL z=)f#*k7E9-kD^h7TEau`W`u{-4H5f62q*b8Z3o&CeHz7W^tRL24So!g74GtzwG#%Y zs2=75O^gs9gq^%}s2_CPzQ>=Wzq|t{GqTOAOScuK`Y}(wx%mdzs7&ylOSH`D_ArVa z+^|g0-KJ1Zz^57fPa=R*RehsmtGvj==J>a?ZkhJ{mj*l0)?vEEAGEyQJ6ih`Un)oy zy^#%`BntrIRpxj($S1(QNHVOXjuuX6`MoGhF26-GPcop&U}Gt7Yz&(VGi-b@+V^fd zMyc<!#aTP;Tg?S4SL;HJM-Rx;=O#U zP8Y2TQ|Yz#e+J0~MbP;qq9JL5mMba#=7=5gjF*y~DYbpb$jdtVLf^SrRlI8bNZXLE z->Ik6nSPzP*(cqXGNe5%c(;ux6~AD<9>90dw^4vL9D&Ys5~M|Gw;mLtuD952vZ|q7 za}#{)Chg;1?jI2Q6yxLPFVoiBgP5VBQr|S+=sribKdxL_yssP((YDc4DBRJ6Q$gdP zy^1t)Dj+MGH=S(6Z&BTUg>^99)!y&T<4LKt2-tb&)WAaJoD#0^ZiJovuUzNLIGoOwp#$!|5o!& z(K7R>_xg^Hy5}C$d*0XIu6-0p?;|jgE%XoT9Csw*q4^X|=M~GZlR~qNtWIw=ZKe$X z2-p)fVX}4o8G)8kW3swx(U9EQ;+w>6=-lkuyy<|rNPne|%8B`T{r;wdBOk5oqacpNzpAu&Up#;QUwEDed4Lr<|@0-^ni zHdexhB7WJ!T3&8$-h2Bw4KGZ=v&0{(ImTGqlwCkX!NF)Lhv0IMbWq`yOt-SsGt3B@ zY&hM{djf?YnAm0A@in^DOzVmOE^(QtmM^07)FwjSP%`78WLsDCEOxu|BcJ8wsP0Wf zi1w6_3`q8O;u611Cvw%4l7|arMo|vU0fb3JlKLmXO!0(l6w#m>oMn$89c*F{8k5-X zn*Ol=(~j?3EELqvg@*BTc*2hcfoj!AKYGVTx{5xn^l3<@3KpN46`ny|n;~TeeSq?9 z?DFD1TNpl4uPYKS-YMljo0JrCt!zL=)vt5RNV9Q*)QV>EnrVGZqGL!AvCly~sAB-I z5o;4qCq~mB(1U?b@DP)b$iK+FED!aZI+@t<9I_XhAB%?Cb)boR%+Ko_-|uUMuNvdz z)})v|+{@$aDfv0>#cPCK>{?VSL3F;Rl@ng=oChFWmw%nHUb6S(g2PJ!<(<^J8pRv#5u>-98R^ zBMk*&jd5ReN2(LEiKf(6V%?wau3q(gT2;HL?DdqgrD=!jDmCW8LID{i=-yJ35+6A? z$HRxOBplXto5ugRfieHHJX+vj;XtrpFIw+>m(+Q6()0IN@e_6y2o?I3mTfzCUX**X z_k|<5PRQ6;{l)056XJ(L*nO}nLMV#~6fm^Hv&S{wJ1EM!jTvGrl? z9n1~twE&)(rXfBc$A7YuTYlbfhG^A3>&Q9V!bfj;=8c$*3k@xtutg4-ql1Et@RYT zJ-JN+b(}t}Ej!B{bo$|TTogBBKdfy(6I5};I`Gt-3GZVjfCM4?*~5kQr5fFsmRb<`-&auqkdiKK?|Y7MYR_naUR4Fvl)WDnE*TN7(? z{c_%n4jcJ6Gn%^<-AY{Nr9Y*%ejK*>`0?y@iz%kgnDq^dxIqLuS^9#}FdQHykxKpkC|Xg%W)z1Vd&#sXH*1dQt>-@J3kF z9Sk#;8OH2lW>YCxIBY>WD0H$=2Bf6j^@C2)Lrp8H-zEVnnRGG<=K4k}%XRK@967q)S{c4evL*-9;$?su<9Dq8lHbevWY|iArD|%y89oCxFu)RI3b{ zEJJN*>;45wh(T}1)B-H{Dv6k*8=K*OtQ#*U)4O|k-zjj~m(0GYAgb~ulfPa^>TudJ zP!1;4PVo%(6*LkWyOKWX{E(^5I*(gwHx@6R5*h$rXSI~#ywC3q5vlwXA_5TPPleV$ z=Qocw{#mMtIIFmE_}nyf5a@U2aheLl&Z$RC0-zXfT-H^>#wIlg1LtaBW+1ezu4lWCUX+VoHzZl-hW%vvTj2c5@0t`d;O*lIfL&PzqmT^ocxli3t zP6yi@!+41gz%8GIvf+!nSRkTz3OJvpjpPpYentmdKK{!zybpZ-cq8kgy#|7j4DZ2x z4Mj(R6O94cR1Q?iI>+`O$9Mj3$JfEsK1}n%g0AUu%(waUeXVh$y5Bkx3_EE?PI7r6 z21@NL=K1*thjv7JxyjzWj5!)H2$PK2bjIVHQ-y-t4dYWHr<&^SZFuyksG_)0rm#6z zUFqNt-ti5>r|;>69T5yX5W$AM5If$j-@IbDmvDS8(WmW$^!L9r^XV~tp(TzTNJS(u zqy2C7^VWEEc`bQ_PuP2IN7~@Ig!6UJg}&TRT>8+y^L3NTr)O{x&r6Ft2gy$o$2JGm zpE$Napor#=>kH{JtNH|w)|c*^w7c5Wfmpp#CTLr^hj+H_+!EU%q0Y6rD9V9&=g`;1 za5|@;eBXw%yETJMg;@Bm!|jz+;Q0SN}kt|x=6lAN${AQpOAUbxD;krG|K{_=0SbB|92k)b%MWr-K$!bI$@0e9}9@yPhttS zonQd9>w#RXBD&AFnSev}BuiZtu?*~q7BDSyK8zVVn|q1EK1#|UP=JijSTWxMY=ZzE zXfPA}#hk+%U#)YPg)+cI3<5GZ7|ML;LM&|z?akODODY^ z)1v{H=207JS!AKxA$F#6c%Hj;{W5XN|BL&r{>6HqbhpR`(bS<6?T(7pT?VI4I`{nW z_KazyoXG7*7!x@OH^Vfw;5-S8=GD#V2f{b^KEY&!ZNNM9`d2G-pf8rwNKrwgiKMEs z*QGRcIFxRS6sEbFGDd!I+wXq-qQ>b0k6(z(b^9P~vg6?cW?5ZEP*Fxc(ObmtGg zDVy3;b?grHPrBt_jyA0^(do&od%PEd*%J>bY&(XtLhq2uIkAH;yB|@a#;5r7{OeXn zBb-S=(vHzGk<|BRbJA;b8bgs!H}3f|wS7iymEpcBNZS)cuG7@uWczq($l3=`50B!q zJ5sYxP-;8LL`)2JjsdeaTl;XbJ2K$Lq11Q#r`n-*3>Iq*pUyO&{`C53CwvAvg#x~O zCT8iyI!Ac8-g7Wo&+JTMhrM=E&k~*1J9+qPz~Q&v)F>7~clI0`eND?-@WM7iK`o=p`c&Y2v z=RNjMD9kB3$}gRrXl|d%^N$CG{hLA2O>v{m4Kd+8XR}}HeQ|+P^oYcKp{4Ger7sz` z?gFWz6FV3h(oiNJ)7BURU@Tga+w@Hut z*1{3WZ$>qZp}^o%OGWG$$* zPIl9;R&)>CY&lQE(bCD}ehkCSJm9ve+UcdnUoAQs*c{2j2tzDR{eepMG>!7g_|%uB zQ#Z%SVr%H|{uuU(v0{dOqLRivsZ~@5y^Bhn)nh5`~|E!djG(my@$CD=) zMBI_d_BW^z{heS} zbxhH5uvw1$Jy+1}dS(dbp!=QltwNfT+{JfTGq?<(kVWA$7R<_TmlP1>220ML?^~Te z#tQhg-2pAe{z9>XFZO<7ry>`**#AToi~PYit^Yr=KYkh}I4yNz{-ZX`G1>?P>${+# zH?bfCNMZ*{A|TTw-F_vRs|@mz+E*ntkK1E!yGokI_aZzGxX2%pam`3hR&e`bnXdQ3K5=2*+*c3(cBdRHKn$D{DGI zm(W!Ji$s-324DZ8__%ccalz-7N{nli@2nj8zcdL&mculUCLJz{wmprB&)Ooe%AAPB zyKR~xU<1IL-d7Tua2B5gxf6W{+$2IT>+KS^hlg#wMho9CT#7!TR~J z_naM%wLkv=56J$lrTDHE2#z_9nJct>K|KIBsr^lf3NR4to7(J5FJd7u#V|}K?_Zf> zzxyFz)cyKR-;6peQycmx8urmQbKx&~^IzOewIwWb0%92oVc}mbXW?I49DXs;+y9=? zxy^1h4geYWRTOzdt>XgdZjZL;R+c1M*@2CLO+{Da)(_)a%oq$_(JoU1&?Pb>S zpbSQN{`)s9$RYj_pQ-eMp@r(tlu`&$T4w z(Gkm)1lpp|DrvHVDU<*xg_FJh@^?e)8kS27l-~!y3jp5MfWQ*;1G58UfRCeFS8pLZ zIRE;Q>xDlJ0@$vclMp?df5oNh4f{6e8C6KQM8(be{bdrkuW$uGQF)S7tTBS6y<^0c z1~WQh0FOcLZwJ}HN|^slNagM={b`ybNcvaI+5*jm&D{!&4`Y?j9sW+tFM#JCi7qC? z`$4)uM;%0hlHgy^zy(Y6CO8LH!6+fiGVW{3I7xQ7B^xcmUSoa$?Cvx;vnOIrVdMj( zF7(Rd&o%gS4gQpaKhMIS_rafc;-7lqPu=*ZeenNg8)wiQ6jHvqe-B)O?HBp9;!Msq6}U1Zt z#FZ#nC=J(e+=);Kp}(gM-+&6{>LYS*_XEkB5tRw!8h?ukX~TV2I^WpLj=|wOso-En0bhqiGekKekOTl>4@yaUTZuSl+ZuEa3aXE`E;vDi08YTC}^_Yjx;jFU69(i>n4qf zv@xqyhE-vLDu(%M`s)f}NF>evl5E>=xaNMVo+LKHb%8NW6z{iZTogJfcRTYYbyv&3 z77ZUjFCVrq54uuY3(^)l=-P(3z6ZL-)Ycx$(kAPQB)H}sCL>U2rMb2~DbDby+K{uQ zT0BeDAr%BHg=EUFLRM`}2I+ih=E_5Ez({e8I)j2?Egl@Lj=`p)Qp9i!&+RS9(p7jDeEuN$Djk zYgWTH=AyV@g|w+IVS%-GeK_+NxkVN$blwFOXH0*uW7&W5%Q77mO6M;97F z!G9+f3(LPN7ISuR6m{^>{R@SYg_W3#hgF|R#NOV))kT*Dc+O0$Ld?R#_V-ht>8r6b zz}}UZgPr^DIg_X^2PfCRBw`Nsu0W9sur0?wG8G3`AU}zjf#}SvjQ=khQ~)jxZqBBF zza7~B>7eH62mmUu0J8Z%aQ@Z*uMMCAFm=^s1X5-*up+BZ)I=8Bm&$q>MAnS?(Xi49#*!%04$8A4t6w5s(-Hv3Nnd0I0KjFpE)xF z)1v+D_s^97v+BQ7{?DqpSQxq3iCK6!h}pSW8JXFMS(*RB_&;g>uOo{CTwJN8SeV&( zxH;Kq{uhe=PXAwuw3JM&fzt$nm$3t?V&VL|FhID#q%023s*c8{0H8?Bg`1dz`yayq z>pZ~v-@C-$W|BY~02`>Ou@bXz{9_j;Nfu%bu7BiAlB~d{f32*-B*_M>{-pr03e>~? zFN;ZX0IUDjjT1P+KPrI3esy**RRy@}GJO@7WKsioxB}4u%_Ih-1CToaXICqL3s9|s z7%K}g3+q1`fW0II_v%9=Hj%jHM&EWeNsGf+LyiI@w4^zql>tsZDilwG5W;m zZDcCSvU2j*lf)t9G_EvvA%TspnDr#q#mT)U1wj9LOU6pDkGCMq7DP#(#v!3D|=ejX38N;cmliU=yt*U_b|pM%L`6z zk1#{7svY~5FOEsZu1V!HoIy}#36;|qhuo%(!M4%x?V9sxLVtU|yl>6R^@FuxG?;94 zF~z5!eLonX-UCK`$2MMdL$kKI2G(y4kyezPbIF^i*atmel$Q$gI6u4ACDEuh416{P zY?VwDR5!Bi4&*o9yjt5|d>UU*c1|D6CGmgauf~V7u_~ec9*8897jPGmm`4zt&U2== zKG=zZxy~85&wBhrkA)la ziAWCX%z@OX&=~%UG(&aILtufs_PAUd;*j$)Ocs4Mv)V*b-~QY%as@tk44qHPOrJ># zNpwt2$FpkC;oMM~IYWhZG@yZ=&`QQSrf&hR_lhzlW#`&Z=+sxc?TDh$EEhpgdB>5oYgK5dhSeh)U+>-L4A^MtdI{26la2Qj5Y z7OCiJ8a0=!IuM=XL99iw&W=Itx2>$F&Kewkz#Jd_@oS_Lw^Otl!4DeDpNWlN6wO7J z&fgn1ILa100<$7ysz){@abfC`yahxMxpfrAMpR`->-a4Ml#6^+3|O3VxWTpLth-@U z+0-q|A*oElmh|+*1%Iz~LzMq~J1S;zrqRZ4`^Ll~xd_4WQ_ z$ZAn-%1GQfn-0{Uk}sC|U2f!0akM`wZB)LJDXvg#o*%;ApySI9{ps<`xN=@ZiN;t9 zXYkOee&eHkbY^13nGU-;HtLH?Z;JJ`hA)mjFpFuKpdBb0*=bGvd0h5Y^KTwquK6Dv zE1Gu6f#j~!6|}W2q0R#d2(iPM-t1FRRE%D?Ao5BcUdU zkHX6-2%~i@G1%vX5*3WIpMcrEvxP8!Fwqv^sf=CB7~Q$E^gfIu*<`EZy-%j2hsb9k zNrMS(8xA`G!KWMb(MJM(9o5H9?vFRXZYEel!B=Vp`otP%GCK(5cl1s;` zN=RZVdgJ6JakVy%A0v}7ageNVUw$iI5?Ub&=eth^^fHjml<&hqEBLpYo+a4bOZ4Ro*a5Nw$>^K;GQw;xzF zb+mS8jC_3_W4I5QFo8&JeF_mycjV=ra1#Weji~&3l3YkRy~`d+K-rP6E@*Rbk-%Nl zc?-5kXvmcE*lr%}`^C@PKq<+7I2d1TqzMECzVfUmwqocG2a4Uxy zEj6GP4LK@v+8x*7=s53f9_Yty4QH@mn+^B}-j@wcouy~Lfd<3K2mqZTb-0{$XsP0j zIn7QS9xkc<(;;{u^dJcIF`RSJ?x#+T<=D;el)?M0H6D5y_q*R8 zOV-v&u3sd*&YAlH-n@zLKm*ABzSt@x+v90EZHGknw4=^RoA6mE0%E*ac01?M$~7u;zSl>TimRecb6Nh{$LSJZ#lwdX=q@ zkZS^FU{X3RZ$cgY#pF>0=kp5;IGEt@n;LcY%Wtc}Dr?+dF=vCBe`Msl(Oz1|Nx;zW17ei)^GSJ&I>ghNo+2)oL#?Hhe> zr`FT?HPWQ9PL%vy8l}*S>)(&sHCtMphZiFrG8kNFLGWvs<{1+64(uW^zsjkv^!juN zk2Q+T(=_+C(Cp0F=6Zm!S@Y*x9)g0KUNpL5C9S+v8QS=i@nsy389-P6e)eplI3W~V zcI~%WO07Pww+l>WmS*8- zoE`uSBn#d+sG$z0mX-IN(`{TppIXUi6oTKt-6Xr}qih%zzJ-1&s^p8=7kLj_ly%|H zQ~$5Ib{nB*BzOHtd-9NX>+GkCG48Ox$+?c`HELFAAf*h-xSjj*MflECh8(4zT%8;B*cp^<( zXD7gF+B|rZN6^2RT)597ZE)CO*PgF?Fvj}&WPQY!o9f9e6E2B&%E{ScByQafZtd7{ zz4GF(y^_AmCw1;(hw4u1j*y5P#~8Ed_Vbr71B*_()--y7eoUv8dW%*)wVm1_<*|N@ z8;y;Cb*;H-6dIzO+*~WYS zt*&;Nk9Cjdyt4e+o0gxj-C|$)HjJap`f!ipu#&?L6YQsd0IJ!F^9jxiX`+ZEHs~If zP$}(|$X<+o#13rzVP<|?jPM=!6>0`pxa9gJM@PaXM$-^B68cetqhyq!QgMC#Rb3uM zU=og^7(dTTi`l;S($$TZ!o7_+QG zDkXw!f=sYV)uWu~6hn5J43b_)iy;Bd5*rJ1hY$ySnP>;^zLGh#G{Y7( zcK~>@aMm!e;+S+5PJiZ;fIF7O6C70Ai0w{AV|D( zW4`ej!r5+cd1=pOwV+Es>Ut8kaTQ84s_l$>Z+m;DCB#;G`3?ih5Kop)dD+#7X}-8% z{@5!op}5V)9z;6P?~3rFi7cx~JPM=i;(j!!seX)JS5I3xAgFf+yVJD)L zNhb0F;x5aP>Rt`;$T_=utTe8@)m8)WhsJ$fiYFxIrbqB`XTi3j5NBm(5at22a2#!Z zQGvH|O~RcEb|La!YU=(v6ddQ41)XkOE#L>epW=-!_TSQHo{uN&#Z?5q@rAVDQq%@rFe~6FAe-;He-PPZeoa-LSzs8!)XAn}TcqP{^rcqlnrNCRD*z z{n420q{_UU@pasD5a*GB6efis{L7Cxz_&#&bibkrK4%JXoEPK3ffjp+1AFH^Jw%?~ zPYp5cu`Jj|z+4;b!42-UiJrzNy6J|n*beBkQi8t6=5Aa2H}-@c{o=^yqyk#Ziyv5u zME6Aegj5BeqPbzt7OMSYGdcHYAVxZyv#%uc`+m(1L!Fvx7MSd2F69nhW=DEKvJZ@} zcw*rljIB|vS>t<4Wh~?U$?t+$?16d%qYS@TpX0k+hg)p{DI0vFvO6|ey7%V+4pNWWqdsQ?Ie9f1IwZ!vX0Q-0u4g3vEz*ENp^{85b~VK+vsD$8(X z;rizGA%5*vv*JFp#_mlpCj6P#7z8T-G4Cjk8)l9?W$(S&Ga(aFc%*YWXDi?dSX3K3 zT0=Qkcv${~lDxZFfAqmvc^5W$;m#OA?@Pte6H;}DPRrl)WmF*!0nSuuoJuqstxbjkX>KhXrW6@X{yJf1^$X zM-G7x86Ss5s@Dg?o{H2aGm?0+fWPj5p25B+3}qrTGR>wG90==;)jlG2mI{Xm9xP!) zyXwmgu?IU#hLAIdaUUHc(Ihq9n~+f0sIVtGR<>x&q^Vw>Vo4atHBCQU-4MCJn@zYM zORZ>r1_+~{+shVyXVo+>K}1(9-uSLf1O3&mI#b~Q(o4Gfe2)8oXkXc{BwAP$Y0!!% z?#in}{xn|j77;cIJ~u6W3em!G7%p?=rx`|X5!-?m50TLz-}s>sYcRfi+|ah^_SpFp zSvo$Zgfv==NTlb^D9Anx-cKYmO;s&C4*UhII!YzguZiSDqCrX2Jz!%c`=eN4m@F|` z^brwL2-YkffE0@K5FyRv#S(*1O+u$Q6usx&*v0g_!Elv_pTCZ~FYrdlKIS4Ibxqcz z>C&-w9e)ZWSP(aV8!+B)M#W2=gXfn(amSFGzvT<+%)mFLeQ@tS89?1I@~YHM*`%X8 z{d5GyXN{=orX_KZER0WxolQ|}jt?@xVMT-OC>1I{R`03E<@qV_$`aJyCoA2d&awL_plS!!??eXiarpD&_QH^8 z73-IA{phsokyMqMw)MedcV*FuUOI#w=z z`v)bkB^wHvfYq~9^0K`u9|x$4&Cg$8Is@K{ucy5aHFP8ok7a`cp3OI99G_SbUusap z4Z9nWectz)9Xe}dGfmDiOogmN5KMyzi!chHe+<$PCU`eQ$^Xo4(Pya_1sgFx&mu6z z{@4Z$y#Jmun1bjW2Cu#&;sg^sR0%PS`DLVGl%P7d-prKcdXyy<*Vn1IS(y*z568VKY>&+tWbW+4`Y7!wC}8WHS5&1!5? zs|ctZXUtYm8Ku#reuL`D$&}-b0A9se`1G5{&(Z3@qDd9JrfHfN2!d$DP`6mt^%L7R z>+-{gTKemEe}&XTP~>QOxwJG@69=q z2!)@eCMb~h$`-Iwmf+ggfz#z4KhiYtrzVir`f;xZC(w}H^sY>&TL`kGhLcF4$a46e za}RN*u6_$iI*0vQh^*zVBaTLKF!#s$+VyQ^^IIb+kEz0zk2KXV<&<`Ph&5N|BgzCa zDxjAedHd6wpm8x%-B~m67iFV&b4QT9qNxd+_Rr`Yzk zibv*cY}hfd2v54^L{p&G ztX)s?ANj5y2T{BFyqAoIbeOuP1q)txQB$n>VPu7$%JiXzFVhvW!a?OV@q)o0xFu2= zdAQXKPtc`PvD)3%f!NpgA#MYri>z32FhGUXiV-~}G-#yWls<7RP=pMP%`P6kX`;wz8iz_b3FU!AgT2Y(6 zoFuxhcf%f;Bx&P=LO+jTrWF~b@_MWp!-3N{?V}>p^Gl12())Ob&sBYqXl|=&8wY7V z+2u4EwmwXrpw6C=)T>1A9Com-BTt~YW2sFi-#>o3K%LC4HZkitk1xsk33-uc(tQ~$X$S)Ld zLIYAJixsn*i^|v|lMcg^S7T@nDxM;2TBf~r?N_dC2HQkM+Y;%dYu8U%jNRL@AH_IN z(gbb@L*@fBj--d-@mL)g3WZLh%mzsyt(_#ulZO1ekd0i&8w*R2Wnx-*6v&bT(ib;Z z$h`|tc)m%*-%t$INKj6y%D=n3qn)of-N?s{`ZCvd{Yi7~VSuJP!Lv&my*N6I)Sv!{ z^4&-tZ`GkFdDY~i#*7i1%Sh%aosWn#HbH$g`y6HA<1EHXR`_)Cs07tuOU2M#xxj|l z@LX{K9h9(JbVi#Bj)%8LAvx?)g(rm>gS`)UavU;}R_vHYt}?=DysNxLz??i~RtIz0 za{o}s*ghSd5lwX3cGq*}WFA2PhI42=;cMVcbMxWs-cC{>=?CN@rJ%;`ZT8Y-s`$Us zls!xP&zY}y0u(mcRKHE;-N_m}G4kSQf?fV*YHJVNJ9-^tbykJ2sC?!p9bAzW9PLu7 zs%jUKpFN$F+0%87OOASZUa1m!J31({m0tumz29qBy*!Cbe9bHra@9ls+=ZYz7&0!e zL7M!1?|$vnDUL=_9i~kpc-T*{XHT{rxInN|i86FnoW$h2BInW#shbEw0DCQa&GLy| zR`P*8Kr_gU^o2j*cV%NClo3xzBST~!m-o;-bqu7M1vPZ8&NWWNB#!mf*Kz&rhwuya zHx1~i%UrQ@o#AcyWCHXIMg4wcp7)iVPN{JJjIwN%vX8d`6nFgq5iiGifB7`{C{3_Y zL~}H|P1xQTR`_{{s^)Hn)?a2ZE0nMdDLv8=iPN6xDSZ1H4_s!wUln&gEk$fkpS{zuB6tcG+n8Oikn|1DKGa4Ikj>{o~h9<(? z+Og*n$Y3Fp1Q<-CXgIaSD$}XA1Ql2gdV^J4+vo2Rj)t#95vh?(f9@JSQc=Km2y(T3 zO7Nr^2wf(dkLHmqT2O>|t1#=W)>d?WlV~sK{ffdRcV?W2vk20{g$B=dOm^RISI`7K z|MMv}oF^LHsOs|exxD=9KrJBXUs%~E(UXp@bleCb&jlY%4+b^)W#<42FZ9PQ8(|-3 zqCQzOvQCdM(}|&D?`{OCD154unftXy2M$rdimp?ShC$UaQWz?HUq|6$YYpFzm+P?G zOQT*?p|%4}jgNBi_gA5Ac$#kimAGyHw@1T6i9+Tu4p7|7FH%=M*r3Jt2n29$!7I-M z<%e+wvun7+eeiteuzTM>YCLGN<=`I_H|M?kmKcoDQK<%=V!z4iIeoq4*kw*uwuGMo z`6SJa3HQh-MU~oI7}3!~t*Y8_P#lIoEi$nV`Iv@7D%bV#7lnzkc*1r8A}TK5lKr8W5p zzbnQcgNX7Q6z%StUQ#?W>wVYK&2fNZm78cT+)K`m&#p-zgLis=h&I&?jpNf3c%lp$ zs5CQ)TU@)ICNyqb96=Vh%NY|92~+33G{*mFXx^S)XB5Wl(5p%!--}I_ve%i8nK}Z&L#~(Q8h9qY}e|XcT z@ilEh-7cxi_hFJvOBXeInmmVzi=kx_CPif=o_cLdFJWlqZoDY3JR!A~*at;_kZ08d z_zUr3Sj zO^gzTct^%+oer!lV!o$nNI|^!{?VH2&cN5NzDJr9WbtTLNS7n)l4%TohKg5A62lfW z;3!34+lhZr(5$aDzdh&9Z-R)>FqY^sq6TI3%Dd;ueR=+BpMbN<-vp)*U8PzE zs}h^c+1CYCcBO62t6^Q&aT37kk3XuG=3GPPJTJ;bXVmOsBbzM8f_Ai)T+~|iwK>;@ zM_TW>lu|>sya9Exni|G!8Yav@JvWJ@U81r?t(9^*?PpcQjwE3{Hx5>MvUxF=k+sy> zMUqTqKw-iHChqCVnGaJJ_Ov8st@UrC&956vM286sS8c}hWo)u)M+NY6{BvfKI`DG{ ztc&O6TC`R?I@k=&qEC^H30b_yF8ISg32Oz@o{2kT?7L(hqvt(T{1cuPGQaQOopyHO zTF9nXjX-1?@G5y4#IErth&*sC|6#Ofp^BbE`+Rt;=ko8qz5HO71I0+I$46`4zmI1# z8NRTgmm`Bs=z?Ir)sZkDCw#pTkynF}2*ED3 zr{gw$>^(PmeLqhuVaufI;uO*A`>gNNdb?Qo3nJF##gg0m>`9@Wv7t86^pfF~?r>P9 z|D|7>n{(W=qn~0vK0-6LXb@bg+(^VZd@(^H(O_%&PL6K#`-{+9=`f~{;GW!5aozy( zz!V2T-$}Xs9=A`3X~&utr$DGn5!+1XgHIY{*nFDVieR&oF5abkT?M6OV`QaTgChQb zT|#Rm#H37y^saI<@So(@0;0hUr?N#{n~%b_ zd3y^EM;Ay*rmnu;_Hi;|D}5(BDQt~1k`W*+2@5kS9E|XLevv!RVTk@-wNTiL8=be4+z2}2Q{Z#_@U zpwO7E69Lci-9s-;vFbCpj6S7Ic`w4Yz~1MicO)eW!RLPG-kqLheHyv)X3laH4jqAs zTV3ZgDTx@p{XDZ2`x@5rg%wbPN`Y zFdTc)AVELF^l^++rZ+whz$fv{Cjq>nv5RAY9gOfBsD%8$ZF1tRfgd%4+q7NrG0l%9 zo!pDk>OYOGOgw!qqbn|x9m#ynZ17m4vy?-K9O)`xh{sGw+cs34%}5C-5Kqb}(vvba zUN_Fqv9W~(5x3f*#!iOoVs1sL<<^AjP{aH_4_66>(slr2CAaM*Pty%G)@M(PJs^!y zYFnh^n=rSTZCjEV!kbK*TpJIYj6dq5>0V$9DWV|lkPJP*Y#FNZ&~aUXfSKfBEjw0I zLLN#+tp`<2ZSZrStL&&dIR@V-in4u#@)3j}Q6Q z;Jc)LB)C+LCiUn_EJ#aefFHNcY1cUusN-8QEH|u%NA(u)0&Ns&go#Bl*w^Sg2&Z9U zO5B9luYl*5Z(A9MxYI*)2`#HNYWoecLK6Z!TU4g7vO`=h@>l<@PQjJNpSrp_)ms*Q++ye2Fo*B%b7&tlTfk zgj9>*Kyo z%hZZLu}p&3=YBU~rB<_xbx5Sk5cTCY3kiUXasiQAfI?%x=qe1LV#K~`^hdNjs3L2@hJ&a`_1?!w58e+Sfq&==X}j#gEzF)gnbojRB^hE z5w87VPjdwXF&MdOWK(a`oUf+vnbo2uoh8LB+=CU=b9d@_Hj|4`zt9C&w7Fb+6)n^5@dI7opRTX90%TFW2W{ z9t|RkKa->hi(YCTO00?~_rxeXdzvlPy0UFZcl{2VJ_q)EYjV!Swu#uOI+nUr@@lQR zU;v4NL`4f`aQZ(KXW+AF%JvURtna)nVrFgZL?r03X_8^*Rmv)CvSJ`A%aE6Rib;DC zzSc%DW*ZvFZ>68x{*H4qx{~yR$!5Nv8%5S|%RGvz{2{j^}TiU1$W{tzc z1PUse!SGkjJ&xwjs8IeF>ZB&cP$Ij`*Fd8E5+1DM84dWJ^}^=)pGQ-yU=XTbQdZdJ zlM|DirpChk-w z!Ab}%1tiwK0;ZQC`AN^?-gtSl3dXs3PX!lvW!Z}49Ej{h*v|9)k=8smtK53Ks>9wr z63$Y{$4iczNWXZKZw}IkVz*KU5jeeiHRZg2Ny6otkiGR#MyfyDYcT9~AuvDX=0hFI zc1WtDpS2sazLO5|3l&XhySINhykK1vra2*f~1b|8@E0{!dP*OyYoVR;BI;1Iu{EMlQh7}!V>5j3>@trf7*ZZW&ID=^v{*gOAw@g{Fp(^zJq{(fPSun z1cQM6djSX5z#)J~a0p0YHVG&m?K2pB3TI4bDp0B8XaAT;RT z0<8Q~ARxiPpg=*OVPN6lL4mNr|FaZ$0f-vvA0Y||7!VW~1Q--FIPd`G0tyC>3IPI1 zg2p1E425n?>J)&%nvf5TSu0A`t1`R8hGlX^?i_ecA;zwnSWsu`(#IiAl~h>I`9;ml zwSR6GhB62i4jU&pSwga?L1SK>8uw=Jc3@%u4&WA&QrtMWcyMq2Ej0CK)6ml41DBMh zg?m_9N%QdX(IdCCmZe8{dTGnZ%JCBokBqjJXGBI>>*(sqGcB*Ij2?TyA4h*YWS~^B{lv7^#2m` z{|)5NH4p^we_*152!R|DfWZ-R%~x?PVQshV>ysL(>l+r>a4D3sLa_! zv1e-OTo6QWFDIQQN0*LBii7tWISz#pE0ret zaIN{xEu7Vl;H2+C&l8G zywq%EbZ60{fcVtsN21x>lYg`#kAc3qe1gaiD6w2V6YEa3`6~F-=4aY{TuUXrRr==% z-A(TDbacu254E|!%f1l3lSsuKn0}NA-3@xUxW^@R|M&I(UWfk|e2B|&{qvG?NAd|G zsl4~B{Pqlt5=hsdHNM~cW>@0BP!9|-G>75gPc4)ul%WLWz?5Vtp`N?scKB0Oy;~nUHFw3jMxXXb%NUH0 zvuA}DHBme7u)Z0TzjWANjS}s$Hy-Opadizd#;@m0WLO{=bwJF8x(iKI7h8{n(B^5D z5S-5p%?gWl;2ksd39_mOK8lL^b1ktE65Y!`DJK}16w_6pk!f3Q%dpw-HclvsD76c4 z^Cj)xrYKIQcIIAciT%}1NV!eR_P(B=PH!wxgm+{h%W}eo@dR5~jVEFMZS4~zaQh9d zv#kF@QlAs&V6Y!F5=?6cf7J=_zHdw&4!_Ha$`ZblT=-^?KveQdSMSYN|0;yVKuM3b z$1+MI_W0Cc2@cNBI^m4kOF})Q%!!Qk4n46wWyd-!^)`On6!p{Z0g+C-tC*x5lvx`NeB%87M;M zhvoh;k}IYsij%t+`;Bn~W)^lTRx^v?B<|}2hL3nCA*jx8xh-L&WaXx8Y|u_rn}9v9@Kv&349H zRVe{4gTdPQNt#Yd<-@XG>grC4of&jyA&n>(eQVL;t60_fvsr%y_8tppB6=Lokm)eK zGg{mdIl|sZyhHI9Kv-SFOFn)&!i0Pa0KI0&{ zVvd1-)w@8<9d?mE@9TV(+f5a~#l_5A1|*YnKWHd&Lk1@&Z*7v^IDIdA-zhrSi=vmu z2aeEAcOVX;?Gu2m|eHV*vq|Hq|v6qrl)$U`uEEIEc&ZMC{`TjJ?Kz9h`r=;ez*Q1 zV?su}M~8aFieERPsH3sr%)ukI1Kd6J{nNqHi_2$nZy~@KUXO=09G<5~V>$~DTlx(% zZ!23$w~{{}u`cd?Ww{+nm#GeLtM_`?JVo*M-#RqjsDNW7Y<{2skx4~HCgsm^!GVnc2L~> ztrn%)8=E>g7K=%tWj5&iOH(H5t{QuBVkHY% z28|MY`POe9*srWg(n!FRcUDlO_qr=xBC0hOwiB0t4`WjTmfKltlKGzAhde(0lv!1t zjj4N%Sm_wzu@D_c?Ww;m{ow+n@{!HP%d zqj+(9yyq=WB=muz?G#c60z}ogwt68n1{s8IV?RMM3Ld6b){Z|xlw0Q+-MsTyfzQEq zlygf%J9bQnlP^<7lFc~-iX9R3QXq?%?eCb!9x|=hurT3{N(0G7-1+@*yZp-d!i$=` zHXq^(*PzPfR8f)q#NaBe`nKN$eX4Y(Kb*#I8Fvkti`Oi98M;&R6y+wBRF>J#ym_W- z?3Z;s>sr~;t3xJab%Z3c(iGdxoV~U4!-xBKrKclwcMosAWvo8(`XQ*7@!V_ za6$_hT`K1RMo&kX{F&6)@KV-?T|8hB4ZtJ1+_$D0djannZta`SpA2P99)y|b0p=`m zAwr(x+ZrwQQ~l66F&%j!%DE@vHhiw1T4P8{x9{#-V%A$d&iq!2dA{EgD?GpPD=kE}k#HE4z=jI>N-VU@qr&ytb7 zOK}VmE@`N6pSqq|?beQ54-78q_tv+#*WNZ$RoRHg)wyuNU)}sA^~LR>jXeT~iAZ6- zCKs>OmpCNDm%0CZKAkS(S)g=whm=RYz#7U8oqP8hx^N&HBA<{kEVAvLuch`}Us70} zIx0SPm(oW_69J&YaoLsqpxpTu@HSF=v*Bl+I;@Zh+u+MK!F;^5R-FA(Va3}nLQs4> zzn4-Cp+GU=l&F%QnY@xf?>B#3XK^JG&+jq}i~x>|PLLrM9ZN_|Mm8NU{)(rH??(cD z5?v~d<2Y8X$K_^*q&M&Xf~7RMv~V{`xGpo#fhhNNAy1;Mscwn=tgys7VSUndi8ms2 zXRvZB8EGLtK%LG&Bw6_*+MC-nRYq2nl;0>_k>8PAD)tNNFkbwY!0#L4CVyhi^ZmyN?N|@at8U>CXtwi!-2fogw11bM<(l5hBaZG^WM4$w8(EnSm6^(`Y~cE9 zeQ$Spx7H!LfaZr&^Tai03}Z}{Wtx}#mfD=p_@N=o_GuFqu3x&F^$UuU6a5=T`x~mm zm?%8$46bU>+BtNzS@1xI_FganfNZnSh{#3{NBs z4s595s8;mbnEMS3BaY%Uh8kb!qixfl|E4E?cYDde{mR8D0*=}+5Y9=P@1wb?zC7M} zyk-5CdlM73fBiOnv(#9SHa!-&E$}2Qsnj8*AI#N0j@6p2h zB364}0mVn13}K{74s0u9DIRs;k}qmN&4o$=SjnWS$OQzES^rSN^LxE=7k?nB%*>WS75NTRye*EJQc}D08a^7RD)69RZ z@Z(&tdyzlL_sD_n#Lw)lti_-0v{nHs??{PutX9g~)Z6jRG?!UgqtV%KRR{)hwUh$o zZ7@mH4LekUt6CRU$_C;_Xi?yu=ke+tIjG5a^`-3ORoKI^klqtAeToHd;1i??>2xC( zg)1!LDDHVg0D9a{K7haM=X3UR+T@ykFz|8f7o(lBrb5F4oqA(kYUJfiWMy&a1oWz( zlT^tCy+^}yek9xOh+x}`czc0o=GoDPJz4QNfkBD>&+)QcCS-)}Ht5$;(XO&sv8ZgD z5|5qix0tHF!Vf?65~?BrrWfopz@AcQ*pzalYKh|N3+NhZjp+_!cS_hhs~^cM>RU0( z$0}1tN7(4smrV6S#M20|JA`}sczmVIZwqJadFCHtAI8r~5=#x!l!C3LaSS$sO?gNW zmQ+j(gLetoshkZO*>z(b1<`b5eEi~41QRzFvHrbsE7`akLSvb>PPmoiIMYrvC%ecI zV4%@}MrkL4SZ=u`r(v8sd6VO^*LM) z4?GtNOhE{__`y3YWP~gp`;-Fw%D-%$dk@>08utpvcV#KXLX}CM{C=2D#reA|zBN7{ z0FzCSOdjYmk^1S%6?s~SEme2)S6aP_tkx)Mb}kCpM_-UrZNM^XCn@CgVttTYUPBcYMt;GkFx(#Qq( zc(n+Fz!J2m!uTJN*k1Ug9XK#Z?4PZl)iCNme>+@svorcX*n97=rrK>^l+OkzML_9A z0VxWEUIU>cQlcPTK&69#fRxapqI3woiA1_c6X{YSO-kqpC@PVb2uMq46GAxCwf0$i z?X~vacinUExzBy>{ruhUBs|)sheJ<5gvd>xV;Z4KrcuuT$WULI=mM|D4cs zj$?naBzu`FsW|8*(cyDzw(Ly2m0zORSSM{f4b!HGEys)blVLr)yTUW^K0~n&KYDOv z7mAO>5W4E^1Ad-E53gO8eIgOJ$TmUVfThSHFRj?!Ynn6~O3U#|r_c444d9;<(l0&8 zi9P5sGg7QI8)`aA>hqnl)nol557B)}(NQ>QFgkmSD?SL^6qZ(1{mZkq;@*y*-MVlF z#liTBhuwPNoEN~otNtLg2&Ynf1+c8|7jvef04F~;`C1@`5f90kC0QFpHNE$+ISeVk z7S1YpaC7QtM#$?yNo%uj!H?e0VcMJf2>(mb%fopW<6}`5u5b(xBt*l1(f-lNc%zcY zSRdq&n#g{=wOy<#(7A|Cuju9uC&4c4ge`JdryL;{TIN)Mc#7Lr$lQPyF9pD*RNIor zOJs`cX3~o*D}?4(Xq=cb^c`aDs@a%6d_jHo-mK=elFAcH#=^eeY1O!+#a%4=a3Ssa zSbQmOYTIXnnWlX;_Gh~>&%z!l8jYo?bDL02b?e$XjKx##QhZ#)14di7E;c3$jYGcz zFZ~Kvc!Q>KW^AmLh@!~T6%~|nF74FX+IOwPTIVk|RRL|uze;Qw9tc@=*W6`ERNQ=s zjS?mix`X0fsk9v&vfhnV?V?yqdDW$upd~^su|2&&&D;e>8OLh!Tk_5e;yiYM(*scc zI+%mZxH~1#{lzuyNFT*+^H~uLb&4cw{ms6rLl`rmlpga$`cqj7Q;(NK@vEO2< z{exzNyJyRLUQ4iWA}Vk7%j`sg;5|OZXzd#vDhAOXZ!MFhqgB28(|#gJsln+bXok2q zt}_Ln?*R7tqjE#`dQ+@ei=5T#pZvu&Renl1*1Z(iF)rrUXZg6pMLLuAVKU@oqMwq_ zFjPy7z&^sqD#e}D8~>r(SaA9oo#PeWl~Q5Gdc4$+G^aaEF;eL_=0pvmd6`ddC23I4 zrrkjfYkmKYXdGyFeKGKE5LyjHK=K4?(Uy65{RMetr)TmIKR@nw=8D^6hZDE9#vF8R z%JB1cbt*q-I&%|hq}X-;#3f#_R2in2lYW`Y#9C2igWGN8^Fwq|9NSA<*P>s{NHM*m z{j7z~`#{wTEd-jK3K9I%M8Y8Nt+2p>J>~Gq5=6q<4&xg;IBzl1;kAxjMS{0<*Ok^O zk#M=>JIrU}2iF*#iqYo&S$+?ln4jhQ%!5IQAKFnD3=0((q49>n%wxs7vFw|4EFYcD zCZ*qqnNM@1`8*bbvN`R7hRLyvP;At+>xjSXtVhQWu}nbl{5())J#DzqvGj0tz)9QgjqjE9|6>>S9m5)*}6dbHr8P zP4AEBhacz6y$Tbh6A!&Z&5dUlaTH&h;kN+JoEZMx;f>qDI0CK%&XZ(WopF(`n}qfU zdY@Qn+){ZaA-H{6^Bc90uE2d6zR!8x%3@aUhNYuoWI8y)EH|~$XV1jEnNKd46{MEm zaBaD-MAYKGo_R{|Lg9cF4Yw~3`SJUo7%V!s-L3(g2pH~03~vb}@Cp3fojLOo4--dZ z7@czGbV@F+EXn7b`6eUgrY(LU+R99W%i>Jq7wEWBeuV59?phhi{%EHkx$R{RP#>ffoV`369?1~jg$}A!h`G3mTFaiTdE-NYe<*wE#E4$X!S^nVO zA>sjH0>;un?C!$NWJrFja8tTxm4xlQ6iBo8t)g*q&Bz~qQPFe=fe-g$nHN4v8^8T1 zdFE6yP4>r22C*zW>b`Spxo-%xKD5SKk8Oeo$*;X#GuHCXUqP&A9F*xDeJc|cta4`W-zbcp+8o!G<5=qZ$_17 z4N6BagglJLnD2FM$9=WubE6Y-VfUWXV#j4h-VJK@|FJ|E!Bp5Ihs|3l_a|DhY-u~? zagoy!{%hVwpF)S^dXh3iRInPJr5_qLhw34X zcJF!Sd=YG%U{ik@ikh*g6V|N(Z7|&(N@H47)Nk`UWxPj!D0yDdjC%c}R$N;?5R6_av!eXI|G|am$-Mi#==7>x!q=43xQ3%CSd)g*odtQ~Q)?{%C-7luwu2y7NZhCcuE7m);ql6igvMpd%h82r*vg#F zN-egkd$kUUPlw3Fnax=Ge{-Z^ynXFKB-HLgOk1mr5>rhJcUj_#nUhiasqn7QJH;X0 zoC_zt=jToqd(Xbag*zh@0ULWFJ_7KTc*2L4khOwIiocrdvV;ahJ&Q%{Jw17sUux^7^f#MFhavDe18&Kz z{YYqdHM|h1KDWKaTQty2pF`xs+oy&`$R#!xQ)~v?eTbiC%7}UOyj9E`;yYI!#fsl& ze8Up5W9ECIuv3saKGxzvh{cyS3)hpe!O1@cwGyGM8qb-13{ZQa{%tW?q?kI_mJoO` zzfWh-LQiKKhpWyUkIm)*neoPj_5ho6h`HDJa zLHYw%XhWVp^!X7>xvR46fdtcDUQj0cLF(|oyq4al*MP?xW0k+pxT%AbvI{H#+!x$9BW;ZK0c ztORuHxt+Z(=*d4Lts&AQDi(`7zaQcEL!4sAQxm5VDQwhkbt^4;scrL*Z^7t^JA9fe zVOf&_!zB*3J`*336VI#kO$Lpt&L2q$IMiJ1nMYti7H&bV_;e`(9Q2TZm5)=%`c;Y^ z3fBuNJChvD`P|dxk6ZH@#p!0Q5deaw0N-L@T7-Swn`+>*FnhBy{~Cp5N;vCcWBO+A zyWJ4|8Oypa4?R{Kct-~qfP3Kf41H+LVx!KtVtDI~0pqq?5JxBCV8UW}TkMT{dkkhFBb_`Nu}kRlkT9XYw9cW{(| zE|Lf{dp1rCLikEvSL2*WJkkkfbW^SRwceR0Ro>sx>%W}Vo`WforaZ)x-uUOIKxm;74j<;P~w;(hV*vnTquy@U}3DCwX(8SRE9Gqz}h z^EJNm?H7Z&5XE|M+?Ar6{XigWmplebSmy(j+lNp9m`=Vy1cwO8fzy^-*C2StT;zNb zf#L&>G<=w%x1<%DcYu#MrgHjn7tE;k)*^{X$Z<#T{15mxVc_A&cPO$6?%*OH(2pb6 ziyu?@w6RdIHQ+4D+Fv+w5#M$;Y@UVkSj7N9{{p4y!p47pDBBTLKqd+HMUNti+rA1T zrD6bg8_Ghk@KL4%7>9ECf&s3>mZg#1kOQiJySgdn=WQfG7)kupc=T}_ekjpPF&_E% z`?TNwW5yno3@5(*Qsn4sFezjVPW&tLK1}$D-nB)MU!tAC&zHc8k>A;M%+Hf`m~6@b zYzsUp{}#w3ULI3nO>lc$W3XQ>e1MAD5IAKItS`||rCdgiQoA8#j?$3x;6a+;(-YIOPrmsR_(cuPp4JKnhSe z`a6Y3|3%?JfM~);{jz^zAcjoKQmHrP# z>`$5gXTtC|^!UxMV88$aF0BN;`yC`Ajg0?JTLxOuTeKkELe9Vj4?&J}VfyGSn!LnF zu?BI$=Q~KoeEvqp0E^$O1w;cBNeyHXzljK_;~>O{i-K6Q{+nDh{f%6Z%lm(u6;NyS z0Q7^ua-83g2O>cRID+k4;BVsc?Qg_|VzvmfLVFO%3dti4f8|fVkqHD{><#3)3P_2( z{)Ug>%xfaZ;XoxAqqu+s6=Y^*Abi{enOHGwkLULz{vFp!N5JO)0=}-tR5EKQ@^8im zqNxayIF3F{1ZkYj-_f|=>v8U{;)KlJ?fnanM3T~AfE)a%_YwS1{BQJd$?h*y>)%%n zvJWe(!=k<+XGb3d^SBt+9XFBQSI}g-Yer_?*GH_5`PP{Y#6{I~cmE7mu z=LJZhYyE>gt>S+DBrg@dCn-@=x-$lb?OS#Sulf6X3RN@2jwF2fe9 zzsLMMia(}epFEtS00)J6RC`rDwz2<@jZytyywIWr8E-*pz>(*xkLp{KkEvpeK8Ezx z;7HFrFmu_M<#=8Na6~s37^$BFWh_ug|CmY*6umTW5)0)nxedg5|4_{TT!JHIAJv4v zF2SDue^`Q2+457;gCEkLb9{!FT(~_!!|JAKabWjZwYB1x`1+d80H-L;6KiALbd}d@ z_Fljf)jRoBktyx1ep#^1W``$ye5`Wo;&~i%!mlq`fYVV;O48rxm1|0@6~at26c_7r z(Hj>#>{5cu9o@Zs1%m%L!p5kYjYNWz+dj;uW*-NnTNye!J59ZirsT}<+^E?(1*CJe;-2C766n8*BZ+*0kys^XW zOJ&g2OzYjjOc%vgB8^{n$VbI3EWUJKzOTn5=xO*>pTx6~B{pR% zG;S~PGsC>Ly*5zH)ybyZjhCbHR{CVv^_;6<|2q2a+x^VXDkpV{`@hWG`htL}%AI8I zJ}JOra)QvSX(1qe-}m~9`IvWzA?FuFpp+Ze+GqUj=hHvpfphbx9(JGCCEt0hW;St5 zC54o!M-Hg6kj{3I&Y5SD&JE|hB#_H-mpY1P?%25NU;Gv4$<_I`qW|27$5=>3IgbHL zx2dWGxKG%y54Xcpfyrz94SOVF2;IxnS3NVS;|8Zf>29H7l7{bg&eKBg+!5U3j8~*h zzCbPShObtnIISXc>{DSG@7xlKW-9l|Us=2%eCQgcd>_C-U7>8Gdh3d`zcp5mTrh#bf=5%6&QnRkQ(ve zQ=;(H$w&}kprD*V>w)CO4Fy{SoCGl%CD$D|mG|YSC5IqfSU#`ZcS^8Z;?K@{pHg>e z`U?9Ab>e-46*v|R^ke$?hDM}t2tBYt-$Ik*k}bP+&7g3`5Gxx)Ta;oGV|Ka|VzR|* z?QQL7NQ37%aSk>LlMao;zvLRsyGz{5uGsNp8(`ZzaLM*(=ZFso%(1NeC7tpIJw6$>R$df@gdxDGw-C2=Bfa09^@?v_M6KI7eQK^H%H+VVb}v`dyEg zDZiN17jQFOEZ{`bDSg=nz`LK1l%Wg(XMiuUr9+(xs|=JdbgR$f`eU ztw-6F_eBYp^zRi(FqZthcJn*Y`63r|joaJ`wATbY4zI9?SH$6p5>Q1Hfy?Jt$|UlgQU{?Im|4J`TYi@3|LBNfx`T?!LN5=22?lNS^^XuscY zp+^qcvJI&@HzZmaC!92(8}DV@LwQLEL>pf?o66)JHNV`h-l>)4NF!>W1%6QyLtrNt zm(Bj1bs#Sj@#*Dm?67fj!|xOhAG8D>;pBhvc8F8d8m* zhF5?;zOCfWcjWR~@;veTM&1s`LjBvZ%BnWU47_`AKz|^+hl5PY1-%uLnC8}^8|u`X zPhCMqQ`wZm=Q?-F_i>D0gK5Bp2n+1I4r{DzxBE-VHD&Ry-R1|Vy1>=Je{mJHXGA& zUN%X}3Q*I&2WwrIs>zFii-CkQCGR0i)p*@h{LsDaWw}S=Yw=|dAKcHi(N~OhOlYbo zx-9#7L4;{Y<22e$EsPk@hR4VOe7Yq1l4AS_e`PArrTHYzsFePOfE;>Cz975MWZ;^K zLWf?CCpctw5lNWFv!#b_0SJH^V0yJ|y+^RehqX-=vgTKFAhi)low~($D}iOF1-%Rt zYtD|o%ZY?KAUZF_L9Q6zt)w5$?LTqxt3 zKPfHnk6#&XB{u#s-!()i<)^NGxTqq@zWJi~Z8G8<#W#-PLn3JnO{-}Usqw>4@JRWu z93RF!h57X<73T~+IdyI~)4ZyYtWCVs_~q1`WD=|9)3Yp~m}l^j67AC|59|d15-CKT zxRJB+l%Shqk-ld5#Om}{&6F!OPIo^T_HQPASLoK%wmf^?3Dk;1at^twjtFKA(I7O| z*^5~M?ZaS$#K3f;=@gIV%tv-Yo`IVH!@JXou6^_25;s_j;!g+?P1NtJ-2-Lxx2$>+ zOVi0U1c+q#Lsw2@W-H;rUY_}T>3+fKsjVBMt#4;~xrT2!nrntBpE>2vuB*fw*Ujq( z5I{Ib18$2jpw~8p)Iyvd=CvVxA`U)63)s|mwNTMH4 zX~Zk{^KM#?yCV$laez{{0O$j?WdK@_+(5!-62$fTQ}w8`?@l6Byp^epC?CSxV( zo|RqgtfyvSR(dVp|HvK&C=A-Ul8`Bn>?LR1c^?p&YI%J|1srx>!nWgeL$stNFED>R zCwlSJ-NGSxV3ia{>Kb7?fb1hFKHk^gl6y%2sT096Wc_VxL=ClcF1kaZQMt6>q*TM3 zNF5mC)p}PZ0y}E{KHQI!oJn3J|EL9D#ZwZTyr4iZ!(~9{s+ZLaBZd!z(d05u)f6r( ziSeOdJJ&>c{bRjMs5LqC&OR`Rm5#2u^lAg{4t_O~gux?|Fyh|+_WdK5^nnB*<~7dR z&CYf#wq@q4eh`^{XEPz0Qtfqhq$kS#U8SY&C6@OF;9JCEhCrvXS`e&IMc7k(aHOwh zL?l|6{DD|W09)1Y)mN=YO7AQaEi-pswby0npVXwh5R-nKe(g~AO{&xx`SY~zA+6FS z#{ZJ5Nd|p`Tb(;4X~v@MR!bM&U>@;^XQu)q*!4Spv4c z*pDH6h7l7e_mP8qnV7}(>TJHv0Lq^JG1V31@B=`v9NcC`azY&aNpi5#ySIE6Iz`{}>_!n)~ zUHXyT%M{P4ddKlc_4Q)T77e;?bUuCO^0@PK^Y2JKW7wHAj0SVt8M`^dr2qlYTVzyO zQBeL^35WxxrFT}?rtLShXB}E2ze`jRoEIIFK3*65I+?p8_%h@A!`%mi`qYANdX<+N zdjng#Vyz+0iIzv!@tuOlRP`TNx+u9^8(~O1pV>nl`@EtUFYik-GGrY4l=XfGP^`4D`Gg zU(si%8_mtF*gF|Of1qF3*p?e^iD1|uruNO=OzE70N!on=xe3W)nNK+oWa6;>RUBMf zAfv$bkj)uNuTDL>^FH|vRwT8n{d;d|`ObT_nKh=F_k$%a&$9D)lL=a=_u6KQ#1oor znlekPFvV5-JiCYFNg_I8s>h5H65gf1kVXg3 zR-Ajsq<&=-7;D}DWAHgl@OwF-c|5`13vfO)#yJdX<*2O0Wa^jM=kgkh|0)nc9s%|B z68Q(Ygvhr@$o`S5gzdhDJ0aRV;>5kJYbNm5mp*0buJLq_y=4gRFFATgt`YpD zEE)5Kv|CKD0Iv9_IkBQy&h4psXE!C ze)oexCe5lV!AYjAE(Rls6!gaoR1a^6Q!FT9ZfFu})}roHXbpfWE(AQRM-4@#!z^xP zvBtu0Wjk4(lrj_anG?P|`gvwD!X1~zsgMIBoRjdPP9|3ZUeI(96&Q=%?3|m4X~Ut_ zWwtXbtP^)uW*(%rJa0_8uB>u}E$I^PwM$o#r`ym*fP*?{#r4SK5R!uVr@A)AkznO; zBR94@`_`sJoawu3etr)kLwsk8jE3c}@_1~f{87mHA?1?l@(SQUdc0f?P=lAF%nU$t z)WHQzuUD?{NvWE`70HfRie9{wRwC=|N}edKx}iCkq%6p* z=dEN7k}>efhF!b-fOJ505iRX*7yK!)z9ihstuinKv2>$$8SW;S8L-usImx4S$yDP* z^W{{QI|AsA;Ec-vq7<;f=E1?I75+qC#jn~cf#;H*34ef?HL|yCosg&-k(jajKBIGR zR_WfSv8c&8j7@`;;1zZ0P|bJ^mDD@SdU{`G&eQ@LV6z~OgB(@8dBh$&oc zh1V`+#>NVQyrF)NrQhRrNHP;|zF;GxA@5@+M_&5F2{K#<PKYII@VA%)8Md(yCX^RJfExQu={2s7etcO=z69`PCXXdK6I@d$b zQxNm)K?lq!^pAeM+^{}XyO;P7m9@YT3@Lgj1Xu$^Ljp^Hulg^DRbPqK*lAQ*zl#^S zv^III%IlQ8$q5sUtIrwSKi#^*FHh~T1^1ID=Mr7gcDyfxD>31p0LM0PMwW*F5kO|? z9e0KEf~j0KmR?nNqg77a)|0K|-zw&sUpaj$rs?phIz9>L?GHB{LlS1eE!tv&OOlsX z>19l#hG3Zo^X~Ga6&8F&{^y<_Opc|iI|#NH`U>s`ojvd6G9S?oTIyu$jl~hL!U<&9 z1bGMYCa9SO;rDRBl>UA6aLShs@!nMHVJXLkjnEFe&$?=IMokBy$5i)|lA!#}f@Ych z%HAO4g%C}N7+sW}2f81v0182{br;}J{Jm2r0hbEV={{dvXL6P+Q0@JAnsA;~NFL?F zqy2W!py$?)KLQyjPBHwq6q*7a+6|+vEr0`_7HrfgcPp|MyVaOZ90I5J;~ zD0pI?qA`7~cctlF##fMY0Uh=d?i1nW;Dfwu_h@j1g1;yU;|(@oD>0YFb`Lzirj7j? zLs=HonFWzgrFEoj*kakT!b5?QE{e|~#m}4ANID$Z)b|8Stufd#(5Z#A&MnJM9q?AX zFI>5aee{7(S1En+WKeMBuG!meAN420YsMdEjlip91BD(1ZoJ;)F*2BG&0TH=bGEAv zhA8455k3$cnfb=%n=#hYebuWWa(*HH6?Ca@VW`aZL%#zL-7NupPv|@@>pb|>K|m*E zbp)Adr$gcaDGnwhpWM_+o~X%LnO!wbnGty1QMi)9HC|&e=Gv~u1 z!=o0tjk&oH==d+#m8G<>#JBnCF95OmUZ=_k)`5LBn@fCo*5NN9-0*mxk!$_i($LSQc636>| zX94IUzOe^}Mh4*W;f{zn^>!j}F~E1aaj`mk=iCdcZN@D+&farN4e#%dp8I6x_&Qw6 z5yqsEKK4Uy7V8njh98`TPlom!Q^78Rv{neIYXF!DFNMc=;uEy6-xw17@##$oahg*< zZ0h2eGABj!@m2{|FNFE#+5;jc50-$_i%3y~0PzJ8KQb_D|90$Ip2_HZYja&gBu7;n zmz+~b48M-Ff~f5qT-_kj6%XwftmQ^u1f|Rh7`6wxM@DQoP_zY30!*OR4xbCV zKVd=Mn93#}5xQ-HJRjktfz%^;H@O$3d1oH$Rxi`uvWfj*75ltOn%i+&J0W5Kd8N)C zi|a=L!wE1VSmKHu>>X~uPVOg~t=9lpkDbr;q{8oRYoc$PlrbBnrUGdR`6>2$_nWH~ zJF{6ttkggMI*j`rVnY!Ni5TLcA$cP8wzn$c3~6vT&teifvIuy}d8l6X$PAiYp}4M} zD3VlumKx*2(3el^S$3iiEyE1RxC%&SYbibf-1}T8s>p7Ik@k;>I9FbiBA$ex@$4TD z52D)EQNnW@ORckJ#69vniah_Z%mu$8;sG{R^4=hS@E$U9Abt$9o0RkPhX}VtchNWU z8_jgcK8XDgmME0|RUsRO>Xpw!mEwrggGf>`E3X5ozIqCp4KyHSpv?e!2_}Xp=%jpw zM~)1Pa;aX^YiiB-uIjrbJ7N3)TOmEHCv2vB7f!{~e~^K&AjTe2e6fQVQhFdPi{cn} z<8A6N#e^7V4IrK`y|V^**i4!x=JQr);*;ywenoG5FxU2ew#7jU@1kPRKN+)Yk%PGc z@rFr@W8|Pw_+zR~y_}MXGf`EUZ(}JlD<4c_&bR2YTJBe$DdW2J#I{y|+V#ofIV`Wp z_k`8JvatZN&ri&ivYz>Q<^|O251qDwRNstV@H#%_n9jsVR6I&xyFDT2>0v?&&#(R_ zKHP?>Am;~q}Slh@NVF3 zfvg|>Qrv!A-_5$2#rj6udQH3{7lrxKX4=c~7y-)_JLhrw^u^3T4RPm2%cPGf?|Q}b zhi??pPbMgZy?Rq5(o$C-MSxPT`Kb6A#2J<6N*Tne@CIr>zAhB`<8U=S<=iJ#kv53l zguNb$@kRuS(=#81{!V)NaeaJqh>ast@9G_;6bqUE4($`GF# z!3NAkrg}(!w&8zf6Jp(a`1I|3|QUaPDcqV^|?=pbITBkRppNV29 zD_NeJ+H){$xYXse6TQI9?r`<&N1NzZuewuAjqZRhk=3l#>U)3O5-vlc?wCr}J+2Co z)9K0IKljOB+tI(hHKE{|>Ic`!XI1AWHLALJ`Rh^6%~Dt?47b(g|skL|zqFhHFvufz+Wf#Ni-c+N{Y`>ncl8 z96sbb?{LV3phLVD399ol$uv&x#^}vhx}XHJ%2Qr#fE1vEM~Wq3bDll>sKa?pwilWXhypOc8_Jfa0R|$gQ>)kR<;~K= zuaAq1OH5tM(945W=rChH>L#3HxDB-cbZC>I10phflAz0?=2a7ANV3nA#mFo-?A^p^ zl&(xYoc)k#J~T`_r8M?QpUW%$^zC&9Dz~c^yf=w23E7X1sg!L2`|IyXiOC*U64UYh z6|cgKr>0B{lQe?*oD&tq5Le%xDJkTFrfYWf&FYV%vWGJl*BFh|0`hFoN-u2HX86WQ zgT+@eZ_cqFVBgo!jS~f#8RX5g;3**W=EDdeCH$vJuq2E~>+c<|q@?vZSA&g{-8}rb zeLr1KHsHQjXnyAT&BwamC9_!u3nFA{h-}G^TI^-5-0}=Y>sBF9TU&d?)cCYR=O8XhjAt(EfG?g##< zR_8sfXT}PpwC`PF9}rnMl>Im`!9&6=MlEjOBMVDc`Lb~76bsVF@?yQ8pWT&bGd)6N zA4Wo!sdMD7C@}}Id@NdP?gZcEQ}MJ}1XsUuLR3awrB?q84<&Q4<*TYe|BUBHw5J%S z(RyC7*!lzhpn>Mu>sNFUS9k)|M_b2=W`C+VjXi+&GS@=EH$| z_SUc}Q8kNOqZr|x3ceR^%q1`(x6{xX@A2EmFE95 zDEZl7p3?;m2pGZ_gN-B6zf`}@Q_P4iPR#e{R)G|o)dfZ0)@BB? zs{Jjl`V#$egbh~tXg8{Cwf)ZcPNe~sP4^IM{ro6bL1uZ{u$68%sF5x=<%UWskajIoFVANu3v0yGE%#ryOv>jTX&v6Kk2mGi7c_= zXv79lf#^+w-JaON4B8KDTmx_x<8udXk;Rp60n@d5G=7(&a{Ibp-M_A7R;J?Rt{uI2 zQ>SD!+$`K;<};9L*g$S1*0;YPh+JwQg`-(fj!Eust$Tlh-Gd%9HqT;VPgvDEEbeJU zM3-b8;TFNM5yw<1br@{i#(?)#JAg$i&!CcRAa&V%+{$W17A5xXYj>Zv@rD4aQ$93W zg(WKb`;3S*y#leE*sDkMpI*Xe0)b1Fus(|w>}!Ms{natq^2cPv!R~#CuI4vA{uTXK zRZ!hEw-+-rGoNR^T3OlXxH!2mYq+_c5cAQw;C_*bN&V~_aHD;7Y0>NCF;Hbi0Gu&O zk5rmohf-K(y3>PT&8+?aG$ENvmU%aw0k&MQB+11aU-G$ciznsmjnY_m#$&1=C?PJ>&fk4%UE*Z zB!|7p5p8LQ^Ym$Xd$V~Rmxa$#UmIBX5wFe~iebbt5_LE+ci!t0tr1ID#w#mBGVblS z8pbR6$JebFiMd10$uy4AJh+rR+f6GYL1ZSlmdG@|bOp9ObOt6P&xWYFUe4K7X7_W( zzpaSWU7FG>So6yFN#nfHwYF=|`tqEpsCMXL|F!BgfCc2-;1w$CLDCJDF?*r}j;ZG1 zet8?ekmNb#jeTeDgL~8o)5laFXJPwykl*`3NE~^qK%B-4lRFVe3fvt4(+t$!eXLJLdsVUSDUTDQAf=cK(3%t-K+Gm9J68L27C<#PD+IGv3 z%OEGJR1jxT^Y;0=gwuAuMe@FG{I^>MoHtJdbe=9>;5!iYCeJBQ?5fEM(Eekppw`+B zv>&hJ#y|Z%lw%LV9(Z)2k@67inS)qRxbhs2saoyS=ngaERVcAG;9CR39hsoJPCp`z zoQ3e63B!H_LlrvWpc4)T-LR9#RFQ;i*ajOaLTzb*;h3rb3?~hm9iDIFSBm<=iUFg_J2ywU$ps8t^E^o{zS}w)mriSFBzhfVWe#2!B=}y zEOPfV5k?W*Q(C9={8JU|@RAs`@Q|Cga6e!9> z_>r+P-%+rioEtv)DQBgECZ<#C)sIM&SNxKWs-4oMU>v z;h5@8*1qrhwLg#kb4~tt$jNs2OFUd+m8GpAx!P@}c+k};Bt+%4%frXd#mwdJQ;D4p z+hk`N6ui!>Kcyq_F9p8;`#OYw>3^&H(7+(($g>*gfgL_T@Eubzhve=d9YBAab{g3w zgPfp&dSNK?TgOzL3zT)kR7zMF=zdM(A>_`Ve8dooLDW9jI2}?1IyLA3VV2Lhtpxja zzDMX{wP3LEM0gms+w}>y!fCh(Mc&+N`$kC_@TL3jOTYgMM<>n}tkm;d+Bm$o%D2N( z;@CSLwy<3TyGag|&W#ZMuZl7LrA7EZ(^0YrC*$oY4b$X#^icy81-9U*4u@dCi~;G{ z>R)tF`liw}d_xLQ(#C?~H>PR6ooaVCJ)XsNCtg`J?Bi_il7keO{ z$ynunklt;c@%A9GMz~CNFuL{Sq>l08lTS;WwnCof8gX=2l=5yxgOlW(AHQ=w5jK?V zr**-QaG5=^XcS0$Ll_Cy12d3xVSdBbJf-{76US6%4rUVSki|##1``KSqSRA}gR!mc zW{aGOHM(}5^a^xbSJdUr>h9Nl`~HEwjRj3kp=N!1tj+G5y^#c_-tBeOJMDQChs+>{ zgAqJB{m}>%fD?%mmgDtsc|??1D*O`}!%#@Q%p-ql93p4-K4%Dl?RZ}2s&tyK&3tLh zM#ku~a_)QR`+iNI9vWI&_B>iNGkcTvZbBk|0OnQfiYRu5JwK0K`$=vBlS8b)v*A9% zC`0ZVZ6E=q@O_oyGC?j}Ea#J4w$pEqG9n2!TK7-vhe~%_|ge_afTQpc=IuQ-OKPXD~xbM&|a& zGzN4zqg3Hz$eRb4;sY(rbaOCY+rmot0)(Q`Wycl=84R+6Fj8$cg+c}`VtRXe=8$#M zF+ahX8*(5P81L^>NA-4u+LbSCQt~*fV9Q4;lLw~uLI8Gz*YguZ0@KOKi(bSU`Pq&k z4a4)AGjDs3<~*N$u|i2aVopMtdsuHB;5rkmYq*Vgiod*9G~G?ioU_k->SARk0zS-hC+8x8?#h9@7ZrCf^R@00RlxP%E5&Ig#k|9 z#se0u-|;$=sruEI*C@)3$_cHvbS}21z9!8bC}n47hmTVED=vp9=mCl={z~t(P=Y^B zN%acv`SkMZXWTIh7onm;@JvgTE5!TUe`cgBEo35f5dZw_Gfd8^_mC$8kHfIMn&T%~ z)ss5Ju!Qs-Le6kC%kskN!N=;NA{HZA^JTi4_KfnY8Bpis{Bm}nZ{)3v0V~J7MEw!5 zVkU-@y+3>7M3boc1_Ygigc_e|@6OM~@s2*u?H+VsrwVFnm^FRQtX`m~u%0}(>{4uL z|9K@tJ~%HMai*|jMN-edbcOYu*eLwe0I$;hWLgV76AmjQns3gj5~B0j&pP4@u3w71 zln{Msod(+VlJ=tybIjYoQ@)qk(%%JK{Rfozzy9%m2@x?Dz=#uQL;fM+WcoYe#0~3| z3*QeL4^IPDDL2tzH{Dl8ux0+Wo6Lu;Bdysz(*pz1KIwmYk`9<`=qlK8KTX7v*hpO4 zF3Z)M)ERO}DN58%d*u#h%-m!)m={wDDP0M^gLvpASIsg(r;}p>Kq!%gBrs+shD?QR zwtqryrGTYOeFkk{-K_v&12sqi+x9<&`+{hSBDzr6W>6GqONyrLDj9`thshkgJf_mR z1z^DnR9HWH-`*Xz-|qm>238Z*!uQD|D}hJ!dISi?@^=NoT-a+cwR{D&cx4vT2OKVt zU}d;%Ilf#B;6MgTaG&oM9#h3+dS+xdUPr9(y*h4GYHprZ&eyE@2w^BvK-dJ!dq zmattu2(J{X^;CWIQo&4r9B)If)MI*!=5|+&3F3S}_fT9{x-$8*Q=~I9UZrhn??GAF zKcy8}TR@xk6^q;Lnu4te8}_4$4ttmHhv)CFgZAn=*TS`PAwk8G;Isx&p<7#5p9MnU z_k+gU-ZEEckyr*S)I7+oB=7{=*;735p>XTTN1kdPUkLKcsyjt*b;r@ON%^^~SBu8$ zs7oFkvRKeFV9pfW&06TE&H47pQP91QP0O>lt!MgfMwptR4?(2w+>+z@?t0H_B={g? zsST|0#@d0I=IYCRp-T66f-Fe}5>UzBM1j2& zzRb+^B{eaV?AAKRP>~zfYZgxK()ZH-ppJ%nDXHt4rWJ)Jg=(yvD52W^&LB;#K7oO> zZruYjiPpi&-0g{ET0uD{#djQLdk z*#5_glw5euW>=&xX9zI_?89|dGKtdykY zBT+C-q_AJUf-VTp|9MOmMu}Mo3;7ie>Kf7~8$EEKLLOS&YGtI3h*aa-xn0ff4TUZIPPpxA-VKs-%WDH5WYP7Q z3ht5T(2gPZ*R-c0V&{7;CMD`sT!kP193#!GXt9X4T|IHeBigzFuP0O9^IX^loCHvOZ%l>m9`vQfKNg7irq+%=W9A3g z=YxUXoZu)tTNW9UzlyOK-9E=BYHM$;;~!RP?-n>y`Hl2}q&*u2sZuv94A)8e#BkO4 zl!~7`?@%I&!7!;c`-&6?`$wOC?pyIrdXwA6-Oq0~v`84%ozHuX&vk$pYCo`wiAff; z{JMe?nCOF=Z-AwHL#PUO<;}L5mK1v5|H0mOMm4#uTeI!TRumNWhAk*nrAb#>Hqr$Q zMOq>tB0`7|0Rcmc9TDk5C=x(=36b6cQE5^F5+G(S1!8%+@nJ1I=7lUq~9Y^vx#9Rr4#(VB- z*Nb@j-`|??tL}c{RgL^?*VS?Ah}uDm*`AkX)r&G-qQ>u1<_nX`e)--Vn4xd?HN^hg zZTziJp^9feoxQ|!jSuV$G#|+bYCYAH-1ss267xP2_p)y4(2Q(d)ANX>rHTkWp4l>> zXJWz^({PAlfIA{|abCa38rx^|+v&%a-JyeZ@Ot~cgVF^@Ena9pHrZeB%hCI#%Lk|e zrEAs+7X#KIsNF|n@GJ$ShzuiH9c?h@H@G2r$z$UHh>8ZSr-HcSS%XJudW@qef(mL; z9>vB<9ZW2O`q%`pVpK~^G=847Wprs@kxjT)>5g9>Z@ zK_ZPi1Qectu!QUl{Co~jyuIkFI|XiYUpIupg%rAf{b(@zCn?0%D!YTQl?q(#KLoVz zqPAm@UYZ>0s-ch^#bZ`vJL=u0_KgdF2X463Q@O>{?tsKe5=f-&bZ=QlDNKW`L^gza zf`LfS$PI-m{vj}6h3bvHBk5_^^k2#^{@(-I8>MGKvjuQKLOw3FcHvIQ)qoSB=l%sX z76_1XwnP4EKLYqoEqkbgzAW=rQu&v8pI&)*C2pJjMUM3k6Mx1(PW;`0yzQd-4}nS` z-np4CI7Zke_Ab}%H@CBZK=@*;Zv1cj_pSuviJJCDBI?!5DNcuO^$z_!5yIpS>tFaGPh0~s7JJ~~~2A(cRPNV@s3g%4cpfTaph zcT5%jqW`|&PQ{)PkUBTDa%zDSjmAa+!7IRaoiM3)T}ge6u$5={c&G+c;wY!nI+sj?ge#{E+L^p8kI5sztL! zISvZlmYsWoo}G2S+$Vl@YgeA;vKjSiV+x(3S6c9#!62qH$uon-aygypB=w%)->wYT zc3KDh^#1Cs?CrG{y%QD%ECO0SqW@l1K+&k?P6Zs!JEo*6VgCT3b#Xl#UUqvA$kocS z%D?#QT`x|nsl=pG@szkNses!<_ZR64k7_TY3sQ$S#URD#0wYj~EFM>1u@j~8UPVpu z%|<}g>%`>WoY4yB^4|Pj55A-Lr)Twk>eZ3cqu~df>3yeKM$19F@?^#|d+YUx!pdf3Hy?0)Lpy;ad=p-(jF7yyT#|ki zSr}|k*Z0Eom+r5YXGVcZ|D&L;_D4Y-lZz-vKHOT5dO|KMTKEWF>{V4<_Ty8n-o(AQ z{LKJbQCcu(euCE9SE_VCv}|SXAGuvD6}i->i$ZH6boz0SNuK-gMZ>=^xdwbcF*1iuPbYJwVwFhFDt%1vDiB|BAM#Eal zcEw{n@b~HJhqM^Z9SvYsK&oFz{k%$)I*HtTN(-+w(#M3zo`nuLXpnBQf>#cX_h$(xO0#|q;R zTD|bt)A6Kf!=vYgt?dL~zR)R?^&P$pY$YulGpadY5#zq1SBAaY>WWjjQC;8E-s1bk zSVl=SJ-J_>d6e*Tpp0JDIYqVIhpIv6qP^J)gPaCJKGF-qQz&P9{?1BBaEsFmAA;|+k?Syz7x8oYB^Xt2@zuY^2bNYev1Ht?W zN#G>z#LOE|0Z@(oKIAV}Kkxse)sHNon({#PX|dyg`=n+6U+?4}0)Mzrl}yEUZtDE) zQU?EnOF8U6T*^11eSxz=KRb}7XcJ9mNZ|CB-mu|)o8(Y2H1it6VPewl+2`B&8C~K= zaLpPU)n5use3HjZ?>z8JM(VM`J{X2Gm4LpNS@PHruQ|mCr%_p!dB$C$d4EIkJ7xQI zYCaiT8Ak~hKDf8<(ZSzJ=i_WMl3=3uNhm#nN(k1t4`PEUDmN}?k6$GWYeuO9zO!T{ zmD8i^6T8cYyvj_G-h!~&`sdlDH`(cCt`2;GnW6XN5}1BfzVd}cHt zUZxKMDE!e?rCYgB-u%0{Rx9oelu(ml_kj7{pXAGmjmthSq%gFr;kr+-#Eqv(Ea@pQ z{ML8#V13(E;_Q85obRQow65nuCCk21~<8ok;6Hu&mUfXcxJ5lqizX(HR&!&jbUit zI#yLYzTWCu(p*zLq9s9teW}$=g(ca*{FNLSX1&o3EqATQ6A_lAea~V#-rL=-?VG+e ztiMRhRYCUj>kta@&2*y5kSmj4u-Gd^RziHWii1U+O_RM6*wQFw`@Zhf$v4L=|F+Bj z>CkIUiWZg}vOoZkU*-B7FQf6h{T?b)K;s{G!5Y;{tdmVJOZdINTEP2XX0r7971C#> zFLua2*xTs`kxf=ko}g{19Tb`e*m`iOjK*|~=LkV9#3ovbnAmT%+Nb9)ETsviIWp4{ z%4pebm!mB2-lrT$5&TdObFBxhzjTa$cT73ITfcp;)n0BR>4$&;x*u}~J?I|J?aCc+ z#$36|9ep!`FQfaS!7L?o`o0;cVvf&%z1;epl0|{VxexwMP?Q~3QX+R)@bUQU+7`b~ z&>Q1k!~H}C+(hIH+8(j=E;EI=3^7Vk8o#76{5%$mrn`+8BO`=ei2A#H*RLk|*~v|= zMVV^(w%4sn%9k>^&a*34dzdN!ANAr11dZpu>cI-bY(#}dsTu>8fJ=E3>|mm9*jRvs z$MD2JZvKWc6xwDLJ4@<*0|ZcCb}o2^vmTASgaxMDLrEpJNwg{Sx0@1J3Udg+Iwn2x zK_0ssfDY9I>L)<`aFoH}&=Kb`Sv(hb06TBx4(?OjjK|HS?@9T(t$O6#d(Gpqnx=dm z@TFy`-f2Hlec}LU03;7)$L;E8SF>S4$?G;o^%=8G%rSoQT$F;xDB_#ya6tX95@4P4 zlf?3al;P!R7e4kbTDUe!D3Es!c(7hM1HjRRWiC;UN(X1-hbkDg?CU@YVdP^7NCg>p zWkflWJOWYUR29(=2W)oFj(CR0@+E3xuH2QITmJ}KOAi@Y+h*C_7LF2R4Q@{GgNz4A zOH!F+4lX&enjVE<`t*Ol<`)R@Kqib2=4Lqf4xOke^*1I}$JtnXi!-R)BuyM#E-`{F zW=jNd1zKCdfa6aW4uhYXm*`hD=)o>c`!MP8v?;T*S`Sm$5tiId@`{#4)s5H}R(rya z6x{r%)pwsPbfI4UbHMus#kEO7Vts5Qw+eM0xzabiWX+0O#M~T@#L^K1s;95{N`|C& z^g{--*{O3&b+wJ-`tPDjVd&w{6st0_{}DYa%hL~5#AS9(aQ6H02WPjZB-$SXmNoOa zAqXN!%`e=b2Qx#eTqpG9$e1M>jcN5OpPyLb2aVNHFSbm$Tr>5TU0bN>CS5zO;aXA@ zd^}0l{M>t4=b=qmyy8F$iaX~xU9>k9**X;M^yVY#%A!;%4o(}=WIyFB*^c19(iIiO z+OloP>k*;=i{ZR){K=lItO&&-glpkB%HtI4JA9B-kPbNV9c^e(Pg!vYbA7l9q>($| zU&KcuTg@JXfYq)U^JSPlS&u!?Zzfy}IwqdUjjR-(UtAO$L(CjtK_{QxIP;UF8^|v# zjPAp&0u6%zP~)pA+m*^}2F)n|#m?vwzBKP3|2R*C4*HH*kmA@yp~iT-JAj4+$r}b> z=qzVb4cqKQO>DDi%QdcMjU~7)S}KlLO+Gt9~C^ zM0_9Gc32O{Qb| zz{Gf=K{UDDz7g2lspNS#fASEK$?oa13JIibjGt~AIb7%z;|_ZoFS3Yo7TkCYN0gVP zPrG{{zInAo&{bul@4!$Q(RZ4dHjS?W=C~~%3F?vcz$3DXW$198zV2`x$Cu4bK`$q4 z{t&pD<%RO)#J6ML`#V|sOE)Fx1ue>kCZ9Wi<>Hnv@9uTC=Z=hRc*yxW0r?bgGmqz@ z!y7TnGA#Lg(YSflb2fWlBb|xyjmPC{5x#WTX`l-S3R+42%aM;7t5{xfI~2gsf#%*o^5f-o-d_rVBjha=@3K z`de}@

    Iv#y`RuK})B^$d=J_XNrNUNL8y{C5F5Fg?D$#qGV!Eg1{U(rBfm~o&6%@Xt z+Xo>ZRy&%Gb>IhP&6~5_bLLMv+3aTBc>g)SI>xn`b(V=n6Ewd<6-#ADI{e0k&GRYd zx>IKEbVs{j20oslX+HLycW{A;(sJd)sd6tNAYg*k03(DwEh|lYd&1~lj{%#ofvN&) z=9f3mHz!QSG!rjW`q&y?Kf`%U3^;C9`BZzv)dH8;v7?v7v0|x!42;Mp~ZegV$T^hH>L0QT4 z&Vm3OMSlgPcNf~`Oq`A&860-#Lw$AIH`||uDcHcNsALy4v~j%bKi0RZ%0P$YhR1|w zm$ZZ3+PaBaDrVykk@yjPwlM(A6_B()!f0_XidIhy*`j6AFxw)D)`tZgUUS*b8 zw&pFCpo>;A+Rk^`roMl|@_Lu(9`kBM#WUz$PVW}ZOrZ)y@4Vx_7;Qclxdo$vh@iDb zS`2q~U7Vj^>9Q0GNob{E#jaM5ZW2yZX6HQ0ZwlcBnC=GUEFP4_5xqzPbVAAm8R0!)NZ{>mZ)6@yG_rD;GVUckW z_l6-8OizP>U7mviE|!sAe{=;!DT0{QHtaND2RHI6XCnOlQ~|p4kd;;JiWcCDjZ-s1 ziE$%gI#LT{|EP<6&~NQZVLsvAfH2|W{zBv}7SY|9tiM&php`KWP}y1XX~lk9!ZyV) zitHUWX{qm7Rum^*_ZJDfI?`NYTZ{2&ORokoklnrIw@n+g#)T`ZmfZVenimNdKsxI{ zD?Cs}4ci>d3`<_kBZ1W9S#fSgW$u2Gu!NNem&k?ahGgQ2`j*;D2J&j8qT#Y%nNo?( z#vlfKj{?7lx$ahS!=oRn@GAQR1*3h4Y%{pxv?oEtmBn#ra3ztkRg5)XYn?MCuy zo|S!gUaqw{E#>?|=RvopSHfz#W$}Bm*)I*oe<^jp$b0T=ys88AY$cjM=R7&R2#kd)-QEz zi+r_rEio65rNf8%h1lDMxjr-MEzn+pz z^6QKLW&7oeHz#Me8J!yz_>uhR0JaqiYQY?Oxsf=qs>aqLn8U+y8K;`8mRhklfB*?N z(UTa66N9A%7BOj4#V^)A>vk1GC+n-BzI&*@?m0HHc6pGSoR8cBip{J9P{yCZCIGb>`ZkR#6+c~x*zFH1qZl^SAXEDGwaU+qj6&eqNbBkeVxafQSEOCP zqi1(ShQER`sOuW;*O}-{EuIh;{2G-ISv@Z_Kvnf^K`dtbL(SJC%PJRiJ<{bKs(3gN zP$coa>!B8qmY3rAMTHftW8nqF+V<+sQU4_=R=m3tOZyQ!mpxGm$6OoJgdc`3p=nr}~=ee_PxmlHwaphffu-a0)PmO{|_9>3YseiK!{-4X{pCkXm<H&B%0C2IltVTD6q}d}T z!_W}@c%E%6g$V_lWW9s4SN_QY6Oo_si1e$cmHK=E;~J$C^RuD*h? zbdvFC+Nw0Nq@QxF#hI=;FIsJ|5tDwGU60kU8qx1ydz7)wE{0eD4kJj*8xL@qCQRrA zL|V3-bgcrNt1?>~?NKuG93s9y8$YVX5B&=!Wi0 zp+&@5n>=&Q5pU9IA5PbB%FHaOwr)ms^}t3Zn4I6!bQTM=Oq*9$Wr3I_t}J-~>d=z{ z5~2(BAVYTc73Lfyq4qU0x(CdyX=A=t;(SXNwc~Rv{N1d-Pt;oK84F)N4RftFpW-;~ z299%}WP72J{f<2~k5wf8p_XE$V*pdH^hPch#&lOgWgUATF>S*J5}ON- ze_S1NhZO+#v35UTN?Tb}Iw&nNnXNZph6o5j*z%Kja)pz!S-&0gV6+fct2jJG$rsol=^z319hx&(P`85WKP2fVg2Zxme)UaCDJ+GySte-iz8tNjgk zkm=qwk263GUO@_3SGQERaL2j#N&3w+kUG_cs`3~?S|V=Nb{Li%#=kL_RdA{gjZ4nx zrjc|U{hUR9mjaEZ^n+-Z-QKa;K*$chOwzB;>p+%AzhdcNZXc!~vmuX>5nMwIWK<^E zUFVIZ@L|)NRxjhm9v;v8z2-T@w2xqCtDuqdk1;(MJor;@y4;I}xl zvI%`w(ycnnv4a|916$=4ltnJdYs2L1PsQiJOQ+z}uhf2j6~yt;sU`e%YMpo2D+Fxi(|0R`G_Qd!W2f^)Z;v)ZqUBLFHCu+bYF9X@HYPx>cys8S%i)%zv)^M(A9sL$vrap0v=QsGPyKmds z9It4<3(bdoZO&&Tw@~&Cv9g&cTV_HT`++ALJGZoY+BeL~3(KZZ-9~66rIlCjEB1DA z2CqNA6d?VR>$~j{M6BOEn=$FnKHDYzpc%w>=j}wR9|FHd$MJOd!kjq}fQp)hQ=K?l zqioJ}40ea$oOlFv7~XJ*k*?swCbB`LNb{@Qw{N&)X2@1jonBEjnY)arT4XMYhF$S= zd^p4NId6Je=a@j{7cQ)^vtD1Gk$hX^3NXcqOeMlg_2^K>mUe^TCCR`RW*vl=!^4g} zf|O*>G1H3QjFYtRyGZuJO!GODJGFu8YkP-&YJrA#<>p4ll_FcOatUu(#ZRKIt$F4DA}mW@y!EZ_LcQn;KoeiXA&Ta6b}8=&X+yubb%I7-9p*xAa2$y(Xr~xOPLM zyBq5nvyr}cvjheAmW=n~Teh?6ART2ep)pB8`Nnyj*B1-F9dr@8ctD)cqifnG&tjP~ z`+>3VjZWfrF}q^8l{hQD;}BUZ;tT=I;U^Yw6kioAVM@q1W*3;a(w93{F5h2LK!boW ztSW6fb6+F-!Mu&&hZe0d(x(YOzUQd_-F{b<97)p$@~tVduwSJ^4;{8}(#*SXA1v4C zj@g!|}HLeWVF=5(t7r;evNWX`-V9Vdcjr6M@AkHe4n!nxQDx1Ya zD5hfbI&<7j{*~4k7PNN+!oT5W<;6w?WvyU_ml|!aDHpzh-Bu`?jMb~Oe#1Qd;nKyU z=f7j-AQAIYhHNqBs`Vo%_bo83b8g~{#oPY%sPhc%7Vk>*l|<>cscyZ(nlF;|_Uk&drF<7_sNHmgJ5|K@pt46URkK!_{l+N@DFIqQZ7QPws)z;{ah-IK_CgS8>3zv? zKlQ7hrXTrJ4uL)$t1yqjv5)C{II&LVCTp%5E;=xi`K?~TWmH&LMW^v%*M{Y(MlBf9 za*2D^A}97MWjJDlh&oFEXzQmHxW!UA+GxxbZXQ#N4t^Ecy-C^F=qi2!ucE#yr@E+= z>7xT(#9BwMk3`q>pX0oByw+F@l{=GN<#!-_u>OzTPHO`XKol|vTKJqixS?(R(;Z@# zSwYp}W+TK6+dt8>XX_17w+$a;FnK8clx?@mTdj~v6U&7L%=ACMnnq?=?fZYkRwyNW zS4l?&62%hh7hg#W+>kPM7}11)KY3oE`$I;Sz7SP{w z2e9fBEHR?H$yiraJe<}h*axX|zk$bF6tuLk0?H~DwbK=Ud;Z|2#^SSN{@M$iZg=@F zM{YILK}A>|fPXp-orWjmAy>KHw0Hz_7WyT3rcKAKCJWO*C_+XoCE-pZl%M2umq2%N zD{*5@uQ@?=b(cAzi#(!$4;7{++h2?j{Le$G(V#+0)W^J#|uJGhJ=8WK><2^+&2 z1m0%36>tJ4q1`X8H+k(pdnhLGz0;@MGyv(v*Oo52hp?nxg&>roJ&?h@;l(Azemazr zjMJ8RJSjRB-ha%^qbKliUFaKk%fbq%-sR~+oTh-2088XVW8uFr*%reUHu#BNDk}+~ zcDf^&HYN1L?f!mA4{j5I+5LnL8WC@3_1-(3!||i941FB?GUxw|rx%APuZV*2tkRku zJ+C{FVzx)zw6+WcELc8o_Y#oB-B4X~UP%1jW8mJ4vW(6Jf<$B9l^UR=zVt)DF`F@+ z6NR_}2BY^C-n;A{%fHUQ&R#NRji>x!<*dq0%SYC_vTi-03!R^wWXBAMLH1&?RS!Z6 zDC#m0UrtBAEUxmj!0WvSsK;b~K6R+(q3!wT;OKZ(ox3%wQqtvPbQvu^Vj$Xo{I-72 z#*&Q?SI?goyLxI=oZj65u;*SrDRN3p@>9s&)^c7yj0A(h-5Rny-cf|}zx5jbE=D{aVYKh_?@|UX?FDjKg zjhT`(IexJ~~J`bbHz+Y#f`aANeX6)7@jPF0%kwwSt*i{@K> z#K#r5xoK?-CexO6$F1vA7KdeE(-IalX2~hC_MKOs*g<|umc_t|T_5}%z2ZOhe)%8C zOXPqm<8{eiQX>VrNQ$o3>MZVY&w(7XMp6ku$7uZoXmQA7mTANzaz# z^I-N54=bPbbb#FPcjTF`9;}xr4&W!1?7^;#ocbYf7mojHO|Ii#D#`sRQ2w`i-hWLb zR>ZBYQ`xZywwlWBfpa>C+K)36Y3OiHcrI*a(v?dnA zMN@GM(e|r}z2tF1#3TeV0@$f3nUhxiEA z?QkqMA(^gx$~1%?A5KPoD=?zNN}dZwDZjm!p`W;sM}9mI#1ph$y28z8jS^DSkyg%8 zhkAwzSfWd;bIOK;n~Wt3cp;0639BPb*rxPN2mY2PSBaK#kTkF^ zstVthy=21*ds&I2Uh+5{{Rj3$U@4lgMcKyL>*Z#BYf4JLjC)Y3h zQz_&FVnhLrx>@|K8 zscxuBrEzX(Ewp(Kgq;L0NZ1&!1J)7BD>%Zi=*1aMCfE#78D4Wf!q@nc>>hL$-C$*H-rb8US+--`;BTs{aCDiAWMtb|Y?JLiY9+#BhF6E2#E;g^`v zsW(dlWLwtPTXC9DWD413HX_7j!$4%9Q9S%|jmG<1$YFaI&!FzR!lrNBg86|xgM~;B z$eReaU{@x+m0h5-AOX^4r+%pJ_nZd^h>2>@Yub&pC0GE($_4xSxs}=d_p36X3g|ta zy}aRS^>GYakRLeY&Q!t9Rl_3kRUXW(4!G$JkjV}5oD@?Z{fh9FEV4y~p5sq%GG!ph3Z#%fW5Ue)=x=_?J+ z!j(_d=~2L2jgUcF1ZgeMK(0D_dWEK`Y}LmPtml?gw|(5W&N2^#&m~@DNM0xBwLHMm zVrQFc@W)I_l9Ji74>h+xyr@{-Nip8bD&fw0VDRtQ6?DMONYC+OI(f;>Lj!QoX~rzd zVwS*YW*?_-aFIkdLY(a6o3}i5O}gx9nV)ter@6Dx+A&&}U*{mg?FG(8Z|*EhAz6Q8 z4y)io+K3an_(LFZ+;T)>F!}~FJ|Q-cPE}i?RE9qpfGEemUuv(fDue$<4(ogKo?KO} z85g4ADI!2^wm7>?;LgU-1LDe}uOs6ufT^BttY82(X1Wfg?u+AOox}4&hVbf13L~z> zUHQctgkAngEkf@@zzLOa3XO31qqDzxt$pWNSpe4qY7i9d>qps2QC<#MR|KF6wwD|8 zNKuJlE?pVbr)&18n0;l(vK3FN)Q>OeKoxnID`iD>l1bCoIMq>}r)cj7fBjWNDv?}w zA7G0CgF>Wg3H5`{FFL!si~~Id5|xmu{TNr0%7);CU{o+y!E@e26~BeHTO9W<;oayN z_~^dZBA|)*TRJ}T!c@(z!)$(6i8&A#;pjfVZsE>JO!d-YSm=2L8c>Jv-)~<@ihefA z9l^0X26WTV{Zlx8-PPplYX8Eti@UWt;1?&cfH20(bvH17vxd1MmM)3;dE zm-y=WM0vJeza(r#9(ePNZ>L8W%a)v5_K?GDp>9S>G9xiI&1OM;ON=wN?{Z(5l-^EJ z{tC*JV#T=!v3lrYx%i1A^+gklkj*mr#sXK(W z*eP0rYd%Ict;4KFL?9YaP(TiNqjOW*{Qy$$D}UhoIn(>;Bfs`2F6AS>Z!_yKA+tVL zqgT5qg0`>@y-=m!MUO4OFf8{L{;p^0f84@eaz6W1*JA8XU!-`iMKf^i-kmaq^V^Pf9ZJK2?Z;*iaG zDsth$Xr(ID)Ec}LbB#BuT~?}sa7)RjqH z{#toWrPZ29PumWE)PbzOHi!7WSplrn4sEDU=oBnGBqk|x2*<`y`_r|l`TA`>2>uDy zKFpqR?evs)@Qrvdq`|(rOLjmu{q94@r$Nd4bBfk6Mt{eE{<|RY|HG)Tzuk*VWrYmQ zhb7_TXl=UVVCI!vcAQQMz1R54fQPUcOTEnGqNlw_hR}%7 zDY)XvwzQnrT@_k&9t&$qXT+hIDiU!f)~31P%7#G7q7J%d55>z!rZAd~b3A?E4jjMNR|!A|KEQ7nZ~atMiTM67XDA*TpT- z3V2{hh;Qj~SSjQ}l0iYru49)7C;WbTDs$S?x(q=fJ{;IqK8|D zet^9OL zSwW^+Lk0Po{l%^jX#bvgQE&4nTv99sh4ZGr`~*VsJzzL@m_Ix2WCqF00tmNRXwP=N>UCx z+*Z${3?yH(jN~{ks!QL0-RVetFPq`;RSJ|16O}0L*~yze<6T|4<67@h2&;2p(|h3=z@+ z=s99QhK|GUkQYsJ_B|27lv&=K1M8Edk$;{-a z3mXz8jt^bZ3ezxlrv*FLPI8CAE+2|MRnUjneITWU7^Vh4NO4frdkGnjQiz-?fcgPl zsdAmG{nb}yfY>)w?cLIcV$Pzm!#0CF;T z2na(y14!e{N!p8%B@}*J9}Ppj0Ds-u|LOCyFZo5ajaf5fh6~TKvN_wnGtDe1&_~c+ zziG_aK2KEOKGDJNVX9dJ+8#eDAC{>lcwl{LO4~Gkx#+o0+GtIUsKVA@VbF}xRQPZD zQ2T=D5}%DBlGN3hC4S-GMjv2M3PbtJp<2KzW#PFVV5EJ~AgiJY3ky7pFO*>L*_&y}q;U207)J z{<7lUYc8@>;3+%~x+Bs0>z?3DQJsTf$4%2-TsfEb{88Gik)fme90`H@(M_1m0c1f^l4`(r z^XdJ;$5}$T3L{$E+%g(f9*)Y8yd`Q?=I+}f;bOAc5Ts{*oJA~~`ZV$S!&*z;22#!G z^)ec^u1?&oai&|xS#fgf$T68sE&ABcLC21%r@0-XP2YQAP648?U--9v2zlZH6O zgjZ+|5W{^!b+vN6*uVq(=IcCeCzh=N6x^**sWF@e5XTj$*pIV-u-86!M`*ht@fAU% z6*Z{CUdWAd=q&(*=lcKQg{gmiVLf41l_rAKQWa%9*{lK z@X)xB(a7w+mGtZ*-qY$R{=o&g&oy7wAAdb3`uj`^Bg!sO1(wh_y65Ye&lUPwQTvPS z^>#2%Dj6IUdOaR|ZLG?^-)eZ-yKNLFi#w3_K(JTFR>aiSa^k$&dskVRV>h!Tw^V|T z?lbu)fzE-jcZMiW@H!L#e5GPm*O%&qKT)HC`^fEe*5_~w?n`7=o@bkEJhb!YPndzP znnAPsxvZ_<6O-?B*Cl%$2XH`*^?~E&+dV8DV2V11JhnstPY%rt&_7z5M$`+G25R#+ zeW1z`2(+}EwM=9JGwcMMa8@8j7C*>?;Q+h~uwtugiF5?NBL+iQQJ5Rz!qon>aXbdC zc$ZP%Kr>|23xX!luvQ02&)ppRV`c9BzpM-}I)Wx>Q{oRJj6e^7>^N)spN5BGIIw~J z3iO*C018B`_-W+siK|QiZthByn)}MfCi2r!V_Mv~9|EH#tQam-j)}_l$5TC{?qvC| z#FQ>v8uq(HDGC^sKz1?E$Gh)_#%tu*ZT|uk1B)_tQ>4d;_h*;KcV)Tnhtx&mvu2 z7cIt`?w1a@4F7&Y7HE-v*s}_jm7Yau!>>waJoDB;+xGiml8h9}4c{b>UC3fd3AOhe zjNO+Gv?>uz3sin_jHpg5wNb_&?f2KWt~C{_8Xl-IE0$MF@ROZ!jg~9z?8KHJ0bsfdB9>`!lSaH5l59xkEJ)!GR_33*KH%MI&Ub??AB86q4TyL!}?8A9MRCbg$2sUYpJV6|IQpr z#uFuRdtxpPZ&)>C{~oVpV=7}@ZmgN2>6cE0G}h*{*Ax}~cD62@kBYuFVO9A-pUT&K zQg3nn7B+6wR_XOxFeWm=?G1xIbdk>>UMguE*LE7pjv;rP#*x04`)@hh5#Y!Ti zLU0*9X?3~!6b2`wW#smXO694RmJ$Cs^0#Hxy3pp)uTQ?^B|1FkoTyH5O;e$H?X9)a z)%Uw_=#vHDh%qmVe>9(ahVKC9JVv`al2KZBl@(p$`nQ}Qapr(qe(oib#L}YDm**6{ zegGZ;W9El#jK+CFWZ9qP_Xy`zg9cQIs~RvW2En#0iuUKb@SQl*F3jl?TDGd*Vz3_s zLnvFKVCt`Lw%iS5$C=D8@DuLuv7iO94tHG@mZ=}M4>N~Jv63PBk|8X9JZ>qpr3W3^ z48M-?$nNJH!D-_nDkhPsgSw`h=zVEF5n9_)x>c3!D3skpq~_Mw6SkMW`)@O$5e?{h zlnOv>9l?(mOiuZQYnOx^exdB|iBukSwx2$1XrWS>E)wi7SKq5PrL>k`e^!-ja_Q`a zODQi{3OoIUs4wP#5n-h(-92W9%JSyVHBoQ{+=U+kEl1t}+a#HrG8*C*Y#B2|PAAeT z+JdjJj^I#;Ft0*aKSM}F5%8dFz?-D2t@>Rmi(4XY<&rtCCB|M*j;XaNb$lRXPTO+3 zcxR>1@?-uXhs8enI~%c%#8x1K9iK|zY@yd0vauAGDV}@i)2q(|#foIQ&dJnvNtc(Q za!?mRDggEp0*#U)Lb|4kCMfa+o za+Ns!Kmf+Yv~8#~ZUe^Cas^t>YvA+QaU6S)icL9%A+DN7sd_{|DdNPWSNyEwp4ZUq zuLG^CZsp*Ye=a*dqk7r=`BihD=cbPCo^+tR|5;OLP;aQ;b#+<4y2zLB!E~=SY(wTV zfS#*c?!l~JWY7p08h7?oV|7xt*;A0~s}EaNK}U^Breqm_dif=wE_JtM<))w%0=S<` zdB%g(49Tm^suH@TCQ-%-rM%ce&Ai)h_33VFyw7mc$hQC$N#-$sc-Xy0;e*Q$E9yHX zU*o{sX;xLmoX|O#?n)v87956}_mD*f_^uwCR)f<$v|x~vv!w|ZL#63kB+Z*y`wzLg z8rhe7XCg<0#4)=B&Gn6H;BW;=nK0%`SPc5joHKm`2IMtLV#|J{>Hg zGq=)+aSjaxXcteguVy)>7fI#=6|mNc)@+Tr9jSB31Qt=0!j*^Hs?4J3$dRmm+7#0_J3iIh)>os5$8At>_NW_#iK5w3eQpKkv4 zvP=Ugkl@NU{}8xOj|03s!W+yTd7~h#YKxK@Dngafvzoe>sgDzzU!{*9igY(&%0G>2cm~VJ7U^?fcq49s_>(cQ?v*nUF6OX=66<1 zdJN6Khi*>4QZ#qikO^WtkGL3l25ZMxnPfGz%8rX2o0_aUg{>nji2dZ5k$zMWQJl)! zVJ>e>DfHvY{n8sbgoOoS7x@7e>aw_%RcB}8SYv2O=Le|M>w9;W+fy9 ztC~j!@r%J69|Z|#{Qix#9|Em$0B8BtGr*^0FZ(mFdr{EuQ(>W!tLWKJI z_qN8lGbP*nOKhV20ZAh?-i)?V==>ZW5qk($Bbz=b`YF=|Qh|s_{3t>|2JqUq?>;cTg-BA4yIwxQRre1Za(~4552go@X*xN-#ebXczPxf z>N5>xVUp=Q-FZjm^7m0CWi2;n)262NqPY0Y*wSk-$YcFmSG^XdDE(5WE;LG7xE`u@ z8Ugxj^e+R<>}yUGouH2^``Z-}uRy9d)!Bf~RrHdTDEEE+>0~zq@%^maQju(NuxAls1HUzgr;zegx zs617N@#4`{={nZ{l$^`lu1J6mC8*piHTg7{(0)Gg2XM)5* zM5)AwXSVQQevlM%hbZnd7dAgw5;31+4WOCGN3F&1e%y4yId^W**|eqjNsW3c@ePh*d0XumAg6+e1| zuBsx&AUKMqJUpPbOB52bJEe5J+36BswNsZ)S#aKjJZNufsI4L0Mu<5-pMF==lngPD zyE_a2O)wzMaXZu1&*p^5jaCtW^hDdFj2z7E<#b|PYFjvuH74KXrPIzN`Pq(NO6gg@ zYs;~|oS8jVqP3kFa|D{b&!}r{JGj+UJrkc@W^>ys_O_C+GoW=#6>P32VeT!@CaerR zOQ{9gQH=2Z$q6tZUT!=OZZNs%R=gy=RJ^mtUh#8X29HePC!8Vld+mgnTB_@ZJh-`f`(mao}MM_9p<5IQh0KfBk9-=x^(mzU^u~~ zi?|ZiSun8%l<7indcr1>|dZ&FhQblCivD^HMJB(XA@_nCJHS4{BN! zY2G-j7I7GZw*n7wiOYMbQW%C!?!qH$>-THbG{X8vHSNej45b#a6;jEXT}Q8UF;r-M zTxK-kaw&oZ5ZNHa9%@O@MJW>7*ckZoZbJ*E=t;gtQ^vG>v<(^^L-9O}n)0x#3p0j< zd-65}J@Rzl+?`2TN|x*29&*{>KqR!~P|^w8gI?os)VHz$ZcF0oCxm8f&i>&&NDKJ@ zVL&2d?(8hT_1@j+YaFu+x(k(Z1Cv569IFtOu0V%uw58AAzY>$;r+a$H+DzB&8l=R3 zL1n!>Eh}Z}OZxk91{Iz2Hja<;zm8cF9VCvMFTvHIC9$X1zE_7 zwTtPErR81t6R$#-(-(2MUTDssGeUaFA0+JTlg%n3b;Nq^B`2-1!Z+$KOhNnCAfwV!mm4pPS;hrj+u0Pldau=^-tX$P-&NU zD^b<%&O3E{A+tJ#@Y2`}kpgJdpT~5Y1dhZ5(xdaxSEd%IEKoe>Uz&R{$o+ciGGa{!BMH}8vksmB?R>gb z`T?#*QSZ0DHePNs-l|cTuz$vD(A#RxQg5pI@eK(Q4wE>p;_JjC+gH3Y$*Tr`f>nbADBT+b)COYpko!td)dnv3Eg z)>9WvVkve~NuVA(54`@MgnA!`X_Dmj_Et-D-aKsCU@|y9XDv-P6NB{Mgc?G0FVFAz zL3}?AosT`AFxwJ<+ZHf_i0+-SfXdSX%%&+|D{27=wX#{5NMI19w1MSd3HqW7)3e%m zsLWd6du)OP!(iZx_C7!H{!<)R!j+Z9-`T~RX1@cDo%GT^d?s7}RSZG5;A;fMdnJbw zLeX?P{`8B~r$a%x*4j>l-9)2I`Q9z;K;6Uo_?gP=i(M_qs`J;q+3Ss^sBJ(PsYf!! zTjzxkwgE8iRREi-KRlIIiI%$xPoyAJ!xBB@DiC_ap@K~S%T@vEq92=OZz=P5AjC!$ zlX&aQp2;nL_Xiy>VweFI)N3&n=P%ewG)=)1TZ`oM_`$bBTf z2VaD&Xu+t4mZlkh2IRsOP^D@W0cY@?2A{6v$+4OgZ*48fSxc9qivDZ)%`o+~3xz^? z0}75<0a|XeIz#48)MsJjRoW`&Ihs6>-E8;n-RbhlW^n=RQPiA~#1C2N7?u_96Y8~1dLGG38`qH#vI_I)|@j9kNH8z;GE5}5eUu2bXZ&d zpws5cZ#ZE8{t@0BunyA7KmPOO1>OWNso6DaCsOE>RS|Iz0ffhUFb@JE8xz6hQ_(gd zMOIdBSAUsrN16uomFW8WeKj(hMiYBrEU#qb-#07Ne*H<392oK?uJ&6%6RDU|ibaPu zR;^5&mBT41cijDc+Oly22De;Wh27>2<%H#AT(^G?s{7oAp4fjLLwzC==$Ou3!qO61 zHgB<)(HtPZP-8gl-i1M9ucM8%x37FM>pg65W`(Ov!+t;!Bq(Jim{MWh?_!n12 zSHBt41QrM)DoV@oQpoxYVjZ-HX z2;5m!v+vc**0Wriah}%e@81R5SJ&6X4p|)3GMm5(>QuqHuR0lWfPgfPt(v1Hfc~;^!z5^?q{Vw(hYMVg-xR;O7-6C!VxDq3{1GJg zwsmS@Pw6c$zV*H2`YFft&84*A51afD(}ws8KIfIJkEsBfMw|D6fWHf?QqprR7A`Hi z#8FRgQvi67T!c&q_H^D@n!T78Rh^1PZgknbI{tBOH zL5!ffKV(MeQzwf4XHX}8O)mbeTJvP0c1G7?tQ=*c z@gzU=zD*hg#;OA|an2Nc5kD3`X2x>gRc6rKA;!{hm9$!K!Y&>4EYuQnA{RpAME{^G z!vII`mmD1UbBzpG6}b;yv)fNYh%u}o3XvND-#n*il{7nm`4?sepu+|cfB6q2@eK{R z?*gw!gl;0%w+UdXus@Kc^pHLv@@UE6l0Po+|JNMUnq=piyCB(23V*pWL{v z076Od#)_AU`pN;q5G&yq5-6dm?P1%!g==?9-DJpP`I;%J%{;HG!E6wk16bGVmv&2k zGq=5SUBGaWH|`KYKzMX*$7SHDlTbv=HI6*HaK=;oZZY4pN}RqKk*0vRV0(r65{dzE z1t{^ITEt)JU*?Krsfr@sB){~HgdCUr1-ZTTl&;F%Hi8zLXPM3)y1bgt1 zWDrHM2S(OsOi7EhIG__;Ph+M64dj77JeYdP3()v2fA=?+!~FSj3ya_pFtsJi2)G(h zzW}Z__dJOb+hCUv$=* z2B*o*R0tWHnTdza6Iny8>95o6_^RJ|+mUSMqbUIEe5!VQw!9%Pndy0>79*T5%Yi2m z0g}km2|^5KOIcn&xk$esmatXS25>9HQc}U#9$HD!fAxMY{NvSrdjs@;b31o| z+u8FU-%iYz?A2_VwY~^2%F_q{{Nbqf>(Efg%P3y}RZRqd5&8SI(bGK!q-{d`j{qo` z{KrXz8qXR76{6XX$jYWXq80%tCwV|CT0Z5sL;LGWs|NVeFky<6?j&x{5;+J!w>2s* z*Ap`RH*v$t+Z@x=eMeby%@2f^>uN&fjrg-e3nG+b%O>c%`S|kAUGtspnt_#1`q}3J9?>oXHn)yO`gV zfGDlGBJ${Y^35f6|MLQ0&IWv2AWIamaX*-pbYE)yZ}e_?;Wba1TVn3?>U2T}KV!qzGyoi{un&~Yk?aB90q*VKwQZF%vo%ZHl%TSsy&(H|-+g_*GsXZ7FuU zr&<-tEN!D*2p|~6R{MF#9ysudF6Q8sJy0%{67W6|36vAm;SZeK?o-352V>=*y>J&b ziOoYh?L-JlY1N8jr%mcMpaU*t)cIi9q_j%9_r7!<;h9j_@0C7(jzqz0BwFfTEhcLT zF=+OevA{G3oDWHfa!fL~<6PgQ4tMlEOs%*><9QIG`gg-}1mg=x5Wu3PN@*{M zvx+!_7U_Wtne$&-LSHL;vM!obyfrD{EWAO-(N;tj$b}eAYNjWMREAtURcIyCKR$0J z;No>G1Bnvszi=nMB-Y`u!K5+hwzh%r0}AS%;+mCXh~0Yi+`%o!3CxW?H&6bgOA>js zc*S#l;+AQ~$i-t}hcj*QZQSReUNg6o-@}MY7kRWXnCktx>JObw!>_B4HYn=kU$jtX zX^7J3AA2meF4d{E+<7lC*5|}>AjB8|!MRz45E{=G6wcg{n`&-pis3BeoA2))(NDhh zB)XbCGkWYk&tp_a|0|_$_n$yx+}!i@NDNbC#VUoYD4Bzx-H@J=zU*C({%OnolFp+C z%dvVUNGeKMyWivEYzatY94aIS=;IeRWTJp+I1c24vt`fA+i!!9$2 ze!=V}19Sz6uM0$Za3x5+)oNcy4+!|bdr>&Dr?@Z8R~`r!AqGO~snPlyv5ecM@B}Od z-!?+O`scjYm{*B5UzQd#14)XlRz+OT2bW%<#>Odt55ZN^L}} z#@J##M5(q+se*~UVtkS}+KzFS5v#!{ak%%IxQNDf?78iG=ZLr@My#ps6S2tcwA3< zXGys{=FIWMa81AmZ2*3{1x=w?#r9(qA^GLni|pf)ACeBqS!-m3A3?I< zt;Mw8ZkC*XU+F7BIz26R(0_^z9z<3yF9VLq36`&6u?yun2AvB$i!_oiRx zk5v>*pyW?w5_E60t6tsHjkgPOv5m(2iKbmn?8xEv%s)91;beC=^kenGLY zvcY#7*~`>1J$+${kJykJ@eKFy!md*}?qL1x}pK9bhD#}ACwmU$rj^%**nClaN!Gp zvIo@2_$zSt6wkp;Vb$9A>6J^h^X8x1wqCQ1I<&36m>4$kli|a$`p+UNh~ zBC$=3%E^i!6I+b$HN-;$-p`dxbA0ZG5$y-K9+7U#q{=E0HIKV2U&$z6PU?ty(61=& zoxI9*=Yf2auENPfG13vQUY}&)7S4!cYIwFo1>IGi~L1YImbm*MYeBOU8>xfAIx#e)C_&jbtOVx*27l!YcEpqeBa`O}(9S}MG z_GsYa!x}MfeT%TPYs8`0q~PX@(M1WtjVq@kOYlt-R1Dr)qUhB7aGY_Hdo78-#jvL@ z?HFGMoHr--%=GlyIw@60;m%vSqtyrg!)398hxnXNWgSEmA@bgFDSf(erL{Ka%8E7G&z z(xLu)<{Xu;V+PPs$hLSK?asQHCmq_*1+1oWIQ^rUCFKTn51XF zYx=}onxSUjWd*A)SAE+eG4i}%C)iK#BB&vs-rnbikW!R%gxzAO8nL|*$2zq2~X-b?Lf01!w^QYBvd7QAz}T=G{^*X3J?T$VboO}3M-&- z94LFAEh=93NzJQ|$Qz52)u6 ziH-D}V(;E){|K0*dd4~vVk?8WF2i^g`MpmHTO)q9NG6sHzk92GhPazMj)2 z2yaTtA4nd2mWSw^V+mqlmc18_jG zqReB2dY*@D%BkAFU7-&UJ7%)K34_-w6@ro$ese+hJ+U@4_yFRG`1l$qV>Nu(G7WJ> z?AOiypnG>6=wo=-%vSDC{GcOy0I#I{%NHk;VQ~(fQ9vqTuS}^Xa4vg)cJvJ&2+?>9{y0 zi+S}2%l8As*pDo9RNlV)vg$z3Ie0MnYqE)vRfwKfh2@$>YxHJP=86qBP^fXL6Zz5- zDH?NThxdI*LEJ8_(^uV`0=ZX9k}pI7HJ~YpP=&g{Y^*-1+yZ9B*k6DeS^h2dww<*Uc8-9S4t*%!A&5Y9SQt1Rav?1Ok zv4k!Sm#~A28(ZAyP8VW?F2C&6f=aeIUm_`Zag%=&G6wBY7Z<+wQGQmw)31W5>Md1i zAzpjgClWTLb|R>1AHQ=-bFux#Iqy%eEw|XF8F@(|W*E!>`{_*C%W8?+JOvT^Vattx ziZgE|27^Tq1Tia}4Ggz57EM_4XcjapSYVF@R*r!eh)l4-eJ3V^yNcHKjWcW6zIey0 ziWM(KnAk6iq1cWZrUWS0OgzM4jFj{hf)kTvAIN0n-+!pcq}%zP^W}<4hNZ?82YS_B?-(NDyse zNN~erL@1_xIGMF9Lm(T&TPeV%*F(UX)la)mWF{FFIB$-fZ@gzLe#@EevrM#?v#Wm% z^Mrkt_90Vcc8=M}-b53zVkP9Xj}<+PvTs!^c=VRAQ&Ux!G2pqI!8TJ zU^M4V&YKT0s+YNZl`ox);I^kb)jE{rIQ$vW2YtnZw7yO(A;vtxONhwL42jNYlFk#& z%p=If60hCh7J0Ym-Ta7RjXoPUtiFB=9~{Phjf75L%^q|dP`f0n6j)#u70b3&o=A6+ zMbqVmliXO=YQ_*f!-4|v-#4O-t~WJc zEAkV%_qRS;&00ZJH16CTP-1E>V9#C_sH23%qWx2rl{yrz37um#l?OU})#7E#u7@t%m1RGM70PBetU+@wY3Vy)ec5{>oe9o9^12 zK9@g#A4*qs-)p`(S_>9md)OqDyz9mz^{LL1R+R5jw_{<^v95BPmT{$&j~L)6$C;pS z6jNrJZXAanQr2_I$iI{CY*6i)_&t+A=c@}@? zwf_PT^uMI`|2LoIzoql(3eA$bMQtRRrdEOq@?9-nPufh%>oU!6{WN2fo0Hiy8oS)^ z%%BQOhHMCndpSL+kUV|M0~FiNo==y5SEje~Ib_^l*XYjZ?vN$~N9MHR(HPrwY?~wp zttHIkaJV`8M19aWi`H`V`5IZq^M|zyk2dQ}c#xxI^(Hs@n?k4Vp+kw&VjGZ1&Vm>} zCeaa>04}3Yn8fv`SM z^!0Ko4AD3vDOOV1hL)euVatsjNa6R`p-a>Onx{grg@LSKtk7g|qp#o22P^rQq9RuX zwluv0JHO(DeYPggyff&z^62wbgOPGt)*}2#*JExv0$zXilXAWODymFY8aN3j19W5C zn{jS?NXe%A{K+k+mrSsh2d#smpO2mIeG)E-Hs%4uJX@@nN4WKf^NDzNfN)bnNIwIy zBp!rfPzvd}UBzb3bpi2-wz^31xnzAzj6v zfmzCY$iws?Dr)#6w;^Dx6ck1+n?dboQ+ohG>RrV5ZU<=74?3gRVd#!-1~V-f^B%Ao zY^E7+P95m~L{-EGf)AFZ52p4r-~blqrS+*FbZXGgh$Sl^xc|CA1EOQ;A9TH~JhYt@ z+Qxw%Ad}Q3brjGKlKKkXkpuwjLH@wifr7;kx)tl#A9Rlf5&pCy9$;9=r-%{ccb;!Z zM1LzV0^nF4L=dGkf6%o!g8w=qop<2XfrD784v=onA%M`C0H(0z0lFcwJadSWo>i%D z1s}6Xcv=rlaDj;O#H=GoJk&^_^|;L*yM>upCLyVJzvxqlKj?r@!n92>t%s7qlvvs= zfI_zy)w2g(_ofWcL>>uIDTpdyasvKtZtT9J$Vyb8MSH&GkE!|FW%I|>{C8b7$;z;T z1xmosRmrq@`w9PM3DesXTaIA{F`W;R$AgYW$5(iGjC^Q#a9zN!*Y#3tyG+zIzH1&K zH=Fym^kk)9-RzCKzG`)H>qx80kXs57p+NJeF!#Y76Z@u1VcB;{7u%37<#TKEmPBL< zwT~RcNvW*ZbjY$|)@_ZEGSLy4G=49=`+huq<+=8)Rw129xgp;>Sad71AsQ#=VTCr3H%-@Zk_s8D?SMDi6=Bt3Hz2iZ(bu3pTH zenBYkhxt1dTCWq|9YaUS_7g*l^c(z*EgB6uj>nI&AD&FUZ)#f((W{E_65D|Lk)#Mf zn>ML7U!&9~L`E)1UH(%rOs`&Rbz6&}Er`$%9@~ zQ*Be2_8Y99PGqY(){Qel*`kXtg)d=+*)!Deue(&CgPr_EMOxUY7Yc@h*ap$?iXCaE@4g z&qIu+*&+sokeHRPs8pUW4{3YafEE_~vz{WbaWDVH3+JZ*$3J-Cr2fi0>!J=3L~4bt zD%_c9OJb+z^vnuUW{M`ukHS%GW_ZEAq(>;mvt*m==IvZsf=3X59ji*&2H-`*K#v<|MUn>Cqt_CSY{OKsDZ zXdVjEbc^37$scXIqU;4Ph}asa@hmU8xj!?RD15`pI6Wgc_qD{w!#y|Ih~5&%?qnzM zGNC$#5iJ~T^7=i~OTPHl9Pi?cGW4im~2OWz` zHgTXFG0O=Y%+>Co69a^EUfJMP5zvA=AaMv*6Yr{^peHdBpgA|saTny*?Tfu*Qu$?a zqop!3A{D|$vHX3f<$Rwj9^@ST3*@fGI?m1=FNuG>c5XX z>wAd&*7*}a5uFlq8ffkPEFSz?{Wp;S$Uq|XNX%wfIbuRDHIYYqFLu21Kz(Pn--kZV z6uPj6Au%lwZ7olv-1B4!7Qy%Wd&$BqmWdBX{_HwdL<@4w@|i?SKnM2gQgb1n(wqpH zJ?X5Q@<9OmeT!D_bl%15Vn(7CQI}ID|CG0!LS{YjfZke~l7vT%czAaDFCu<|J&)KQMi;o5og1Z{o~=_J1pxpiHUbC$o*5|9EXXBNM0 zU#~BRJAnz-FDUO;AYQ`Y48I_#s9T#BasF9Rhs|oX+%)Cc02{}P=N7oK;`J}-62$jJ?{r{m}-Kv~evv4%BDu`}L+g8Qcz7p!q`0ECEy)S&17!5Oag*k6b;A zDtW(tynTD937iddHBi{Oyxgh`}WCTHxt}HU7rAS zaOe?&pc*g|G~!)Bvs}+k0v;N$R7F-r#X4?}8$yCdDzuW_>~+e@QY6xFi;MfEeW&Vg zDr8i&inIBE&+j^#3X6IU+L2e`2g)3jy(iEF4|s1?=J8Gt{4%=D6jD$yJ)Xy(&2yHA)` z{Dw6o(^n9amPt9V&^nGIWSvq9q#8yLo%Cj1Yk{W8)hwLK^C(XL7_QsJ>0%ld&&0AdC|*8y!Xe( z2M&W{1x&V)7-TNv9r(w9Qb#K+3gOkuHo(8MOaqLpZdfi$*kAl8Qt@!v6+x60NV z^VeFgKHwBAC>4Xnh<$S0@3<8Gob9Rk%bIN>H8>?pi(&Xcigd)_YSMgW5!@XL5Be0i zO{gFj45u=r<447JoruUxfR(70fO|~|6sz&_F1W>MKE6HmP+K2o@sU*?4}rgsD#nNc z7*|KY2XC3g_N|BtROdyPX#rjo<815wZGdng!h%}!+EGN&VrjeHz+Dc3(vs9LB|G+l zfCpj_^}|`Od}`x97=R;v8X3uM#83g zcVIk#Y9dK<$l7kIr7R~JI%vY7fGUA~9d7?RpxH1^4rqzlAqU+$uEIVtxw`*$nJIO- zq!BpGR_2RVC7{G@O$=!^zz&kIZ5-3RZCJ|z&kM>^FR{9nv(6En&_h?((u!r8CJ=aT z9N`+*3Z0)t<#3=lsN2MH>tHoUBG%2625r(Q6C08;$F3%@dw5B_e(DCho%cHF?lsP5 z+^=Pl;ti)NKhFjfKG{3KW7lIV)#vt3Jc04|VN&=9PmF-m=Iz*MHrNXLvD%BAI;nZs z@%Jp+l?1JC;tAGrH>|~Lq0f`(t9p)4ah*DfJZ!G2|E8s$MqCF_$LAu5Zqpl&EL^85>#?U}w=ob4eL;1yI-%p`JRBtwA5Od&xqI7}3yTf>Zq%A08 z4*?`^tEZ+A9kK}QNsuRormzE`i-i51&*mDJsqkybeW zTz)laSFBgcW9ClX6M3=ppbCQy`rDpa^ZpHn;I|>y22Xk#7fUQ#^4aqxUMh4c#hq;m zscw4}sw&Z`b*5V8xx;hp$79#-vZi~)SHG%LJuzYadXfyumNpxHVvqF~7PmO8E>_0! z633?{hSuhu%wbyEVj%(5V`UwjG+3v77(du)(3=wrF8h3g> zl-^bZbRi!xQ1KTtF$bq(0f%QwY|?vb8Ogutc4yYPOuo%jKr3yMp03`uanu?cTuiI`- zZD-#syBMyL8WUZtQ}X`6HOQ|vQ&O^`@ToF=F*j~W=b*`95GrDTfXs_icbB+Qtf9)jSXZsv`kwUW5AkZ7^Tj$9lwG zau3N2lPa~_K@$uX#|+2r1L4;B?eT?_Y;rJh_28W+P^Xai^}TL?Ge>0d>=w~P778%Z z;B^Xq}G)M57KGg`L1Ghy?k_NK(jx_@%lR9u(7qt&j z$g?B1=s@#BjAwr<8U##8T!y1kh7MWdWqT28o3Od=(WZMbJ680u)T3f6UJx^YcG# z{Tw1Aq@f!RIfgN%Yg33kcQ5e@iQ4a{D!}u79{B+elZk}d{Wo-US2Kw%(<%BqM{PAe zZh6J2skbJBWSN)Zk3Zr3W!2iLQDsm_@Ymfa|LR@X$c?(J4(%y9!=hF)d9%rCO_BLd zwsmvzW_*6EVFV=d_O(mL=W6UIgZ8JNyrJ6&o17?N+uO+4m@5$pDHgFpgZQ0niAw`mV4P`qCym8wD4|jrS1vKaaURTnx(^aM=)_m z1{y~?qL!nXgAz#sl)YR4(jOCzqIOs!Iu{4w75^R7y?+ycQ?_Y7hHb`VCq;bqiM(=FaKle{{LDw#=@CfUN<*R_jq|RcM2q#vrpfMdXz`!oD-P!jU<|C z^ZzWd`E!je_yc8wqCx;dQ)$4_vZlX&+G2sigDlB+tsZhaGcrl-z>mm7#tA1SQ&k}- zF?ArDZUXT&C9DKSyVuprMwJ`|WfPle-gd(aK-nm50ci~szJV&PVz+t(q4n)WU z9+$VobcOnNoWXf&Ir|I-Esbx|hzq;ONuWml&K)VUzhDgeNUjtVc?#xoa~j z%s^dVlLBOYRhmByw;upxLBukDSa<+KJ-I?n57?(msjsL54S>z6REj-ylQdtD3s}pU zQKpP0$a5A8eetx!P{0hctsXh>Mza70@81|r&3U;*F7jsF-GrmKkRVULwe}knf710+ zXT7Zd&(S?7anf_-Irx3Jg=$4TVETOVf%+kDj$~(E7AN_oGK(s@v?E<+V}OqM^Y1-t zw(y*9TMqMgnJVLXGsm^Ni%KK%qi^$r*G|XWGN?&9x_c}d1W+Rcy9|(fU$0t46N*aa zuNS#A`7v3!a=e&`q-VVT3o^mn-iPJfc~(ceYl_N_;zk3~Cy$6|J*H#TR-vPtO@JMj z&9Gq>;W*->&&$Lh4%_af`S0(2QyjM7Nk?b|Lh~^<))fH8uqnB5-x@W!k{AZoZ6Fpr zO7l}jR(`H<3=1PE`PeNrEl1_}n_6D8GHb%y6dgyM&83QT8;?AHX1(uV{&+=&>_R57}@8WwP*{ip5B=^WGciwZzi0T3x~B zrm$buyR<7#s&?DE1%ZunQ$q8&c6uXAIy-So>(@Bm1P~=2$zQ1HiR5}7CU*23;wX+r z>(QxdnINn5%55s@J=LjDH)x8td3y5FU80V7%#B>Wr-Ose$5*9yY_rV6ud(00Nk><$ z{hsdlN@A~q-mgQug?G>Vw<7lM6z6_Z;{GrG_5V>R1>Y zI2fxWJ^*YyPb4nWf8yTxHT-R6`R9;t{}-N(1XzGC*?BVga+di8&7-F$XP;g}T@yYf zuzR7b{|6n2V-q8QQNH=5iv#f_xJx6(#1}eNv#HJjZ&?TuK@4z!zrm&Uy!{VQG{JNl z8+cD}waujku-wL^6-<&(?V>=G8C_}>sXJ#yOqD^S1^dxuQ)qN02$C)|A<9W`+Ds4GA#WA=8jN{3Xo6gO4N{+BbQl|w5MWK$1hlnOv@_IE6^AML8;GK50uOfDF~;o z<+=uXRtUh0n}cR9p)kl@l+^U?0ebG2R&RgMab-neuX(u>BAwE!{KAZ1GLG9v;n`_h z53;Vfg*}DSjiehVsFmzw_{J839)#*2krRqM^U&s{Js~1ontZd{ifXt6QnHPB{~+S# z)*}buZ*i}55qLYA!z|osEzO8hq>lACwujtw2Ni^DxF}8&(nB2V)bdKM17bo!n`U1U z2x~0edGscmhuCzwr||`O6waFE5957{_VJ7Tcb3ihUwXeii=fR&00_b3E0Z?Oj6FWH(IuD%oFE8Neea%b?7-SbYFE@tk*4##i7l42kL_G@6l6WT! zRRZFF{X}DG4{0wU_`uEu-zbg{4{i>iB<9b$?A0k)qteJ1{O#FHPx;$d&wOwd*x<;Og~3SZ0BW!xQYVe! z%5ak+5^cr@%c+HMhJ~(+jhDG`*+;UPuC7^;Cr*`|I^ab-^!(=J!=OTQ zhmB}psU$=`Y^lu`i|CPdMI_IMrVD)w&>oXJaP$D&Z&8!cRD@X&=LxbWK4D(Jf_m9Y;l-B@7&CLv8?r`$^#c+zgPQJ5*gRrR?b^-5iU-%DtYP`nE=}%n zw3G&%ZS$KqjV+-b3f-b>-jN!UM_cBG%Ij^l7aPKk)HEwB(fu2wG$g|F+>PPfj zhOa_6^X6Rx(j+5cyf2AMTkX?9&8ZTVwF$&Nj+*)j$z=1*Qr!>{%chVp!6(X{>mQ{F z8MeZw7*%fs9ie_Z3&czoyc(8obGIM#_Ma0OkUOZGu z@YYJ-zY4AK=PpAOES==ItWNEU>{EbSqua2%Wl)KE*o;-{4mP+c}pz`;tdrG36c|aDVw^2Ovgy0FLL(6y%IJCX`Yk263j!U zX$M_#SaAutXDi^#>uf;Gn>9V;v9(3Op=pkD<{`ok0Ra)LbmT-74_@ z1r#ug`$#|)1w)#G?a{tmc*KAllxPERlo^GZ@h+eQJX2T6o0~qlrwe# z4yE*HG*wNRUYqn%A5kkRc;}&-|8-Q6?}Y$bn%&;qBR|cJy`3`__tY%;ziGCT0EAQj zjwjh|bSAS7=~%u3M=J`qDY0EHFPux*8Q-|m9J)V#ySrb%2y}9{;*9Xy65bUTw7J~4 zY`1etzbZqa_m#MXHW~gFtCuQhd>2@{Xg`leiNtu4l4XV_1Axm z0vsT?_vT;aLkLtVOIUO8UgGQ13rOlvDa==Aq6N_NsQ^?4gWvf((N9e~O)WFd9o)u` z_MJdoI3-lrk@iwvgY({Tc4BvuveQx!VMy+h8*SdLVm=8-zG;` z;c-&CGxwq;{63^4r=gch^D`L3n6&c|@hv-Et3kRxP25Fz58wW#oUz~!cq_{feXzm> zP8BN+CHrjMrnYM2L`_>=hJ0&hDXT$@iE)A)Q^d<>?hAacVh7di$AZi3+)CW0Lc9A( z_r_}>E;sKET7QR3NbI(%F}}&G->$68jg99rMGA?&e#6V$XNJl|NQ2u0E1%(8h5Dwq z2@L5iT`q`{HJb4#kIvJNE=`bW^^g$3ZZx9My!^os!tETiJg^)qqBUotp{>ECbvdPq zO2nevTi$>wRsgWp$dI)o$IcJBLoP*HbrbHIN%9wVy{>SURTvpnVYRSik-S>pGb>qT z4MASkbmJvohVmj>HAz{YVXr9Ae()Dly1a-xoB9~Mz>Xl|f70*UmIrmL z+!<*&ym}|2MT6UL^psN7(z&Skpkp#xg22v-BxZI;m%v5_;6^0HbRfzCH5Po>u=b^n zVV42dJbxoR*vz;`M05$xh`#lHIkvLyJa>EK3Y|spe*7hsz72 zifm7=pX~o24?y&PMX&u$YG0Zd;;;pDl=xyGk!!%JaiMos>JrFLz8AL}lPO~u5&!zB zi|oNM0LkuzMXX7BeHR=+NJ(7=*@!;X7BD|LR_?0~8oeFfHT8Zb?={}u6bHfqfA8wBqV=sXlFXLwq1{IRpb)seSZ9foNBKzEegH?U?X;r8 z;TOr;s!Hm;b&oVsc2xI7?LQmykAwPhH|pn|v3_M8{y{54hH_AuTWdNO>e>?ZYbIH_ zfhZseJt47zXLsQGme^;0o%aRI=@kZ4yRBeN5e8(QTZRBUyeQ4-DMFO`y(IHe?Y8s@ zY!S{Q8eNfG4e zAK0RF*==MsnG>Hs_dbyi0P18mAS5}s9tn&eNyUf<_k|S9ZCJ3T5=8t|@`}yYYNjEs zOr85@%gO|x#z+ISDI_@}9S7CYVuIe$VLv=B=ykV${NF!j{v}>!z}n5ekLXwY*`L3X z1!(joPPf@3-pzcU6QU*odZF_GgCpwCf}z5{FBt0dZ-SxsWUV0uE39V(CAVynmrfSL zg+DsuG%AFJ9dskM>(xW+&^JH+bs0aBqTWY6;f9R4_|F(j*_@OX)ZMmS) zDAQtk!^f(hf@S_v2(tX2v!$Du}6#U`>)r2Dsr03Wq!$NdvB|f$3eSHwkH})%q#t;`)ic)ta z0*LHAc=Fd0o>$|68`d@?)Af1f){8!$*-xHnlV=JNUDRe?wu%@D5g@tajK;>25b zPR??dj|BBl8&?)&hbYD?IwMN7+mu8I$#@|p7(nE}!Ze6d=}yF+l$KU+0#w13qhrmb zR6hi77;^vg^Tq_Rg&VNf=&X6MUiyG5gPCuS`fT)tuk^QID9i+jpy3)=7%9;NN0xA9 z=+7QNNv_jeh_UHtjQF%`mLv8uiEG{_ag@0EHICR_J%4RSZuF?}tMD5*W4dAKqi1)= z1TT^5@gtcP!2pU-`4UBSCAPn@(obqc%c+Jam9pzraYB!{kX*hEO3H(&O)nVKHBY#2 z=f?EwiWK-)RW}~~khj~a-FPD$$-=pUHu-L{hSMoaWh80~K2#rnUBzE%#BX2C6-PP$ z`^QLGm9dW0w@nIyW((}M#VS$t47dv;iC9_X0z81cffXZWZUYjEx%eSJTHdx7SN6p< zp18E(#MkC-INa44+Wi5_j5i^oHgZHBI`3@g%$A)d>zXhx`NY3OCx^c>>{4^=0rS*L zxU2>f#qhhO<1{#)5~6DM*6}>UN0#s>xJK6~2|(cpP0! zmK&{gnDVodTpp2$)bjjnyIX0m6Qu3Y8sy|(UH#HD2E-Es$LG208w1DhLy}$zUVk9+ zqCOF0{;YT2I(sk&Bhu2!fS>H5B26*5{A1)GK=l-c+zm^V+lx6ZwgdiDa-??e^13h( z%4$I&*X*t^=uqL{Ls}tV3Nyv3lxDuplPWaGao5VN%`LItG=Lj{Gz^1aCUVAG3JRPh z974+ayzGKtsuf$QFrRVNe1nE&ZM*zx{qyqpIKHR0+^Q4=eq>lzYLJH%0kC>)s7(!{ z)OZSe!Mmb$T40)vPO%g@lc9HiA^H6pKpUCxgclW`eg~3Sf0*X{;{GDPwlC~h?L($B z{gmfkZyXSb+;^y5EkqP`X%9(Vql6UG%!{bYF)s??sVzA9GfW5MR+E{&`ZgohY5S{^ z1;s4&|BJo%j%#Yq)`xTKiijeDf`Cd>sv<>c5$Pb(q?bt5&>{jtXgQ)%rI$buBGRNr zkltcJS_qw>R4EBfKoVL)65s8)=bUlo&YgGWyfeQ$_x;`f)KARb+57ul-?g6gtmoNp zh?>?}L2((KzLd+Jp6h0R?k8dDlifLdz^O)`t~`e5miP!~x7NSTj|WQY4oBi1zPl8= zhOz~2ku+bh81K2|W$_iM4PF&ozguJ}!}h@qpv@x>CiQzs3fZtkb&Akxs3gTz&; zaxqDZ&Wmm82PUo?j>mjKY`0=2+3F4Y=*erScU!hz%K(QNC+M0tNU&O zxA=;!i?tIj}hFPMev9XsBVm2h9gohU6 z5F0rEso1MR8&L{HRuPAloWrK!4p1(_^zdzOPYuiAq@;_Ekhr$D(B#R58f21qHI2bc zj(VuyRAgCkX-}5=nDvbz*?hcyy>I)j{p!yVs*8w?t8V;cF`&sgKgnEt{inY&7#w2liUx{fh`C*MxswGm|j^PyJ39^-F$SoU1M3ljv2r z_yQ_m9MMC&K9g`uoT#e&lcT}$c&BH!2n2wobm<{FBJc6p8`YZbqS>VjR3<_R7=gs@ z$}L(Otkb~SeMr(Q2jPsqyb_N*<+ z|NF1<@0Upa7y_mslw<_03rk6WP)mZwzkz(*8lS0Tud2>&zgO7b_&;Qg+#Ht`Ywy|& zkR8Hf3kCHQI^46L-t4Q|-CcT9H{j_rulDGl_FYr355BvJ3(m8#6dDXWnV+!y3H}&< zUfo17I9a(oS%}YG{h=ctjDkTnM(2;ZhS=9Oupl0!a+{^+TiCgdq%a0@aPt-m_3rZW zNMms$oy&rku3F?In(ewWo(k03g8g7z2vDJqzxcF7+dI`XAa&zq$(kNa=CXC?SDR6r z(VGc)m={b2cKGX!NO9wwwsYc9o$Lo>OqJdg@|BYGxzq-OFnO+iVWWnW`~t~1VzC!T zMX<{zuL{L9QPL_Bq{lc`R5tC8%5fCir~MNuOF0_<{(lbcGQ3wyb83op{8GA z<%>ADS6oU~uh6qfuiaVY~iSJ$QK}o7Qx%^4ki$G4CKbYNj zv()kB{502KCI7|wdJNK6JG*XUZxaqWGL)AxJVd(dtUY%u;O3fc1aUh4Lh@nw^P`r{ z8s}L}ZVkvNUp69aG-(cN^;HI1Vyc4eXiha%RaG@=f&)D=PmHh3Xoz>U>;)x4pBRJX zsl&JljRB7;Fd*Lk1lyp;Dt2Q4xK$5b=0YEFUEF6}jwb_G$ay|DJ@MqT5~B{WpH6#r z{%j!h9g0|>H$#Ad^hoX)Y(y%t_?>KYYx*vie)1|Hs3qmWWOp_5C!(>Y;J z*_UH~+V8k!{bDuu%}hV<{B}u?f|^ScZ7j528@0BQG$b%_HS2+9Bs*sv-bq_~EY6;ukp9A- z@2X&!h10a9oygrW_9w{Y3-k9YE+q9-uHw<>TqoR;I;s^i$51aD0CQj8Q!-7OyxbI{ zSC-tAo39AOYFjxn`J<|QsR!&HMQeV{TZ7g(k^Wis?yL2nDBGyq5L=3HG^t6!!~7cH z)gIhjP&7{-JDC@Tte4F8%7e6U-qKV_8P6>z?~eAGeUcm|77jE4puW%$zkYGlOlhCuP8OZHl7KrL!xj;&EOVM`pykBu% z(BcxXtxv0dv9z*{dM+>|(@~{}XP3|<*xoS!ObN05>`rbkC18C1%LS=N;kn+*fDN6- z$<`^I^Mj(4Z0Z0tD5zz^nro!ocL=OnlOFc4M8 zZD7`|f*rRkB@dduc;DCn{MTSo+$DWtu<(wV?R8}n)81v+2J3E10FPNVQAbTr0-73C zfqWl!|&KM_sV7}suQ}58rS=Li$~APEE^2gMCnB|7kEh(?^0SLKjD3IF`l!UuP|Qk z2Zn5D5>r7AOJN0g!NJY6U9!>V_QC ziw=fW(uK1I?^R8lye4P56QBm$@2v(_W!rrvf(fbmI=Z#R*0pr!{+NzQ^*^Hbu`Xif(L zePnSmHOsTA1}cNw@r#|ki|jW=-8DURii41Rp)Fzd3F_)Q9%gUogP;!?!~awAzErp# z^9sHudWL8XZ4uKLuH$0t8WzA`->8K^gDUTfb4xQTtB1w2WhW(=K?`;^4HPZn3T6&(KvBat`_X6m*Mq_4 zb%`FA^?OUFC;&L@5Pfs-n9sJvs9|}3Zs_JRH(*ccUUTllOwFE%xu)9jY5brM?LCeD~X1_+u_y@?qNMT(nd9ob;kF8f7@8?}>H(KuaFY0e{f7U#Bk z(@(A@pfYtWj~?S)(6MAQ+TlgxBhKHvvkp<em!B zvJr=vgW;BvX`gJZySO!S`2zbrHAb4V9b8fsS*|ed<_?vrRLyHvEGDqTl{`OoB3_Uo zZqUs%pbvis05FOWF?bSQ#bKyQN-D%cjOpn*;%ehsn#(5bh9mJR5ZJ_3pSRtU8NX0a zt6jN0GsH4}>_kry;X0?VpdX8gyP2{S1$>iiklZJW#!t$Q);xn{bRL7!xYB~^e7-j2 zqgg9MvTBN#vTo~~X>uFQfGYLkbGkZYtLXPWsiga!&N~*!);80y@tijeld1HGx;kf# z?&*=r48#W%q$>^NhuoQ;X%XdXL7A#Z>;iHldr&Npvohflhgfv(>2v3Yt34tu# zp=A073qvj}yf>qOQzae9oPev{DjK(i@*Uby4GJY45NPPr@2F}BrG6>RIX8VU-cfBL z_JL&xnh3$isZa;V5Q|}@zVB}==5qUFdi56H{Q;R$;Ys7#0U?TcY7#E8M73moi;(IE za55LcPxrER$*vC9XJ>sPAd%d>DzgkB0P;yx zu_Vw_s6teU*`CXmAx|k%$zUlu<^?=je?uT+ahUcX!Og?>U?QjgSev+eZq5mrj|nM6 z`EzOHfCpwBzbAf0mWVbOKs=yuNikH%oNGf~wFYISr{kqAgzwLLfRx2}n^Wy_y5>!rp@JFi4Ojom013-R+rBz~OML1rztOI9oqh$X zbJ>BuP-^)8Il-^c!r}!)ycbo;jcgYjs82zoO3orpP!rYWC;C0;&9`eQdQB|_LAYaLEhTG%5GF-sK-Qc1j~+&;b(FcD2!7Nh8Hsr4VHa6+V^d;w(N zaH468pPdVq+=W1L_RU{{$Liay5i_o%mJ@vY``sw}{(RVO*CF zL;?_%fst3qCRwkkIi(Odj*L+!Am+|VRVilPq}-|rv~}yaP-r#sE<$Jklids3sLhEp zh?Tg$Fmw5`t?MztH-iUNAbIWsie%5ixt@lgg;KM>8z=)XE5u0 zy#isgh3g*%J$wla5jJJbfvyXzo7H`)Fdi@Yi&lCb-NK+)Q=$E{dDP?kNe=LEvK!r* zjTGEkC_;p!%u=4BJ~f3KRMr|ujLOapIj|HS@<5Lj5{a0Tt6EaN(;fES6gzmQ7ku8mk|DbeyOIk=+SxvQ?h-6)>u`6q zAo7bKgBP)zxtzE^>a$E*RyNVabJ6yd^PiO7K}c)qp1fGT!iW8hwWF|@iy3nxFBoo=jH%yyGt ztJ{CRg@qFsSs2MhVnVJ{74ax367Ony53IMbot)Y>dq|6K5cMS=vUQ{#Zs=R(fs!`I1rZh}>ba3^c{ zHB0vSU0K;FREJ@6ia|;#_IznjHYtPcA{3m3DtK1446!7yVDj&B()f_na$nMFsc?B9 z^hC-W;meB{iJ8}_a6X+GA@E`Fn-8j&*aD;tG>w`Np;c32rHB4vMa&(B9^^$zO zJbkK$xV5c?w?d>L&UlC?QEmpC;Od(*az9ohsevU_hgqv7`Re$Ektec>AMN87<&Osx zwcf3JgE`kuk)FT4|JsiAC2QV4wb^fR{2&={MWIOkP{WB3b%2}h3~ZS<{EbRfiwAL1M^e{Gfj2I7a*gJ1d+ZTfVQX*R4I3+|)q`ple#V3=uT6k7>6 zr{X@;F~Kg{^mKABZ{g1zg(C~cS_qU$8sKFR304{TX?IEdfoY8cOKd|!sXrS=gy$b%pu_V&iWmL&N(Y24)!Swj z&w<7AK4&nvbO<8Vi3dqF34$Ay^NWHH`&X?T^tbe6=;wXu}r%hp?!Wj)O-paAZ3D;_v=Lt^6HX4$6qI$~u$C z^-4HJhPLm78^Eag0VK7JdWqY0!@&hA;Lkq@Kra577KPWn+HfEhTa>0 z1Lf{uX~p5OwnPq|Fph`HSExrV$J56%qZPSaT<%*W0{UF-c`QZH0GGOaR|Vmk0;?r% zxpFtzSWGVDI+C--tmc3t>fltow9e^>50(~3-^6QNEXqd%;=!63`ST+xXlTy-h%CU! zQ;D+F|i-=xHx$ZY4;R^J;p9#t0RU$CczUW-!b4KpD;&40|FC7MLd zz^ho2?IHt7uq)I!Ju(_8Gik6MmnNIh?k?`ZfO4K}vd#$C9(We}vN6pxV)y-v4_)8N zvh`rv@gnL#X_8)L&>eRU+H6C)LjW{bns3)r_|jQp3pNh!kw+NU1wK5@eEe82D}(Rw z-N7sV2KU7;W7iB)5%0k>kTpIela;|mgVC)w+7X%|PuD|UZ>*MiuLrYfZDnC3PpgOy z1fA$R&n5!jYR9IboPeg~H&CXGl=59djlQFIF*VSrYH-=HUR{TKKdN8H$mv$f$wJwm zlKUS!sGcT#asgIbf(R<;wQyt}90k6#a3Ah%$Ka>2b+Nz?mNzjZ1PvD^&Fa_|a2s_Z zk!L@*4c@tyA}$Iw89VedAZ>eDZ9tY6Wx+ntOQPwnW|90@l)PG`SKXj%}SJmx757R7a*6m}w16Qg1?lw$%iz4AG`IL~GmW z*;y@TOtx+My?^IQ_%!j$Hu@=V5e4jM=*UFm%aLDpH0x$U@yD+J*wKPv{=!cyfF1od zB{}|0DC@-{@DJOQ9VCeQX>j_b?4ZP{&`4I+E1gDbs~vw$SxxX| zlXpcUH~D;eF^xOfBc+=)vl+nvB5Kz?)FS?R3^2v?2WqEtr{dXSyQYxe*| zzH;jyQigPRNk8<(^e4W;eEq_Sy9usx6-x@irBxfu_#^>aRX2Z1n}^b?M|gqs<@{R? z=Ue3u>1bx(VoPrvAfkolkB%c_^S;6hvc1_E*7kRMi}wtIRj>jwna6QVmm$CbCNiJujYk7Z?hrL}sVl%qP60hA z_zOjNWsf(F{b*uK9d_E+nfQnvMo+i?K0^&pAf3Y`s5WL#r_R+|B$MDGUhqsv=Et@QpnQ;TjJuBt|{fw ztd{>-nK)lz9=|`{OS)yX=Rry|oqdLP4!OX0H<#IiL()tc`FZeQnugCu$HXOz8F3_~ zjWwb=5UgXSc{j_@vpS(zcp@DZch2PirVac?Uvzxr6Scs&x;f4u@NwT4DS{0x z%kTc?@}77-nM3X7z4x5rW^_XprVmEskskI2rAww1-L219Kq=@zS?TikN832O3(D*- z);Ds-3zoc)Hx9m1n=>$dHagwumFD7ZY3u+@O4!n4V~26!#IB6MTd$oQ4k|^~R;L%a z>7z1ymQq6heOUsW4L}Y)iksYgPXI59+~|nQ{e4RM>OO5T%B)PjwRQ^!8 zgv*RY&484rjDN7L!IFF86Npi&kjO*RGlOf>(3P7UIX<6!51#4EA3S%=)kH9qcBy9N zjUc;{+CA#6X^bHK%TOgo93IW|+UX8)8eCRtB1(yb+=f7?1^9sE4rl??&;~As=k~4K zs!8IA8W(J8sHxFPNS5jUz@6p!{8Kch?s3-sxmEn?*$>nnDvbJs8c6*_g;L$};NhL< zG`ac$QV&YpZ09;-7X%YvJ`uf3wgh8K=6R@e$CUGHZ(X-Bfe z(V&MapT6a&Hji5xPp(s%3K}g8xlJ9WOai4je;3M+bR3$cORui!^$k9<`QC7#DpLzb zn?!8@6V@F?iu!jvl$SGGn<=RFCf^8n|L3^N*YV;`KpSjZe913>NhHpsLxfk12YY`ybq*s zwmK!ZorZIq;?$U3RSxl_hIjKBR8!sFk&^Jaq5Lij8hRTA;jjM;os*q#bJKF8y@MgAvhi zIGj93K~swD$e3rcXlbSg{IdQ~T;hNwppgj+ppEy$`;JDBs|eV?d~Z)=v7ndoHu{+- z=+o}cm?jQAr?o_S?+wddG8gyS4O`Yu2<8bcT(Elp(4V|}gN8{kh4GP(s(vr1IR=!? z(j1Wk&b>-+VJ{cB>OVB6i&>6+YLj@z`qIu)pEagsS0K)^)IIB}17;!hE%M@fpPp{s zo5NZI;7e$eKHnYzRcfUSqAL!MI5QvTb!RS`XZ1o}EHbd*qqR=y#8~~(R)Af!o?b>_ zu(jsioX2{HFJFAUO{OtJyfARF9za!rADLxOZ|1g(mzW(? z8qc9}Pj>aZoEXu>OAW1T?4*AobbPj$V_od>!x$^H$r z&K~KxeZZ+|(6RR7*H{qpN&lXWTexV~m1|{~!>{Q}^uZw+n2=O5R^Foutwe3@=@?*o zLgni{lM1autf&Rn6m-(MA9-vfB=f`*Vv!DKRneQx`piLOiFjO|_u<|ifg28yvfJmU zFCa*;3_!}3C?&&mSt_9Lm?jz1L&^Me`yS20lwIYlL~Y#yO0tZ}A{muC5;`U~V_S7* zpsSMEVf_>P{Q-TPxS?3Z>h!0M?}InC3WXJuaiLE&+#fnie%ZYQ?WvgNLdV#(TqG!d+>^SvwPaD;pN1YjXiVs)*@v63y^xZUoRw% zzAY5IY;)B?e0iH5QWY7zm4=V~o#3}O&{)E#aK7VSv6qQK*yER5#ZNf4-o-yXb5Zn- z^sAf;V@L0uJy`HsHrF{u!$y4wbN>A?9`h!%@I!jD7-8xo&0ehRxTSd^Ip?BreVRn4^JhLSK5G>nYm20WuCN!+@x36d0f`5 zL-)|Sqvxb#;rHFFOBM9wYljM=Ca(`TW%XR6C9g_Q#O;t6msc$_AX{5Tnd?0P>x;AEO`AH#@cPi_%>p9=rcv~x7K>-KiHbqsr>oUd7iatfap2uE1i^LCy z_`~oq-jo4ygDSngyielTxq(U+Hsy{ynSBJg=K8@Ah}3)c5+Mt#@prN%NYe)RQ!jQ* z)RoA?n_Cny+pszOmN7GAe1r+`b~|x>LD^DsVr*hU`H{qzSPAzaJYUU`7d{F3;;4z& z@VyQC-lwa_JO#qKPdfQ${PZ}mf%&0=as?}+)CZL!nP>U3b?qaMc~kv5Q9%81V0g%X^g(r6MG~hhU%#eCInAO{%SIUSR z?3NA>)4JYpKR=%^+y2T!zQ=oE#Bc7-95zMiy+>J@#d8fS&7l#Q;hi`CO zk0P!cwE-QrRn>%B6a)!DA|w!d!0<2}Mav>~<~kWkpT%a#j7s&5a1He%fcA~_DBKMv z_Y9&7)o)5RmhE6Yn`+s!n&+>T6aGG8hbscWE>Q>+pG$O))jmu<(;kZgD=DSS#o?j! z*T8|@+>xihao2}Ugi0R9A@R8*BW?aH_ zmP1DLEQM%IAMoXaK06U-#l7~gZPVd`+RdT=Uti?OYeoVc3I1DXtbAiQ*BqFLC2-h-E1xe$kNIBa7$^mAmEE%Pd`7Yvb@ka#5W$Wk=O$!&+^L?C9YrvTuw>UbR>^ z*U?W$MCB2Ak;R|3oWn5r)X;bDZTqS$WXJg}tHZXm3Ck{e(SZ$?y*hio;&~Lb6E)wa zq(qzvJ$Ncc(&Hi5pQgV4S?p&6>IM~)ioJvYz-ZtA{1J^tKM>*iIKw>lUz@uA$td|Z zIO{(uuz$I3%RdNEg`qxbf9SWAAf5}eh$!TndIU7CKbWg|zDo5p5RbV=Zg*|XYyc_$ zoG%(-=6t(Tw*pnn8X=Iq|4=c*bqQU(ksf?gDfp%bF2+rlP+7(&(A}r1Gd}A;R@7b| z`k1IMw|MV3)K;8=ZzH4=XY7quV}EEg^)j=DtCvT-k!)XRwIxYQ%){PSVch?hp!%Oq?*S_i$c3ocUfYaM?|Fs8ptR3gIb3AIl zDjtr>do7+X8_`Y@lC_9bQeE3!5ad22A@{mFqEE0pp$Xnvavc@L{jlbSdc-H_JNUa7 z2cHRgbjlpQTS|ETKyjwUEH&I0wEy;gQINs%A^8cpDr9PG?5(cF&=3JQ96n#vK7l|IX(P0JA z8ZOS%M1xKbH(5;S=UfAU_JZawo;_IjDIb&T8o)dBVU{}t;~`r*Ro+oB0-%{@caZ~suo=E!2=gzW5MT#4A|i_XWA~en)-*9XmFqgJclMh2?z6DuJY`U z8IiY(%?%Z+F^Z5W{(ygzkaxUY$X+w~(yZ1)S&X}q=1QO5)!IDX@Drj9naPCbf!xnH zQyG@7;e!FKs!s>$f}o%Hcz)V)bcViG`tqTx+oq!YerqGrS$2)Ld|FHojs=@%1U#z? zNKvXYtHM|f^DLHjh`+uFb%I+Q+IQb#;9UWLi&u{HC@AVCDQIuZohv`=>guY=@M0J6 z&1;-^2Cv~$@*fz3=;|9zV4)d>Fx##;wB_6>XwsdGFa~SINpqcOD^lHrP+@9TS z8*G8&JqzLmXTGx(%%qCpQ66B!*v^T!l8GV%VL38MC!azK$1}8V=n5nainf1v#PK}u zU}5eI3qQD=vvs*~s?>Jz!IDS*s2;4$8d@=L=4~ru?@%9YRCn3n+^C{hg;i178{hpo z8y(MXv-vWgRIc8jJXR>}MsEai=_?xhmwv8Gc#~#>zbz|d_IOvL^6u;JuEXg{SLpEL zu~U39B)kaoc!2*HX!Bxu7!xw(VEl!mnX8!P$!`@T2qr<1wq$NjRE&Z&1%-L99 zq-&%*uN1l|4JB}H`f(0djIY(!%Nr@bwg#25e#m=$7Hnbi0Xc1UvbRevT26c#VRdZ+u7yMPw~5n~(L0|umfAlwDtYFw=ST3w z8`6?DK0WaxHU$huw)<)bQ=psuc!_Dv)RAYY_`9e|uU1dTh%8mpah}_gN6q%S4W5q4 z+wJi}lm@g4SM!G%{#Iil@nOAR!>j_zG1)LyGhL~RL;EA%IgeMoxDBxGVJ{ihy02>( zrxuwp>(66UFiVKQ`97^9zT36^O>5F3MfsM%L;T85?Nn4m(75=i?Zp&+v2v<8X~`!g zQb|BTV^sRNaCG}X0_gs9WAO**72Y=#U<73DpECmTHvvFBOVgo*L4_-4lcPe}41t4+ z!Bc?1?X96l87w?{>?elRT$m)k^#H8yl4y?a6jLU1d{(Kqs{va(9mb_8-p@YlNEuAu1*2denO9ejKZ4 z8IUPZ0gctGfKpLu6;L|@$B#!GFd08>;GMPl1wo%uSlxDk(d_rf41WW)PJp*<5aS(* zh#m}<$W8wM6v(!_fAir$eD~onS!tOLs87rWRrpl+e*J1-&>uvWoMFBZ#AJLM-fb%Fk=h71MT~GGArhg zY3zqnH!OF)f%szv_c=(hzkA0fd4rZ(SfqC6L=q&!_WJo1;Y*?i`DiEej**2+raJWT zuF@x509miG3Z0H`>i+b;YRn1n83S zvOv;gAJjyZ>{M@7_+k;3e|JGBSN`ib4tCn8JFD*TyTz|o*Agot#&y=Gr{fGF!X$A* zq4I{OQkWTVxHJxinOx9*$uT;TmmzReXIB41CEmo!eQ>nErsSxC&~6$VAfr}@19H0r zt2>PNscGK#%Z$4PJ^Ym9X1k$DG7E(;PYpLlP3i$MqmKt{x~q2+EPbp$xS1ZcYNcBg zRX&N4yLkrNb|OB$**2(GjpRO|yz~RbW^0xD9=nx9`EI}_=Y!Y|hdav9CRbJ1ghn7U z$G3yuZpt}ak^CL>{k36hQ8h^i{L=w}H@@<3AR`5r8^OQJ$^Y{De{b#nSGqUFKitC~ z|L`B+^PwqAt5nVJ2nrW4@$w%cDU;ttQj!6Yl&sd@MN%&Ob&-_0KZ&ILn5O>gXIuYf zVsrB^U(mnmv19&0%cUe{a4=xGG)$7Bznka(6|edR`h`9T09MC8PX5N8RQYS{$+2JA zlX>;k*)-{nvd1coak5QSl|r>*`o7ZEExa#3m_K`z0E!pAUjDK3T<5FwpvfXztvzOh zi=S*pUCqpBV`vNM5-(hdN@nX3LpJk4TH~|m1KVMHT>~uN?4RlEyPLswbpoQA-zwt| z%ul4bulV2~rR6mjC*FGB3xhI1^KT`DURtD?hksaOe?GIj?5#)Yr$y+?yPK#FnT-Ql z!FPCxr3G(ZOXE%^9G|}TFyf5oASM77e=bHSdW4EGZ9sL8@UoA%6(+6(7T;<>U3G}+ z9o?0cIh5IO5WRG!NL#>8$r{c1GW};>1iy@RCHk_PLTXjP$BqIE>rZ3Wb~wM*>G^T> zO}zWAN_o!IuEz{}15QY!#g#K4-eeHh_735b5VPt|e%C7-HH1L@!QEC1TS%Sj+T+Vp z396&nvOBHU)RUhhK3LtMS1j>w?Hl|C`jWx+6Pfzhdaj4sX&^t!Ms4fqiN53(;^7O! z8OU3WR-&944Q=;j^cF<%GNMnPM!D&7%(RoQ<4;eqegoZARa9}nFHQV#M*U$QsAyZD zao|B*-LYTd-#DJ{)Ycz7vtAB1r29!fdnEGDuKIqsR0ib=}>OnN$ zL(X&$xwJy=F;$sM7i;~8K&ie5PKauwZ51OmLaO|t}_aJmjh<1yqhq?JwX$x4i$nTNqd(3jJ;wZ}@ zqlTH5z@gr|Bg!>{A*Feiqs*rp2u+%yCfa-h+q8Z+CtQJU1GU>uKR#q44)C7;`+Ua! z?_OVjaRk_%_^!qH4O9a34Xy%zMz!H5w=^3%-Y@d4^BFw7{5-JjhaI;%NeM@+ip&9; zLGJSa#r+7m6}o|)2;$SL#I}lj-FfuIz2)9tT){S~+zm_BZ*2Mw-#G%_l3i&ugT|0l zkwyMkl1V%uC-?iH(SNxYf4GG|{^38MLiLwZZ7Y zb%vb{93yHHzZ51MQy2u?!*vW5*ciz79q8?Ai{Nbn2{A_;TSlZ_-qM;ySYzjb9`{uQ zc^iKD8|VNpu#LFK5MW6n)1qgbKY`)-4U}z82l&Uhso5U-8DD<7n-`tlfTTKteL}Q0 z8kkQY6yO?C;Yr}H4+jxzrEL`Q3WWHS(MRPctkO;(x*_ytK0s~{EpFQjE%j$n>c+~@rZ@}~*83x!C+A9Ik>eq%u z&G&|c7|@Vt0&;*~8xlr;tsyb?dqd*Scl+N_()`aZoEY>fYV>z`Ngy5iL03$wZs4NirvN4-g+ecHR62%eJJjc3UTHLgQXCGlcy^n@D|(unhzYjSuy!sf|GK~ zJnDkr(YG9;!^iamc8#42{YkLmqN&SSjy|vf0tyHcDx`J7O`(jkii2L4KUWp^>OugT*41HDx~m$eRsPPSg1Q~;UHwj>CYTrO75K(~Qs|tF7znF&3`3BO1ZD5K3 zZ#2f%kTPW5-4so=#s_>!eISQUujfmlB1q#}rbz96pJIJa3A6X+>Ee?{Cb_nHd!OJx zNW4gHPyF;&w32I&=H@(-y{L@@(2SqZL#r7=8*x2sxNWc+)XZuHvk1@7g%mAVA%{Y8 z5Xzof1u*Z8C77<+3vekHd$4*@AtDt4R(ezx;>xrS(Rbx@A~PRSpUkdaRLz;UGpHq$ zB5o`_sGxe^pzL<#9C1urQI_HAZ}AroOBB{1oM;77Y4}FI~^*zRNRNj~hR}iQHF~}Sm<{0_Sgjnn3kCq|tc_=;KV^)sykCEuvSEn96m|{M;UEGbhh?qd1{RaB1 zCc@~K701Z;-U?P*>tjhfqV{*BkAMHV`zI85e+*pz2Kp6U|I4uYXK-&p{mL`nnBx}x zoT>+h+&#rx3SOko+@MyW*$jHiatAqY8l}6Wl-{Yb7}W5-cR?@AL0{qU*aNwS8VXSW z5`9F;moDdIpu5o?_~nWfL+Xyhlg}L&fJcw#+j(kGpC01Vo%wfU_Ww#y;y>+`e_b#1 zFxYn`#227zza$OKGMQ0pG zGGGQ`uOKD_{_MI)$~HESnTS{uGnkV{Y8i+yo#k=lRbbDwX}|sb>#TsLkHZT3m&*b> zO$?2j+z<%?0_j`>1;Lp1V(RrU*r=9qObJBtB|ig(An=QTZoq%b=gdXs43Y?XK7%rt ztMCAp^qd-713R)`+IrxD*_2RC;if^Ed5P)S7LeUFvB)n?8ti-Y{cf^@&$lOiJ*23{ z8a>T5dFm(1B~Z{r6>3ZNkFI*Paa-$;qXuw4X=|&I*8VXY-M7b%X;`MG{S4wsym|DX z%+*5KI`}O}W|nbXjHI{>zlv-1{aCY+)XZ6k%*4ozrYxQe4@vDjlTQf|%CYX?#H!tC z5nJ6L`qR>POv86@FMc0ktu76df9e_lDzX$DagvsdkVa6(sqdLtT#|)>J0O%hF z7X5)CwGLExk#4_VR%Mc0_O}*kDe#pp}nRvPHQj8n19wJYF1E$3(m@v!Z{Z|fdV zP-Ey!rXzrL4`f09&wg0<|I!a8duCAUh{{^G27KV|N(00lydA7~A&vwVbE{`R^uOwx)VTSOZ6{K&?nhtI>`VD8O5~DAnP_ zEz`6wAl~lVb$4a4k#FuUuR5u1I_Zg}8Y`)Zs~;o3*RZB70!6gYHxT2@IATa#NyuZ; z7D9Ex0>nKY3@tD2ptawyInz?=8)#wQ60^|po!R)t5KxW-86{1%{u`*s;%iDxurGp` z2%!4$p4i3IKlT+Y^LlMOZQi?e{af!;XPuDv%&l$&asB+F2x)G%DK{`-+m%YFF0ZWN zVt=KsZe-@-a^|}cZp0qc=|R+3qc0d7^hn9e;Ew&AJ}`DBgBmazpr?=mNA)6d4LiiS z$ewxh5lwx^#}-iz1-n(NbNn5mF8F)T5ND#k3aLwtu!@z|}% zHtKP6dLJ{3e@%~Gn!gHO5cvjTU!_961H#2zK)UwzAqDn5?x+J#L zx4_twh+X^uWCQdwL8HH{qy$+6o__&|rX1ML5l_nZi1&T9Q)V%=@{rs7u&-2nG6(t_3BXt=kl$z=FAhSg3WLA)xx9In+TqJ^OGlT0}~0^Z@umt&2p~UxIQrJ zZ7fMBw|JlG%DwwWvAolw*R^h=kKTov5GNgpv<9txmIqojdxD%)`auCthK8DADz}i{ zLRFSl*( zg1d7$Qm;=)Th@BLPUnUP%vmr3$sRU!T)O0m&dHT(c3>U?gKv^=hopW3WlV$&%v1ta zHpG2^6%}m`DY5DptLmE%h2#<5k<@i!DwC`mZ(eQH)?vSSxTMO@`T*PckUQ`)gp9^4 zf7j~y1v`C8SwWs1{1NUb6=9jt#ch|9w=ghbHCZuX14Z5F9kbF8_7sY;cGlFHzAKde z0_YzF$aVy5f_8Ac6UP%y-K4mnD$hB(8C;RhU!q|5<`wPKwon(Ec0D>;W(FV>`9^C0du>R^m6f34yryl)39 zB4mTg=h!+CR2)_SYA)LBLeD3+=H*ihZlr&{oa3ruq3_U2KA)(SayvoNUrR751$8P# z^%(hT(=+WM2WDf)*J-ym3hvlJY`|0kdd*yxBlMy|soq#%2 zI(cs`ERMDNWV-CmHirFRXik$qX~Iz@OjnOopwZZP=`7ic>o7rd?En&o6RTf%m&3t; zMaI0FYNx2!bO=^xnRBw5KRz**91|M|9kz+)W~ZUfM7fy-M>$~f*)=nkA%lWt?N=Bx zL?=p+o_++Hu)P#yIgh?7b=~ndgKVeS>i36ig~f$_^id38X&#B^FxRL7 zmv&8#F|g=iu$!8oU9S1GQ(znPZ0y7fNd#)YM|t5~AI?h;Q^gh%aN})lU}Nvx(aAJb_@tgRISL*1(SrwSHK`D=()q z0mlrA)n%)1!WIVfh#41Vi`7jJ|8x3=?aR22ronfmo^sciq>YQ7Ae( z8gDC5@=-iry{BuArg$k1pt=zt$8C+h(AWJh-$klFeU!MUiGhM=Rr1%7NfB@7ojpxt zVS>9vfd2co)uP@jLNnxcpnZHA6%E9&?Xw3(3}7katmyR!PA2m$W&q@|M!CVTi9f&Y zNW}}8!eKqk^<3!ep!k#0ZP);7N$MOc@H_Dmd_ANC98zy@*qvFry2`0R=HFmf|CEB> z#iRxgPXaZ&eaZi9(F_ivJRb6@JF9`ffcz>dQeav zz2!%-I#JoG88Fq@rJN9P5wJB&Hyr4WW6u-F<=9l~o~g0c5YMKu)ARpogIU}@M$sT@ z97-Im56yp0m?iVg!G#~L33F0hnH|4XnIDYv!49uQ6Q~neSzl9j`qlD9XCz*M;R&B? zfz8d^nkD=Ki#}^rDY059@p54!+JmvB`nFvX+{D zr0CBE^D36?^Gu0&Zfi@|$1J`|j-HQT_iNMB#lNlUOii6ml#5G}W{t1XE+wg~*Nz!~ zN5P3NK%7QLiF5fiT-G@GwF?fA3kbJX8&;bvlYMirW5&rXoP{g0YE;XNeTrE;a?_b@U+O!6Ibt28@)C;44d zG+Yfb1A5FO^;&MrimuGm6dKf&0LMrB(pM92X!bU&mNY0&k`(Nn<0f4!L^-&5&INz* zbItaGG7g9#B#d9TpLZ~Ibe zr?b)Y)Wb-Az(GwI_Ce?MO8~Oi=W@`14U8fs{w8?po*IiE2mC%nGSF57~RorwtR&O3O=0g!hf7HBS}7JtR72_+Dlm&MMC|`NSxEHdH?8yvzX}w0qRWzISA3nr`LdhCP|>$(m%xO6tVFK*AALSa6yDE~H3WTTvkK5X^;$_B? zD{zIsDAUvJgL}!vIPs|hY*2^loBve)fdJeQLf-`%()*biB61@}k-D>@O)hRw7xq38 zJHtT!Xz5@lSWu@%<7fTpN9gUcU!5%~Wb>uC2T3;_mfc#d8B2x0Z8fL+30vghgI$6Y z1=M%l7fF<-Raa-A3DL=|d#z3Qu(c)P6XMX*JU&Jjr|cf+$HDe3Xic!Vv98Z&CKSzbiNq3Nr_J0W6Di>m(jP}lC;i4oU4^;F z1<-(z`=AWUj9Zt3%Be{Z9b9ktwc$)-UZ*Fm2g%znW>` zyPd_3H*THuxc=Gt!gq1Csf3ZGz$TkLAqW7Fh?B3#jIvKa6E3v}W2I9LRsm14Jw)}w zU1YYyVTWXXBz!~$v~iI>>L!0hS6_BaL?(sxb~g(4R2~mqpqe&0oZt*El76W&95|_X zmRZ(Z=ZD^3AL7Eq8Yy?W2@d;u+Dw9z3E86#j455VuioY0w57}-T}lqJgU>!TqW2~ zYAFsV{&;wL5o5g6?JLll!r=UecQ}{7kf*GhysEwb2c=E_ z?IXKOjlpgL2n&}vj~>(C9)WP6b(np(C!mJa5Q#CP*F^2$JOpqc-WzxfY*w$+CwgPm zbk?)Ok4+P5i?^qw)MGk&BcVXokh}Hr{bA19pOgeB>~HSPdM>Ef4Xg=rH3tG?7tt-< z?`9xuoseBNS7a2T9oBS`bG?#V;`6@R!GH0lcJ#HqQDv8&Qn*k->pTPOWTb1({B@@f zF{Pn=X>t-2H#zhBhZ(?2AiTn=OyD?dA8wl7UGO?lL+aM17hcFhpnc%oF3C;Fq^-dq zhjBZ$$#VLWsg%nxqfcpM4lX~EO_pytsFX5a)Bmzs_)GVvZaaXloD+yZBI=VT z_nxFfDcC7_j`U{NS?8yzVYwk*U)Rarix?}F+BIw0v*W={n#TIY7~=VSTMVr>f4TsU zgMC(BZs1h8EhyC#JcG!DQZfV^LQ+plFLY|M88b}3Lxb#LCTeOkGINP)rH20eq3d{P z?wJgEN0@1~__G6|fTJ_nqRY3f!oFQ z&bLz;mp9H%zD9m^YA!D1?lN@ECuF>o`gYq4O3EFuY?#)C-WX+0mL3!%k59zOS&Voj z_Z@7b96EH7m*F*5%L$;CqJni~a?Ds(YO%jdx<&e>c7uXlnd&)plAu4EVf+8WqY=Hj#Uefjoq#nG#DDK+?%ghr#6Xv zN-}y%XQVGCZ6p_Ex77l^hs^We9Xhu!M}kKK*}w%a#tVS7fY;RZtNz#@4>ngiaj-6+SXdOPsV_Qw-mm?4Tf5kP)9 z#~b*h!!EV#d`ykz5s~bTy0dAgtB2q0#AO;_oqv?<{P-?ooD=t5)t)DrPO$h-5oY%= zwvHcfsaE1#Z6o&uy9%1K0Thhf=^g+#13kMb7qcuTmR>t>QvbJO?19$>K>52LA<*N^ z8fojdI4lmF;hZHputy;Q*iG$Cz zcR6%?eEJa~{OlqdKmixDQ(3hcE`ejUw;MWY=Uww>xzraJnO6ZjGhS!R@Q>0B4RwgN zrbZ`@#o1(?a6Ee8m?!-XBk#{h)dt z^`65Wr0+p{9B+a%TW6d)5A1?44x$i{{G)bo5pkAz_I!j|+N;(eeZ{p?@tUQ`+94U} zEdc&s%U|g2Ztn86q;-}%6&S+X7XYZFZ^91zW-xcT%-$rX>ty(6GRRClYg;2rA+A4> zzkr5|yT0Q#9p{p@Dx2wD7Uhm?nZQojp4_g}t*u$wA4qm{`+R&9;FvN;%<J$ku?IfoCyk>I@X+e}ACN2c_aFQWHf!j{I39M%O z{-w!8MY}3wx?KzJ?_U+!ntC-vLs{!FWUId_TOrIk-*B z1bQy*p5;QgFNa{IQN?iKYuUMKwfzKvBkpy>qi%&oNn;6|Oiwe_nJf0wlcXI5$4dH7 zpGWXYR*Cu33W^%%1IoH^;KXt5`QJ?M*56I<{YVhLNmRA` zpBlY><7giSq{a2N6)*n1o?3*#%oQ8WsY9z1pOHb;X-R!YYhAnko)lRlv3HV`C_YMm zRibb^DK~c=#%r2Jl7!vvkXk>`=$~A*`Q2G|Kz%da`m3ezQLt}_;8fW**GZ?z9;r2l zZp_SV(O11lE1Cu?s}OpRylHH4EX<<|2F5$d8*Gl$hnw~(&N_*P!O5T|#IW}5;S0ao zVe+QDbHIi#TaS8hN1uq@~Vx$ z0`dKtI5MA6?i<4-|xGaq6&}dMOw0di>diyV-yGP%{?Vw)ekxB>hHuM zDOJ|qsW|vdxhuet1%Ea#XpSy&(P7Ssdkz#BiY^>}N-D$(yVvs&MR>ylieYny0ozhl zI<8-oxr#N3?%AS%z>{UC8fVX=g8rbt9?Kbjz|mP zW4yqE-2qq=o05lDV2kq55+H`VyYc0{MRtPL2Xc-m&xhy1&=+7h|MFLl%v009a*hXh zIYv*kWLpv0?H{!po6YE!q;PUQm!#vKl=wOA0v=_+@T(L}`Pgu7MW!^G?xNr=LVN(| zQ4AMaQj;w*qS4zOhorsD;`j5w*I1T_ot>@^Afx zVnI$*&HF9P@&^A{hxURJ;r@WK4;w-j{_15{NDv4IPfCM#LJ`LgC_Mz@plj7U?f@2q z$KQ0~eF2g!{Wb~cIBSr;^JQ@X!p@tSw6j)ePdam(Tb`D~=PL#o9|$HgMhb#1LTjN&$Sw!VVXoJOT9(t58lB(bA?{SoLA!CV?vG0*w%{(d_6ZE8 zs?&t0#cwRqJ@mYA@MJ`ZVGyL7(uBAyqBd`gcm{6Lc@O~28;R4>xWGm%W=qYz{_)B;_}(B^*1-2Qeep+Rn9_v|m>IOIKfv+1@CwWT?pIDizv`@0DZeCjGLBwmiBL&Rqm>Sp*sB*NI0-?dley1@EU@JUF%T9D97kBmi%=j8aw zbRNG`=EJzJF!KT9+yTKET^-j2@07~#lqa9>?rQH3t_Kgb%;3Wbh|gwU@@^jcMIaAm zp?M9EAwXN1f=)lCu260*gUdOt=`R($8JcKU+RX6Wnb!WYL~$w8??74IiZ0`uqd@RD zVa#QwOd3c^ecW}j7&9vkqO7{tx@<~W0PA0h$#;ZfNt+-E$iyV9$oVPjRr!}@k)hS#pq_LTKk?)ciO(b}44m|{*$+pV0u82~tfSIkK13cUC0*HXFJFKWBdB*r{EsFM8 zxcJe9Q*xLU$M%zK#WnQ#scay`mL88?53zkH4}64xR`$TsYhNs4zFRf5s7HzByJQlUnTaW~P&i zZ4P&pKxj)2>ryKj%ykFjH&Fk(8L$-vU0ebBR2??WPz*2}&A?mli=fuw2&sGJyvs>d zhHv|nixGFNT`at(9xz6v2asfq#5R`z64ZCE&EMmjm)~*70PPpo z3I5&sfYOl+1l#l8fky@4Kwg707a)25I~si|K%?In`qu(vHLn-3pQZ(w)utSOV|3u; zbygMx2O>@34sU_>gD0YKP%{ockZSXfMr<4PMK2YGQzJ4GpxH>3p;UoAUR5Zs`hX49 zmwg_OJpObIA*+-<0$R(bQCK2bq8fK!yr28W;*#{gr^b7mNs@%YLe71+jBx;hkKo*< zLf9d{YZpN0siUo)d53@D0}eRQUFtRs#100g0X|Rpcf%9B z0v!TDK2`7*#jO(39fT}N7|TPjT;(CGxPHxmE2fY-3AbN6{qwE_!DXQH7x%z&2~h%E zn4#S%ppkMC0M?iVY!7Ib{@3v-|Htu7 zA*9GJT+%^e5H3VpHJo5PZ9@h&l^(ciC7uYyc2QewGSNLHBU@U*N_Meh4|$hB9k;~6 zl!7YhplH>~W9O(*2&=f`OR;!c#(Xs3x-vJ=VkuiUIwHf|M7O^efOe}EwcZ5gUJ1DTLQ}tQ2W8MBK-puCVZ*cd2HHUq@6&GZk`2F3}*u_G1FI$PiadmU2%0`gB7*)W1N zC)V1LB1`yVs_YF1uEzF#e%pI5oDX;u*(DCr<*sl}TJjqHP!JNdp8eS-shP+{>l>M% z&c$e_R3H*m#h4&&j#fkxgEkkNP#pEB(_+r2tNnD%WW~fV?I*jrZ%l}xhh|e*lZW~- zo?Yb;bAg%ax6}^>pH1X*x^C`G%3DSjafhSm^DF17T_1R7vh zzk^#ZdwxNk%#}7FU4YtEzf0EaR+pYF>5s+-bC`~i@;rN!ryq>PQo)HuT(+RrC_>B| zuUt27sgkE&lZ~~}Oq=^%wxX!7Vkp8a{xRO~eau6V>s$Nl*B5w!yZz!~7)TE%F?Lgb z82LtC*;H;B=U(6R?pQ)f`pGSaq1N59;7p>wD`{@;%l%34WI}qnA?Tn)=ipae{50qg zEadlqB3F`dSqKmoV&w9H(K?ltj7^zJWzSy1FXo`+H~!>pZm^_#h|G~9IDnB{$)Kf#q8Y876Ae5PIGQLhqxc6i`wkolxB{{uYLF3 zp;4tj_r%pq_s2PQ@?E_40cd)sK=b*c-Q9(RTRRmqY)H(1QVNm9wg*l%6LV zCQx>8u~)7t^!rlCeS4SwE;wc(1^Gvnx7aj`Pb`$>+HVT}0|q;iyXURw5@n*u_qX_W zl9FJBFhW3`yU;UQd$SxE9|h1ysxZyIMZ30`MG;4QN;|B zjW+ko#ikh}D?!;G3AanaRfVMcGcyvUQT(vFYRw-p27U6iVB@b8-QN2BH0qhZa$BhU z(g)%BY%1&)ZpK;D09Xkm7m*BXF4*fWZOZQIR&m%( zp2m1>(ffnB#bjDNK&(*HAP=YPdl;Pcdf2Vj6F&iz8>nw?`K{~sU+?fb zQ1K7mTcU);A^%!}4*AClbOFF9|GflV+oR|8$$vZG`2St?(f_^(Zg60q0p+d{9FmUI zuJHS??Dp}p9F}~z(SkX41+EEBFipJ*5)Xo(-&b92YzpHLfkvg20)G1r^3BFX^phiV znPGm)7T3$7sChBY94`=uH)`G0hx34k6ZwDw^he05AGs850}R z%GuJx)7|R56E^V8#oQj7i%WotlM47ID$1d3*EhNApYv<@`<<24N_} z+RDPk((3QOb5U{g^YC(ti~s#bnDSAbx_;V(a-_AVLOs87TqB8_3!iv?$ofT2&7S-! zg)4^#)m~RGs~|)b+Rza}n`L6XmS6LR-L~I`a4sLeHu5&Kl1o~Ymbr%1tBXHzFGNn) zm6nBJ*W2wlB6n#M3OH^YZD$P6RGP~MT(QtQ+MC_7Fvw}n`rdz{!`o@(7?s(egq4c^8$*+KipGH#x;2=lfkUtGtzR&+qZ)_0Wa88EY${Mx0B_i`!>p zQp1mnaJ|`$Rkhz-miN+$++>^{ZGKL;OHGO~7v+n-*eRQ!A)ZGY_l-ZIyI?g(4c1jK z#FI-BzyH~t=@K7{JB(et#t=)6izqr7Cp@@Qerr2ZhO04mvSs*b^#BP&!Uy-@o(Y@4 zO_YM>tO-JkVg-VN7O0yHofO`|A98{TBK7A=Uv)TL>ms;GWhLp4{Wd6$LxkN)iXtO5 zWtldECJ7}G5YP?D{W^&%m z`j4edVoJRMD0_#C;MK(Px9V?T+?wuiky`fW%gZ^sKJKbDqT;WG(Vg~H%3uB@iC5~K zRXeh`G*t;epU3Nil0K(>qDe%f=3bSW@QKzx4*D?8|738|U7C5|r9tNPUDe^ABpoZ) zz_YjN56Uabc>eLctF}tw1!WnMEAn{4m8r>ck}@3rlJ=yDRXSbODhs66xXew$TtQ)_mBokumA(HXmzOVJhP|Z0jd}ZC zwo-_E7mr2BL${R9IK5`;%HWj|NurkI{?|^PLTWsp)<5%&<-lR>X!2viLbpN+Bdf%VS*bOsPT@~5d zW;+5q*5O&$HkfJY7~JTfuSPCwXmq(YnW=d?xw3wU2T4+THqZ`Yt`|rjM|0Q6@6fo0 z*>!%#WsukibzZho&^OSdfrh^4#FV}K~D=NOW#qMp*E$QQ)LrpgfB`dKD22&eDZR))RN9$x=R72LgqF*P?9l%I0e zdMjU===ewxBWIrGIy|>a?pI-v`-HAijVSJmGX+$?&>B43R_p6hBxyvZ(|ZuI7Sex>ih!Jz?x{u>l(>WS>MS0drG3o+&WH*$R4a{;GAPBwF(m)bsq&H7uyYdJ@;wY@Q4ILx|a&#OMYVR?!n zWj1W~{Ej0S`FO2_FyBHBH%k3E+%cra_51!Kl4qcKrstAdiA~fc4?41%Wk-ub=y5N~ z-nA5buzp56;OhC>iB2dwKY%=4KzIPQF{bWEC}j|v5=+I_hBi_E>5B|!+B>0ee)m%R zXAV<-3Eb{zHmP{Vk7O?MhIqO4ys4^XihQ;NSD$1n^%yG~nW9J~Q!8G%Cc*{IbRN&o zrG`HQY+{*v;{L|{O?9)zjA>4jqJdGtCGAIwpVc|cy@HvAq7zBeN=O`8J#U|?*z~lz zwScD8;b_4SYoI>b^H8?Z##O5N^`bYkp|1-PP2Cup^GA7#uI+4h=dvM7%$^PUs+>v_p!?5c^9fi_whU8a^+jG{`qwl0yVY;77b+HA- zg=L3Y-YpCckTfw6Tx+xNhME@f$O#vVQl!$J+E!~2gstncVaqzBaqLqZ)Bc8J#c0sV z#u=3HyK`Av#P$|ryR+ku9eL(#Pz^^oe36~8`|_Oh<3@4NdhAcXCibTWmz_NccE9Y< z9o|;Q8W#&2ifKAvLX{1x*9sWJqqSs4cem&L4)ItosX%(XQ>Wa5_-dJArwr5M;Jun2 zfD_wHw3&9nj5KwZ)_M4<5B((q#`2lnT!pwBPBArB@LvUPX*g)V-;^VMX+w&2%{NzR zFVLM=)9UGYvEbM?c9=<>M&VY(!9e)3%&0msPA-^SfXg}46N-ADSXU4d-5%16KDJpA zbidb_*Y`5Q^GTkDLEc8azydj}6}Hr|zERny=Y4G=SQJavU05*bvo4tW1-nP3mU!Uv z#4YG}okIxS$J5P;A2W62oyw`rD7#wKT&_mb`iOz3vDk^1x>(B;ONW@1rA}^DKy`WW z2QNo$gNcd}9R4U1!qHFsI}|#?yI=Xl+y$pUU^h^qYW9Yzy|}!|4RK+KFO!0B+AS(TtwOlgm%D2|raa<04lJ6=u&m9V-XnvN14&eI**%xakxnabtw z$9-(BF~k#U&M5Y6jPkVaZ(;^qNR?`;BG+1fzx7$LDB0aJaSqqd3MN8waL|+rxFlD`Lx*42q zWy&@^H*hHXp`U(JChMlLe1J=i>ESEllfdoMRFOSXyWAGdC{D=T!0B|AHvXzP7C008 z?04uj7i|`!zxb}Qiid7qBa?41R5>Fd-rcfsnOmn|G-P5f#9t~Kq2<84LZaM5B^Qr_ zJo0#^DwgjirQoJsR{Mtsow|ED^yjs4V?RsK$`hps#xLGz7P}*{%JUH9Db*gGTDILf4IID07Z|w|3PHr||vu~3n1wXskvguefcDCI-FrI(HJ<36C zB@G{X*^Q^=L<;|x7sTL=tEQJ<%#QZm9WP;eo7j}< zb`$)8o3umgN7&cmI$8n8o#$4!TOWy=pRm5s7XWWkpWU0)p03r%qEI>VjiA2zP^C^3 zY65`@BtO+XWJ>N_vXR<(#gY^XS9!EI`_el$4+x?~vjJ2TN zp-b5s{o;z?vSo2N<$ z=LtDxqnb{5lgVu!fqWzLA@wIV-<$e8x*??Vp>7zAP5-yu<_QW4b@88O zza8@nK59|GA?k96ZoyQ6+e}+yj-oPJ z@({U2M;&^hsWJgp|GMT^!npc@ch+7Z>WCM;w%k&pqs>Kpi+x0wD1UG;`?Ki4r1h z2F5fo4(haV*z=`h&p*eK?Bye|-Z2qc1UgE@rX%}&=X+$`D1K$O1T>%Hh&#si5~qt1 ziJ;w$gDo5_CdD^L=A!H^P<_HbUrY({gP3{QH04nQ@Ouq9uyG}oY*7z!?2ee1X`?Kq zRwR{DX9iWit7*K^REUWowQsUlEFR4`x&9N}ld9=;ZGG02d2J1y7!Tk{aGqhiLFCni zeb;J5A)RWzhko2hki76B-(v4v?|k;>Y*#{j#O30Z_}Cu2B`~D+d=p*jj=M8;3Ee@O zc;R;g31R6C?wQlMONl;{4iSq|iamYo{IS+;U9JoBVv2^&SHDA=CWG~&?rnAQFY$fx zMe!c-W+LLMZlzTTd3e{VxT2#STUCbDjs@FuZwPlS+$6Nm*|{cbqb0?$?7|d_X5IuA zCZXlYrnrhD!v_Cq{7krX^P zW6>IN9h{2!z_34W8KPK`DfVB(D}QywG*Y~Vy{WB)B$HFvjZzHhCR&|nxd?x9f3)`# zlW5eXHFc;}WBfxC^MHW7+~$bIjaeAQ4K)ooV^O2c-{cjp87>&b8LMm9rhag?Q6>j^ z_MKyQDZvaXi)?O)^uF-cw-9o|kFbqjVt`WRE>1HdSohxV#rFw#$Pkw+rtRk|(V*2r zri2P(eP~r%G(lwAqXdEB8!s{TFUU25y=@lyMSFb)1uN#XQikR)4*d#3XASf{s8w+| zXm$bwX&2tvw5OU&s|X26Gi^O^<$Oq(=5@=?!!!CC0VzSGf~oBHV+b{J2_K0EUN;*S zv4#w&{^C6^VO67*OD$42!A#gJwwK-}7-m~Pq@nxw?GxQ60@U9HN)fhO zkt?;QI*D(;HQzv{?@#xP|q@PLSvm3_5g51*erH4CP6q}y+!V;8`lra|3 zMwd}$Q51y{H8VQ96a!-p2T8Y`?Gaga?0jzQbfD)P6N8kS1>HBwzZ0m~WczzCsoh+4l+B&+d9AMw&8TuGvHe+=t6Iory^_dElENBRf!uw=C0@(aF`EsC9tKf2qNCbI`&)sa-sv zo*@6Wny_+m?=6Dm)IvtU`!IegJh4*;6+jhf#|F2)I4*p3AihqlaGj#bD~3Apym34* z$SCEaa@jdD5GmUT=zC_W*&LD-phn6|0@RFqSX5dFI>N+HI({%~vTnf?v+>76dz z@{!bGoq+}7ANTkK8^xJgmxf)dON8MLn9q}SLA&Ax>OU!(YqUblcnm|^SB(#5q@28P zq|1`)Z_5R&{hADtnQ~{odKiw^{U~2Pm$hEFkCRWbZaMzdFkdHZXOKpEP>G9Mx=-s9%llYx0H9QmdZxt$(lQz zDh*8Abg%658CR?oqJ+TR&l9yN12HKoPmtVd2l3QDid;PGZ$84m;x#*A>9Br)uR9<7 zL{f7!6By(EjIJxrQBa31$Sb;pCgr?dz#DNX^raf*nT<|{IPS$7 zgMGqAc#s%E_(cM|N7yRW5)&?;wws-7Gv{J{j3qbvj*VZTzf6y8`J_}|{&W<3q_=@! zoOhlEp?REtO0K9PrM*J3@VJ!6nDQ=sX8rWRW9IV}j?fsgLx8QO)Ifu~%}l5qq|l`- z74qG_-qzNRFZNQQ5k-}%@9Dl_&r>odDP5P28X+$Wk}$dY`Uy%^U(aFT`7>@*RBG13 zY!=+=Q2Qd`Yd)E-wZ%13a&LUQAhzD*H+F%)R@6P&ZF}Ei+tkFpRH!<(Ymmc^l?O#d zYa|)>nHHL6SFAkk*yQTB+@8S~ERCne>l1P!f1PmIHE#6vwQQBr0=m~)e7M4Hf-ucR zDLFMHFlJU`VgqGuH=*C+xB&Dcm|bF$LIPV1bCl{H-)lo?viD@4VGs5+oz_EUAGcxZ zoh^+}bMkDCa5J#7;4dW&ewIR^92mHq4x7S2Mwu zlM*}KroUIhs&2IWlY?J4!PG~{QL2gOu0MAyXwkbnpj|__f}g!yrH;+C-uxNbTx{*y ze*MDS^jPdAn7*aILmaswQ3?sc+($XA7poysR+hI*Y& zHHCVnvP;n#=i+{K2mdH?FZ6&G`g+d}Ss_eVcd{D3HY5j%~ylEAF7FdJ5= z9&GX1S3Q+@rRZeu+e+SjBqKg{=dznGHj-WTs{Cy-Dd~4+^aY>TZw1$cqfO#?<5r%e zyuD&;Qnl3l#c}v)O8QLJk$wqE#pFYs=~caoi5?=y zCwb|aD6IYs_H@5Dxoc?OclLU$6cyJ{Ylln zKn1PJ@uhj(mY~5=@wBBO3(0-{U6C@`{M$oel{-{sjphn4>)rZMI*vF$mz_BBi^o~p z-g>}B+unu`$K($~2JV3v4=b^|Uw1EWy0AU=%T(DV4rKDRtlR}`m3Pl;hvTou2jR`n zgA{RgoHf)Wa>}kXp6#Qs!hUJY#5ntElp>z~qRW1q9Ybu7C8M9(P zEm6^b&_YqvQu$@a>jsQ+1}Cg$BzyB=!)$1zJ>$i8xrMLcDI;+`ZLH?*9NYMkgy@g> zL^bm;aE!NuQtNAhMQlSZ2)ghHqXwq!z>1>fmBIwQzw6#DN?Q=7Pw6+$aGam)e|T0V zG{)!OsF*JbhF)C@o^mBe-Y#C+_{VMZwLPG&33lv1HDuh+%h-hUBI#4}T7f2G_>?SJ zr)}6GCyo#~?YxGeOymuUwIyMeK%=2}G>;OO*_Kl4M{|wyvcShTDN~R2ZiF8aAs@e- zD4jwXY6d69`(OCKS^&=e8gKXTrE>h2Q7 zohfHG%8eB~yy+%wTEhk(LmAohJ5Dj1e)bbf|I2qnlwN{1HO4E(8&2@!sj2gqOT_P9 z=kU0eV48uR9Hj@29#s=0iS)E&E}xM2qSQeLs| z*+DAKzLN&!2CJejDWEt}Ta)=ouwBy8xKp$h(4tP#;7=u`YI5P|z0G^a|Ds4Ip8G8- z!l!%8r@ng?zKEa)4=Oc%i8OqEG^nHmXW-2{XG3E9cYb=4^Iad@)Aoz@_A_LN;`eW< zb`(mJ;a%d-A*+AZsR4`~KGSezLeEb=;hs-9)UB|I?s0sR^*1T`-c_MxK=`fP!SuxZWlIAH(K zg?s10{^!R>%#(awQH~#x zr{qTIARqRxH=P&AKmCZ{Kh#`^x^m023iIJOoUrX1QI_w*5x@DFj3behCI0idtCU?< zZ%0Vw2lX`8zi$p2kFMB?f+FQ#VtIm+Nt^FR4|K!a=w2VHs-4Fk-xDDz@ zQN)I#8=g)nq#;l1hy{z|2DLo6yV~lKn|I%$PCxfVEeoOUQ9iYdvB`xltQAT);4%%L z&fuk2N{)=CD!_duG$+lp6A#uI74b?ng|VNu8e^s_omb5AVdYbMk@G$>Mt4Tx{`mr} zFXWxz@6Z>bXX?6kqo@3w=uhbqQSUb$k}GnXQFcB26IbwU71ddKdFbodLjF7vtYowd zpq<80CUKHs&WzD^Cn~6=@ilsJs#~J$8uKRF;UM!_f4ZKHe6@b)~nIy zKXz!?XmdSbMi+WZpHK4{+YPYDj=#;iJ5vfbZ_;SDDz@f~@alD{$NlTM_KLd9dz<9Y?)+%>CBpUPm z*6jQ3ZXHrlAIwyR(RVxhJ}5|^1Lx?O^OY!cu2$q~TQ#Us%j{sTGRMC}i^J=1!|}yA z|I7i!fCFKzdZ+yP;|-!kru)Y(jM=YVO~q@hCa~tM;xm!AkT=th<4!R;tR|bsX?NQh zykE6qQnF8Dkf}d0$MnxHc#?$#xV&aR;_z!Z8>SK3F(s9Kbx&hxV}J|_deu^k&ee!H_=|-7#-n6ei2L(OL9%eB=`Sv?+6I1i(tH=@oXPg&2lvo8sv%y*K z>@gX96)WOd<9Fwt3`Mpb%b%G`g-gORf4X8`{|SHN0ukB#@{Rp2>Z&`UF0%K_H%Q># z|3%n4M@iN+`@(J8wrx-Mv^8y;)poUwnYQhowrx(^n6_=(y8S%w`ObIlx_7Nxf7FV~ z$c%^`8IijxD}OuI-~?zxI|-HuBD0ub2Ix$U%1AK2?{Vq$^|0C|HO*Y&&z2R!Kn}4X zS(d+L6bSCdGILlg^uvKC+8`t~*0w#hQ1 zan$U*ldJurak#gq)nO&V8Xuc-CcBrYLhH5XC}|FYL)r9KV;J4p^sm6 ze$%}Cj@teQF|^G45u~Cyp4{_PWi2DoAy7gEYi{`gZ}ib`F~pC<3WMmH zI7))wRm@GSQ1#P7lA5GAEDz(Hq$9ws>!nMp27j!x6`H5)!2&fZm``fLvLV6Lo-lsG zRZ!(bY>FT&0|KM-lbRj8Sq#z{``lDhx=)_m_CwBX9-7-x_j-MHF^lrGt6+Bh_*Q-a zSNdp|LAFb&S$C#eC_?`GboeqPE^J`+6W>Xiw zGZoUBSlhtnzqrv5$LfaLnQ^5M*o-`X>=7l_<z1f&%|%mwlYiOA+|u>7 z-6M*l>wBw9m1LZU?&`SV*kAOmb9{HJ|%DIAU|8(lx zYfxw59|%5&dg61!=8{mS#49HoK#@QZkJ&PgkvHuM5;UhRII+HE=Y%Cc`Y1@r6<0oq z6(PQs!N|5>d&1GC$U~YMt0y++KSgI+=HIIJq2kyTA6MTf9t+tPSh6~8D(U-9R02SkH2)>q1ewrsXeP)g=DP4kP(Q zq_hxOY8i7`?0Nyjn`O-9dp&h+xjhZnB&VPkkKMEfM{-U@QP|L?kOtJE?=Ss?oZnd6ZA*pIEHo zsj4>Tm3*I8v&J!Na2JahGLEk*+m5A@&ZI(Zyd2DQ98w+?_fo z#2|S#$R}C^$egit9{6`@Z5HYH+ns>7?brFDSI#SMGZ26o8FqJ!2>$*#{bCFXZqF7Q zm;9I=aC#Bfhhr9EtYloYuZ5as;^fi>hr(R|C=FLr{+A$0VR*oEaslX;i z$ib%eF((x)k2z&UW)*2!SzByM-~Xb|5Gbl=_1%VWw9v`{;k^E-iofL{j%+I@3?uq6 zZQ{v%)1#Ob-fw88%|^oiU04flp3CRM;Mxpfo(5!|rt*6ryV-lp9Wg~8+ZE5DWdg;d&~A2e}#S>D^|6o;jRwTxzjfT20K31_MRowaOZrR5)@?wi$pad!IchcUmI=zE?zIu36ljceu2n!7z z`xZk?w+1+&*c~7g-Y(RX2s?tV2viaqJ2 zI3d#lMk>SBE{8N!q#LF7_ut+eu~Gy?pUB2a9!Ua{8Tg~RWU}z!k;^GM| zY!mn1$~88@P>6~-q4Yh<{Kit)3f!1&E2~=N&wd-gGoS_$HYME4V9jJAPS_Y{pO`y9 z8O{(_wF)*fKO(e5EZ=pv!f-R{I-)Ux#m({U<%xXpbIf;ssL4g``ZoJ~MZWHdT>c&N zEaj^z7ckpk2b=$4W<@%kLpq~UZq2R`u`=wupCLkJNNbtcLOF$V6i!830 zH;Tz5A3!GpCRYZ6$N}YtffA{RsW=pCftt1Ba8bWofN2BH1+mjvyxDq~?e=G*^YQJX zHAUYuMp@A7n6T|ocYCq8v{RL{F!w@8&)sV~L{(w?X3Edt33oo~nyVwSs}9*`095YE zZk(cdxKajx$-2U`eQd?*yh-1b9`8OIp8m4GVKx~T{#=Q(OkOj)Vb(1hQM{>I6~aFM z<$!Je_0Fz7%+-IQaFHipe&v{>RE@40Ug4cD;t%Yxy7hrw6=YvIDNb1TN?cv=$U9|` zWpv_~v*b7x(~Ti@=Nr~L zOC++n{iOh{2b#~8D-17Xp5|klU0nIX&mTal?;7r=74s&Bh;nvEhwVSB3=Q19OqZUe z7m21=gfNyE*RWTxH;AR{Mh=v=4Ufcq^?3-}`v2f*VoZiGN$8s!9O6HfpCxN*RVoVV3X;V>)#5KHl2uK2Lvq$-JkXBR106b=UU@czS z*i!JeZp4`N-6+AyNdq#IVqe6Z3zP<*nbp^mAd2r<1vlJQimwRmTv{jG@XaWTpCi(ZZ}#Qq++d_eb5Z=CuRX+f6?zVbAN~qO&SL)*csdj9Mz+6hpR9mPNls zyVg^UQrf=Iw8KJ5;*eR0lA@q;Xf`SZg#JbxgG3y(8qb5lj%2{2 z@uadXvCYfZx^Yh15=tEo>b9xgf@Zx&uw>N9%|gJ_aeXwXHI{jQyPc4Q>GMMY`d$20 z;~bvwkZ+SspL2tSu2L#5g0pDrSCYZbMwkcprY)-_2GnnndBzUWL0G(w=M%b#iw9TX z!;>-rs>5gx2o0Z;YBwPwwIgr~!v#Mgq`K7+3=)xFji zAEV7Qui7P?HO_=FdT~(6DbhH^QSm^WO#tDbmT3~~@BjnDNz2~%_d|d{76NA792M>$~QZ2Xs)k! zQWoX2Ud$A@Klh0*H$M;H5BGIWV7TAr%viN{C3B=25TMT5+7#T0KIg74r>^&AIr)88 zKIclWCzW(62c}nFm&Ti=_tTVh|3+??m9kp%Pjs_*?nzYuR$fqtBq)U|uW(XoRZmq!mLV5=p}t zVu@-+sGa8y-KETzHvSO)0lWfPspH}Qe92+4klV&#TLS8zW!#M$W+&pJGMaX&-Z~C6qcFQw%V$T-Rc-$7T&5O!qqjfCd_;FuP3kw%^Jk3}I6W31 zT@v7h`nj9XJ_?{uQO1`-qqjn*+PwG-4eu%Erwt+Az|J+3IH)Ezdh-o0u@V>UXMJQ+Ow0iUi>rr^k9u?j-kpta*FB`If(ud1d* za~v%ii}%eQ3;_ho03+!C4kP0EZy1q^Gr(4zgoBM)!rsnV-pCffEF&x}Dk4QMYVYD? z32>4JxGAd0ss77W`2r(3n^-W*+dJ7B+5Fq|1v~<E5|I_-VNJPZmU5Am4m4uOthlPZTori>#g^g8@S@=Kwc-a4A zkA#t}rHu#8f0;t^WeY8{sF8!ze^hXC^Dt}v7tQ$32UQOTz`x@E(dT68;B4>2EbVM$ zV`(C6XKn)^`GP_H|41s{|8~KDA*nc6zij(Ia8&;jIh7gTV};L(5`5_cCyXqUOTUbS zc(${Sf+pkTKr2ns1*wI%YTOu47qwhzP#GeqY>>O6w<;%!MB3~p!M^#1XXd-?L2CWI zE-TA~ z&N=5G5rI-O#kuuK{x7eJH3fUJ`LkplQeMEquk;_wkd+$~LE6~O+&Rb%7)j0%NAQ!Y zO@MP=piy73NA~SV)GvcD`S3MqI0%vd~sB1od{6)s!{DCD%BSLpNVH&CfG4z&gpqS$Jhm-tm`+Jg z>a^5ojB&}H!fS#=6qH1FQV)4)vnq}eH|04Sq;)O3R5>FIj*527dPWbg1#p#NhE7b_bHH!s^)h}+rO zI|FrC+1UP(r^l>lUlgi8@I-Fcw|KW&!CCV2INW#j-@h?x=-uVmPN5b-D zm8qrC{~=i!0Q|~X6Tm+M*#8~yZ$c;>LQ!Sw|bWa8l= zVdvy#;^ZJ<|9a=rV^(o7cK#=&epuRBGYfxV4%L*UY24i0nA|OGEbZKxOzdrGnN|L2 z6%=F^v3L4%$-mdiqW7Ov{P$A-r|N&K`j2W+dmDQv6$c{|z?WE2AP)&A&%gfoqItjQ ze|`NW^q;ljtAhk92`Be|{4c@w#s0^kU#kuK7x|C3zeo-eRu0bpkX$6Jod3%D8n5VN zZ=wQl)?ro@lVDZ_xI2IO`)g7FPR^D9;Fn-I(XVM>W&79RU){ysoh4QN@$nZ+Qsql2 z&p&ueotWn^$x)la+wZI;D6Wbzt2%(PUM?-P zljqJN&N^$*gY$FNAZqJk2cP}c6$4!1UP&5JskNr22q;dacJkh7p3*y!19n>48U0vU zwIR0r`K@O$VcRVnfZaUhduVuh-qT~r`WN-}R&F=tmPPdI>r+LX9(hQe+jRYbgVyL$ zMBy&@M4b89i{NU@Y+@&;5a69-GF-=zNcTaiGcCN;__Njp^RcbjO5$abeMR~}Ki$0H zeBPIp{z1b&n|a+UjNp|Ua&jCsiIu%^@#xx4?jpUmdEcuwAp?+$e|C@mK9z+XGXs~r+yb5Z#nE%HEcD+g^An3N)Ksc z`T6>0O6Tbz3-k3k5jn%*#yix~Eb%=HDx@k!$aeE0;u;#=&%W;?LfTmC{c>>iaW&^t zxrsTNoYP@X1K~bojQH}Ra9K&tFbF#srQT`E3*YE(qRxg6X7L;q)8&LHj^&?)Db3IE zPm@5uPLOYv+^X1U^m{zptr!tWE9(Ww^b>@5u@kV1?8X91*J5pj%PX*dW2)toZDtPM zj+(TAocp!Dz-p9>a>2)5~?$Yu@?fZ9iIUziXz&! ziWvL;6VtC@7Q4wEE{lQT*>z}!fjRk?^9@sI@tADpUw;zWEM*8Tgh;z|Ym0^n(cK${ zt8!Jr5tkgX?IAgKKBfh}ZQ9*_f7OLY?cb<9mreB~vz@v_e6iuiC0^9ca4B>%erq6* zl%wrM&~)I*UQ{+ zCx#hXCT9OikPo5i9l;CD6rbPsp(|>LM0(eN7~(CzxLNkFsB+tWN?LTYdv^DEc{84P zFb03PxjQF23&JJ{35Z3ETL zc3_g5DzzeFBSEcO1!@}XK#fjAZ9kJ{KA3;=;lbR`yFnlIwXC#T-ZZrFi5jNeC(0Q)+AmO-- z!vD34NsNGk>aMheWBU`C5}f zq%GH56HEoFV{}|`@h%*a%jXKGsFwox0^;$tDQ)j*Mb-5ip@aT}mlpgG)14|@yXCJW zR&`%t9Fr41eWT)2T{IN!v$x@J#nw9Ft|{I*&vpx1*bl5KpTeezv)=)0ivj3XPd9i5 z4AJ~}cv_J3^4eJBtyc5n;QP>pgOB;WrO^x!L<6FR0AaRBwnglg8WsfiL7%>>X)iwmcig+ZkHD`0+?FKX-CK5ufQ~BO`tAq|{t$i;=o}C6XA`spqW}5l;CM zV&hR-L*{xg!()DXC{2CnLz~gXA~R8WJzdd3>s>)1`XUC*93gIds$hBqt7 zbbdamBy?#h1c!sb-R5h>VU3)qMX-dXpnmSrme_4FG>X|-_nDMctGE)MWS=HlxdXb5 zQ6YfJ>-(6jDnlsBJ*TQe8sE)EYsfgE3vV&x?~;X&Z?PU0HEgpGNsaT^0}aS|>P^@- zeEPdVXbFJVqBdf3#a_T6O!mme?IXc@ww}-f+)zGX0tvu)0<%0q+*SQSbXBZ*XTg1V zGqFfIm8Q^mR)VVLBo}e4K6Wmq85jP_k|Vfe^^u%*?6TL>(Lai(cHPr_FEG}WA^tV6zWAk9spijn z5~dDQnl7VkvOujvHIdou5gZKBIP0u^spuxBS4dt zrtRBvUaMu!GCP{GZCZQa+A_T*n|618O-LNMzY+u3?h)ipDzyA$_BfK5fCc^5g$Yw>ct8-zA9at_bo^!5fSIZi|u zXGSE&oAy);W*&V;dD%K~tE|<&f({=LQAX~sElRU6Yp2qJ&_?#73?@MhlW#9(&@^*U zH>a62Xrd0~TrK;)`6`mJ6~5d=Kl))yuxQjtUscv1)b>Odh0lUIvFF!ch! z5nd53&b2!-(b+hWGWhRULQ!ai>nLkNHO(7w|J3fE2%?zw${o~7huhVb>$nhkCIk7wnR_n}OLyANpX0z+&qqiQO;W#293tDgc_Y8v+uEWcpn}G;j3QFUmLu&4Bx19C+~l=Q#AfV03Zw8^Jm6gR(jt><38n20O*OH1#JE zSXEKsyS63)R=lUm!G=`ZsU&gn$Y%j_sJSWi(Y6#Tl2t;ttHy)6u6(?Gld zb(a(qkh1Spv89$sjTn(qJs{m;*Jb>g};kA7YGOCU6+(Xzl!*-qoT_n47;5;5zWzyqMK3tz2Es%fJpLTr~n)H z#+Mgg^@*=DjKFVn5ub=q_}V{yH4U{GZ0`QFXNMe(pAa0q)S>ZT=x9P-@TB;ur~E;@ zt`<+R97X@=14kG@0*iEb43J&5FIx3`>K-0f9x;aO2ZuFwmUO?fnZyKXq%W2@fqzyE zm|OAVsbbQcvG~!z_V;E*#fe}v2u9dy9pboSsb3ZKm&vuac`MMi241Mdz#rWoi3oH@(!ERmiO4*@;o(z zNK}f1W3lrNtMso=s|in?nXhSUp8%8kDt(8RJ~Z9Ig2oNOeSto=dC{j4o;eeFUY?w-QvyTg;o}#yLw?| z7buX8=8t{5#4|EY9bw*`|U?&>Y1>84z6CFkZeSU&iFzi|cy`!+$+YxvB(FWs4 zq&s#Hrfb;z?u1`JGlcC!&RU#ca!daaxqWHRp0(7=UU}N98Hlxxboa5X#qM3yW$_^b z->_$iyzsGR#Qeh2pn#6{E9@1-Nuc^6oVa?)D3KGhX+N;-dLxTj!}r)3bltMXC?eqy zT}y4w@}?|DgIIndi)jQ}A>EoqbXl;e+YbBbh-pPZyc3V3L_4^3;+{<};oJmNTXM?_ z(t|^>d{T7v-izK*@{nYAUr-#Fwm z-8>o#9qJUtsoW~i-G_`H9^CF2qyihqCb_z+L<$sv`CQOF+kG8FeL3s-=b)x3xl?4V zUBBioPV5*^DCn3`sHw|BQgHID;|5Q5ayduE7Kb0HZGGXr7t!7ANIr$qVt1wzh6a4! zd|P(d{B&Dxtb$`T*D@96chMH7KhtO=mILEntL@bRCkzU3*7W;dy&KJ55nE)PNbc#Z z^EkXIx6u()qD5-K=dJ}C-#D>oxnWd!caMcCuJ< z`}3R1eANJml64t1=a!P0j-^+zGZ8!kfo~pbY6YewP@>>0>071QYrP1CJph8c#b4|= zQB?0Kx=Ey^Q+-A>z=(0spfVwz{j=NIinbN_glqFq2@3XH;N>E($#a<`vmvC*(T%wx?z^{@Kr<8-vT6VldOKFKp;l`==K*^oL(kmvGoi7r=Ba_-=M2) zmty0#5n88U43D1#8d&TUZnljxk^8b~k?|RsCvt8N0?B#ZJo~y;3^jijBMC7*i~92nd77^J@B{$cJuK^)9)9yv$l(p`vd;fG zIsVoZ2(=8ek3SWbkI5nN_GCPMKlJ!n{GEnVpePv771w;`zyV0=%!`6$2+1 zy!;R%`WprODeA}f?giGQS0*)Uz-Ll4ZJUxoY~$rU#swzHo8V(rWqOh}PMn@w?|O|E za}*u@N`?G=IXC4ptS~=)lffjeIC3SM$Sw8b%`k(DWeKO+N|>=L zp!87k>WxQROsNwDH~VI@<3Y;#EU@bDeqztu<7VH}rXQP_!)TF}@FMMB{J*IqO1~9U ziVat3#_3A1lVk>_ac3bp+vPzDUS#}Glt;m&F_vc1&NVm6gwMcScd2hyu~I!k(N`jC zAg?;WY=1XQQQsIr+707Xh4;4!d(hkDwZ_T~QK^fKK-dpc47!c1S7;~_z%4>qcacP6 zL$NaKU%qayLg!viP0ySFkG!H!Zf03!CZxN|W1&s`Aftwstc-G)z5~7jY&Ggyv+QW6ij$ z*()UCw^=bo91-D%!HvV#fFk&K^U`)@xDRaNwH5 z@cX|dH|Jq&T0yjenv za^D35fbH1by=K`^5+%m=DFvLacb z7twuBdCYAqc`&HyB4Heu*RoA51oGpsdG1|2eXsPy8IC991G~ACU`~^xsvV@CJ7n`i zT`4T?smlDKN|Ql~t|y#zQ59{(2f{+Sx`j=I>_XgbjKQHmE%=-5%AS7_=j&{z`9zKo zhx)&A)4$O_-W*?rIP2nLX8G10usIplh#&nxEA65%4RY25KJ%X2 zJ~Ho_)727$(+U3wnoJmQ&hlK2S!Hk1cP+n><7^T~8#V}CMF@R7=8!>f-ZlJT3RJ#< zNg|2;5jM->Us1lN;kShNSqNGL#({5GYLaIyJfUPWe@~El&`Xjltg~xMT38rylpG2PT&jv7+kv^GuC; zn}ucA}g?ErNoyGk*aS$Q^5|Xz;2CE0Q3I#=Kpp64~9O3G6aCc)VOC3vZ z{G255?~o(y+*zPZ;^orWDhlK^0fuNP_w?Vc3EfG*{NkJO9#aL1GzHIsm7B5)2ye zZ@kX=ON~79EwSTPi-0|0+0>DDM$C>@A?l+tL=-6Qi!`EEIDC^`^w(#c3v%Wy+0VVI=t^d@*%%%#eP5e;;ztGmPbq57wBf6xDUfwQ(MQfPO_oL zSL1rloFK!x&)|@B+GLpLw5qS^K6~G8Id{wuFhdivdSjBS1=Y!1&BEj0LEhAfrob<~ z%zjMPCE!%|i|d;aE^8v6UPCI;Ye9%vm&&v1)OCQT$Z{lXq7C{!?+>|j=(_>@=O{c) zZWI)Lw@8FW;Y~@NW@zE!kkO^cxMm%&OulH9Yy9 zO|*Wx(}cL6Xtlf1wf&kdG@<}S>X`8vNjXxX8RZ?OT4ROt)D~HoY|BD|2X2`%Wz~%tQ-HPE zEImovQHV4w)dh9~)43ytvbAZ+bZaiB(tCliRmNG(L+w%H<`Ws^nqi7Qnokg5tND0D z6B-BUHmsPaG zwb$^w!y6Jo=9zM=8<^>cWbQfe?5{7;9+*CVWQDf(B?NwPUj6EKuC2-^6?Q5M3aA8Y z-)OZR3zTEk&jyM|h>&?{Vkh&s2w?%8<816`)c*3AJ)wBTftUbntNeAsC>@fo;`vI4 z{2TT0bT*VFux)cXj2N5WeN9@5Z$lbn*Gl4HDV}S|WW>U#)#>vbLX}j@9D#5>qqs}T z1&tPc2Teeg|(=A40x9t2!lZmenpRhO92R|Ti zIJiW?xR4xV0yIl$u>$xV>7u)Xq+JG=Cw)=jd87L^;HU-f1h(S9u_^M#e)nxUnvP@) zB5@?XLEP3c>s#HCMl7_%2R0TDg0IQ!t$1u{ujDWy!Kac1p!RmFTx?osJq7lYWe+4> zI6nf1ykX5dUoKR1KW7{Dg*rbR!WOWP2iU)fZF*Ia3~Tn-r13j5s-jZ0EZTG7BffLWNIiAV-<$V?DaX!Ur|jPbFjV_FYAX-qov* zg5SO~7m*8>IZ{u>06nP)64wupc)Ct$;*2XS!$EJT*Ii;&)Z8f~SKeWaRM!qUr+}}3 zB+ydX9)%KjjTTa=4NZhSdUXVcb(0`0gyPpvpNb#(_3-ExT)}y~$XC5fj5m^-r38x& zQjLa!3^g;xpfAi0lS%;@b?!lP{_V#Z*;qw_go`zj`IEA)<} zM(|P?jmVK5ytEMhpP1I>TnXG7=I0C+aoraU4xyu{9aRc1@}EO8bXqKenVd4wNYXYm z+1<1^%1{*3zv?CSrDDY-BcgPn<(_L}n@PXlNL|ZMqC6FhyxOF;sGb^EWld=& zNh?PoU(z6nsfM-j8k5m`)5g4*V%?3hQ^_FlbRsX(T)sS8*lKHeRCYbig1Wou!-aWx zE$&4pUTue&wTYR!?Mx@8HiRY}Xy;yj_*cR`WDL2XO;qMd#N_qP9z3n4;z}%0w-jur>a!1@v@QI8rmY(mPU`0R}L=!JXCTCw2<#vC#-taTPQ# zPSh(5M^&ag_bSU@3^I~DEccd-d(kSqvMT7~8#WS)MH{|_hFEku^8BhUS7+HJ!J5IYW+-h?E2{Xq>&s7*tyhS%@|qhg#gNIvW0879F5{IN*E)Nvm!e@vZC zWe%)*M7Gg)MwqbCZ8w;2-bgE}zP`1RD>{JhWToLdp?Ui(b>*!6M6~$)@M<~hP#tvj z-1IohWO%WYjFZb?uc?th{pEvLbkI%|mfT6dlq><_H_5>tsf~74!L;1Mx@jaoN|!^0 zU2Mpc++Qtw`G7~`Z6}`;xlXQ=`S8j?`7!0y^px0x5Z&^aHKUc1LY$%qJVI}8>-+Nx ze&vhJIZS>YEgdh@B3(jnuXWXwAK*qNkN4Z}g}R&i`oA3^4Fo#6OyMK``GeT$@x5Ik zhj{b-cH?Zn-=k4~yuF!=+KZ^U~^X~4w z?Cu|frq@40Z}&HSeT4;?11ZFtgTtWLXkd`**y}bS{7RsUL)^>DQ}+4&wC~Fb*LZIT zzu?Z%gks8bnVx}N^&i_=$+jQQvQf+fj?^&wA@jUW%hrO>8V-f>JBN>!87W%P)Oy0fpLJ|oOq@v zICSwGIwKQNjMWi%#QoxifR4$|zL+gm@M2d@c;6bp5>{Yf`8?^~WBDi)tcV+cJ7;v@t>m-EDzdo00%^2Bc51&e zn-*v)pNTlkT~93U2!h6a2gO_|`T%3Vny$_hAs+DUb%<7=@P)?~A`ulG76J_u3hid| zL4aI?Cz8rrmb?zhW8~w3XAmOj=yxq-NN;li4fk{y=P3*Lj+2Qh`l$}XOHISJ1M86I zu!M3Ge>vuRa7o_O5x?zl z&)_ybTUFvwyo&k6NsnOzA{ejX;g`}(#XWq`yS|ouW3)OF1|I0b$}5V4Z0>K0Y5S+g4#Kul)(o~WySMmXAtX0}F)+D8v>&?OVYdoaGD{dt1gUfD3bjI%sdcam-+$rC9 zW#5_Z#K=AKXgxR9epAb)Tz^t~#-n~4f%q1hwneS_R-xcQc_iHh#V_l+PJi{?7qKzx z{s{CDVnH|*#!>(VRXA3F^)z7!xQ8hqUBMHJhJqyJjuW&*(o?B}V_t$X9Lu+Nk&6NT zJycgm01<=oa4jP7v-?f`aat#)4aYxkgjyc$6W{S>#Miy55JiK?(#_4rd1NMy347HkIATM|0C1xLup3-55J}WQWT$7s4HC9y z6asNSb6W|K*6yH)B;Y+9SQj|X_n^vNU+W&^2Te_qW}jq9FxcgCB|+590$D)Q#wdRh zA5{s84S3~j&8ukAud2~GwWbXme^B*6u`*LEG&&K|Zm}>pK6oF9rMNR?*2Hf}SB~l8 zeh5b1h$tItAfV*X+Li457wLNSy3oB}oF3;o(JTI#@zF3!6o z!+wJT<4}g}tHZxT(BEE+Lj+~Y#2zVdC$5y?Hb~VXbiTcmSPk9~G@U=opZ13ytGbyJ z>sa95hHz2gsP2HF^lZX?hds??Hd}}^jEvv%1K%Bf3mbbo^(t6o{piz@sUz8ideE$j zSOBS@zilh!cidl6b+WbJ3S)J-mh8FZ^LT9Btw)``R;Na;qjznK&ks`%5H5+eHX?l8Iq&(<=(W5}jO(9zWK zO5!ITUY8eLSfQnKg(&`L{{E&VWS}L~gYxHhj6rFkDEhFZ<9NFWx;XBS=H^zNDqQh~ z%e-@g#h<5Tv)SqG(xhpx4AJG;-?Bc6{2e=O)#R)da|=Eu2uDRjoGAP!X1HHsDuHpr z3u!T4HfSMn0s?j+gnHF*Ymu+piWLGcI(FIjbT9cE>5l_M>84E$Q&k!=N)mH77b|Vh zpTEP!SN+}{>^G9xtVo)%=Ue(&$g_uuuh|0Vl({D!tb(-*s^Mp z-L7&8cY+1mHDb>IO`c|fJPV))F~7913p%A-m3l6UfEw^^JAFS@}FdH`+lGnV}dv)?|9sn zy=k4YQH#I1k1y_NP|orANN1NO>HzrOTLSy4QSk+dt|<*wGR{^q-uZSVqL7cj{bM!J|ljAVGpf{S~c zB(4u?K@=qv^FUqj-TCZkv@7oNEiHuXI|g@qnXjVNIJ$^|e1?<|eZgMlS7Qk9Gf&Tl zMeFpUm+`GmM2=sz2~MB5Bg?2#uc~jA+TBlS+a$mUEQ?)fvrt!%Dq=~Tu7ngXD%sOM z`*ph1uXhVX;G9YSs%<=+U@}TL{8YOaJMvSOI%L{E9;OGKdSSdmRYAX1N7QwXU@?## zPd=fI^~~ZYJ@x}o-kL>>`gHaK)gJ}f?OBUY^xL>=3MrnZgN5XPLh((e1`jK8EO8EI z&i5i5L;GQ>2@V35T}dBy%#kgn>^(LLv%qm2Nuj%P^~FYrKi0erB7Z=0C1HWK6t2H> zZ*;2^o_|w*XV@ldft^@V+clIq82(K$wP%Q9$vFI1yPKYXc!jV$6)|W^Oz%5bO*vGz z*fO3~UzOtxTo|meWM4gMb$#|o%QbV4AI${Ht3>;-67S~Qju*Rj&g0aj)-C9^@QlAq zmeSZXtp56bFJg>Kyr$2)88IT z{AO`0I%_sl#>J{mRCWTLvf}9*H?Yozv*7F|1-_1o*KZzDpw!J*ovHp2kL^?KdzLZi z3KCt&MEU`4xbJL-22jcJQo#@Gb#Xj${U+DmYlb)|0>RU}8P52$r+bIPterL)c{2W^ za!!t0Mr--;q$0v$CaUKdh9N!2hi-v8lBb~wv~lN7`HzoU#tyJcsANO+Tm_Rka<{dg zmN&_f3dA5}Y)5ww6^Cg@oxEet3Jtx zk9pPlt7)GFIr8+OO26(Djt$qryg@|wu#5-}jsaG+z~&C~x%b;xvyY!+Q7I*BL9(Z4 zSG|~Mb>=cN;Q_r|$J@ChqC$zZ%!WJ8E4Ixd;6v=osZ) z{f6DaPQ6x*wHe;x$E~YYp1h7}2k}O;PDh{YjK@o{+f-ocKR!_)V^#l%3kHYY>53-wb+CDZBvs`oO4onz(q~on3tb(FLg`P3usu*Zb0w zq3*g-T57}8<3ndRwas~UFS=PtKcpd}0{KXPc@~&gF)DUBMJ-$SK2p4Mma`#e#X#|k z=@qOiYTnx!h-)LOuqauGvy^X@Wg0vpP@9x^&ZF6hj$Fw@ zWJ}wviMnjtwr$(CZQHhObCqq|wvAObR;{Y)^}TQR*?UKPXX8Z2juRdIbLOAt$s9Rz zM$Q=5xRKC+uqL2~o?;-0S8!PtljIBmaL#&gl@+^f8X((~VXG7DrD%Hh`Iv}x(yAH8 zBuc59&kW>^wp!-fz7|D@GT9Dze`DatD7-fLimLZBVrO?N==!rvt?lBL8=1KU(z04z zL9!XWPA2%Z$hQIdph;nh(|9Ekhw<6E{?hx9rnSg!hrX{arChFK-zl1rLM7Oh8?u89 zD%et8@sE%vzrU$&*O(3G1=2BrT7^1g7vhPIYMw>QJMJLfq=8tHdyxIzKnD?0%&X@S z_*vEbLjV$nsSAE*;$y{&R6H)7V6=O!wOez*?LW6SVdK4*bw7NSj8U5N7 z9QI1OKm7`iT7lM=o3tVsyl4i=9n%&aK;PdTlDUi*O+mwYOm!5l78;b^TDhnH;=TK#?{&XwC}axu)x`aE zqc)2$4#0^Cm^0r+Ya#@c!r@8Z zbvw}oNm55{v}GZ#JdMc=|Mm6@iUEMn=MLzf1A>3FlmDCE?*9u!{*MA8|7iOEyT1Q> zfC$sy{@_0bBL9-%^zQ?azd*mwNc8VC1irb?N^Fj4=O=DgN_dg!ykr z@lV0XzhJTdb};gfQ~$e0e=W_-!A8gQ$BMBs{_!aUESzj~oPS^j8#5gX%m0g3v2by5 z;G(Dhw`hgl(%~N*?N4BqiM=uX-7K*_6(~#O%L> zT>dK4zuGe+0|x=;U;AZcVx?pL1Kn8Y{z$j~gsq|Xu(9)?_b@fG^rAO0b+$CO`+tPb zpEJ;RaI!aXHFnWA`9qW}ZJg<>oE`YBO+9%e$`4LRL6>9V|kKNU<02-JZAs{CP zCkgRJ)s=)4iDuN-XVUL=Ufv{xKBqwwkM>F)fz+qmfegd=R^Y<%%e20>*Hjlg2j65l1^GcbIAO4Wv#wa!+hqhFrw77sig#vm9b8GTHa} zeTQQ^FCh=hZ0g=)DBp~~FdO;>X!De9S$2IfjWQ37s^6jXg0srW9ntOcTDM0!CnEOh zuIBLk?fmlH+SZyz8p0`2nHytEFTMv0=)gV$CwwQjKeWTL_t;a~&iZib^N)EXZR9PY z?h%Tr#rbW&eA{A4CvCAaO}pj%F%FHp#gHneibf_w2)P2 zET&_Bp%=XZ6*`H`C2p)uCk7`prK;&(J^XW+BtwQW^;kd)ExwtgMaz>h-mi40<~HI&K@Sxo@iC!^?#LcQHX8oyiF-@9utc}zcX(Vl!q zIC40|D&gi+pp+-H0+Y0rSUCH&Zn9LYcm`&MNz{++h+#oCCVKJ;z;J5FOphr`O*HeG z@+g$}DC;mf;n<7RDeRS91 z^6LnOSevbe_W_Z%HY}I9I3)_0Z5YHXD7Qv*-c3)ah*$1BMc2Tp;AckJqB-oSB%4p1 zMc6GR0UGZ#yO~_oMl}+O+D~ga+mTY0Ax-M5`BGOzzs3@x#F7Y%a!w*Qt>TQeSoh0Qu5ew%en|OpPX<2NnmOD~SYU%TeVqFWXmnMzmO=298{g7GiUPP|eewz?_ zhufA*3*5b6X?DH>oag4OKS@==2}@Y1Tn=npoLZEMaRr18xD%UkRBE0I&jdM92#ZO< zlLVb>#I%{VO4^c?-P_exffA6@7^JL;sCKXvj!#F&fG|U$9mw6|o52d^yH5M}(-19I z?$5OXyEP@Of`)0%?s=`L&U*__3>IW7u+Qgr9$2(@xA$aBe)ycE{Sq=`1e(}mCpoeLaE_Hd?DrP zC3h?l;XtOYxYO1_6l+E6H`pYhHACEeyJcdifrp`mOpNttB(XZ_SPV=y;LdCC5eNFy zc4ngWegk=g{^=bcSWcn{EqbA5?m#e0(pQT}isMR^pt%C2$!CuquA&p<9!@f7XzD^NZLU2tcd6>V zQ7anqO3XPnL8S+^d6d~+3t--qqx?y;>oFV|7u*&3=(Lk75BjFuC=AN?0Wa7 zU{TZk0OpD8ujlOBYPn*rvr^MUv|P}3z+v;|_MuVFfV&I5U|5;-kSzQq6muh{w*a*B zFxw57GobhSAER6*N#)UYF^gT&O`$>@FO#Tou136uOET%y)+Cbbg*G`Q6^SNxSgOX% z->t{`Jt5pl`W(l*i!f@P$>Jm~-uDXm_9WU{6KF!E-uK~Y1`4qz&N98=)J6vmW3d?l zS+=#2x);&tZZ~>!9VH%)#E!4ikT5wTj|D6mt6A#4wRYoI`%nl2otaSm%5)4Jh6B0_ zEyleHzifo1fwB_|DhNVb+OUb$@zJlmWiI&mqRv)%sDtHQbwe?nN8mVAKRG@eUs7jt z%3}watA=h^3wE$o%YQL=M+>L1=`!kd#2MJZ`a$>9<@ z2Wtbth9M$9Efq5aB}SOp6-(+pHxK>om3u-?PkVbmB0UlomVoH3QaKgS!prfdQPEne z36|t8bIIMQIEUpFEZg7_&&mabhVOqGOrh)bqSQ*uF$o~#wa!KCQU!o1*0C z&@dK&2|+O)Yz-^W=gyM3eTuE&%UW2JJ5G?@s}yp)9aGCE&+Mjlq98PjMt>WXI(Z>- z#9QZ?hl{fH)+m*?x84#B?>oanUo6zC$k>{n0_E1uX79Sav1U-cIpIdP(`=x6eMQij zEeNWqWurlAnW}MC6{-vs?IFpOf`{m{#M<-#L|I}J-<4@sgz6~IM{&7WH!KVC?}0SJQf4GZPsfv+I>uH( zG+Q1_T!!&1|MIxa{e9%KZx?mJMpAaD?N`b#&~3uNkP>4LR`86BjrE>88k~hT{3Mwb z|1ZBdtwJOu0*NG194|89!IYgZ=|_43{4 z0vZPcnO>$`xd;ckJ2?jGNxP$$g25UUN|#t8o)HvP>(t__xR_5BVzR`@A`XcNVjN*A z!MJjmaj0AZusfo&;_a3EQHN{$Y;X?1QdTX75Cw7xnKQPP2gal=Rb0|wh5gvfu+(=< z6eK*aV6eNglp)-Qo?41rnc{M1GdVEYhDF($@-M?lla`&c?9;C<`>YEf*=r;!DxU2#H3UIhl}ek!_K zJdpJR6gsJ0x$BbOrP7Wjbd8Z<{|B@b)9RX~wBIXkG zpiGP3^X~eu^iDM5Gwj z6&qWJfv9B%kdjP>R?zv>d^K^~tBYle&+?v~?`jq~nW z%TY_Q2Dh>EeDfE-{oW4Aw{7?Dx#f8aPo1TZJ;EQjH}#@ShOv+0(38TC5^d)5Olz5o z^KdTlp15Xf!ih`tO8;zqab7#Lp{!+b^>!i`K;uh{FQsR`MID4W72!ryB# zDpKEFnf4&DuR?=-$b~RjlCcc0sKa`MidSnqT+Wd$6Q$E&jpY#Zk-<>E{0X6{;W2c~Rfvr+ z=vjpcs7U}d6ic^Hbl{y-yFl-vRfvqYvXZ|h3CoRTVYd@|JMdxOzi5+_om=MI$Hk1D zh!VdmS6JsM3famxU!EYOIxl3IZX*&scW5LSjx#j{mNJ~de6dRHJrk6(4&64PA&_Ri zOl~7HNz{%2o-&4VUTdTP8=_nGfoUA{qkk81nCZs(KIrBB=kFvbXpswZgNdZ z^S!~d<4JAqWvT{SZ@K!(q8qO-pCN_7*Tp81nZnrg1{ztr#59+r=3$Wm(5&S)wodwA zE5;DA>cdu-WjZskySV&|-a7we&sx}K^}v}He9vtTgy02Pa*)LeH-VeC_1GDlmJBX9 z)4E!==5;q(QJpy3LcEZDT>1kOd%a(K^Fdwt5io?nx$S4MLviUe3mp$Zrq@@VQ0C-h zG-pI|2+(i^b)+{XSwFGl$ z=Q-11xl~V;sTc3T>zgB1AG+J7}q44DHAJLG7djQ4C_SQAeu6(-g*_S zoA+=C9ZOZ8Ft$X=&=zwoBs@~7IRNrppdpo>(47(Zc^B{;@+Dy`8@8E#F16r9KzFkK z5vHe7Ae8T99Ru81OKOZI#91talp&ns>=K?Lx#iW6ki<%fCCQFyd$R7bjH z?(Oj&-vP>W%Kb!qRrM`U0O`hFswj|2-Lw=2S*~Q)U!4N{gH3&=>;Z_EMBU9Y=K=nK zqEA_jfH3^9IY-=sSC7n9BL6)sWCV0hTIMW_x!o94)<&5r%3u-GsyYY0{xH|nnE_J> zu1x&sw!z-i?F>;SE{cdGa+F}C`_2TwDFjv-ys?V1Iu;Ag3R*L{oRUH^3BGVZ62$=U zMESuadMGMGj3#YZ#2l;zqq}huX?if9dh$Y7Sr^L=oIkr9a=<}gt0!+#QJ?+W0pK!+*Yr~p*ozg%CC1LB%C*M@>tHY=LG+gKRb43C3ZED#IgY^C zAQpn+uW2H(aW6aiyDWtQWf+QAo@NC$qveychLRr}>!%f5j zXq#iBD6a z!ak1tzu#Z#;%%VU>o<ZmE}l z(a)`F$zW>y{O$EY4tUv;RMLOtDxI`^uhz>RymI5O0Z4nuTkiX8@UfPP@bR&1bl9!^ zzMSPBJsiCTFtCuxz9*{nKutq^OfPUiLjfiq?P05wZz2=jR0=>IjGG0$G3^P;G$Ut?A95IZxGxl z*btX^=Cuo(E~~2Zheq1lS09=5V*t2VTIrN@VXmd1le9IYv6Tzzkqj#QcZdc6YO{w$ zGbstuV2|C;gkdse6~+jlwjWI(=FNfC9s}m8JoD1jaOP&<*M_lgMrM)WTy$>@=G$>I z#Yd8e!HKiEKJt$+<{o$W#2vyKRwEiXnh0VM>`na86#Cp(c3oPDISpj?d?m@o$Y<3X zLM_?5-VmqZ5sd~p;kVyCahp~%R2|fU>mka(&*E4B!qh)Jnvl8~12ocvdMd>4?nLdv)c?U+YgZ8kC)*^FeBx|=sr+BQY2f85tyn8v~K?qmE#$enbEz7j$xx+cwa^% z=ZsfG?4+k39BZ=LHJSf`i`7pUV*4@a&qBL}fT}ZDUFXFQ*_}hU7u6THeQ=@XeMb;@ z&jIzfl+|bOoz8tGhyv7*DYwzVH9a0BzYM}yzx$_}VpX==Vf|yzn(rEp#^_mEQdlB< zJW{-eh82zJOG!c}I!~+-$zoPMh%`$mCYlk^3g4%y(X5zFbG{0E1C46LWL}pe+|J5B z;gz?mw=m(Blbo)jAsfPFaGLDsh&{@5FCj*nyK{Nkde*u%^ZeuH3zdmHe!IpKl$>ok z$$c3^y*+lNU){@NdRUGN9QVmlXJmXYD?x>8uxT5ZzwsnHwb(=R$IS6SAfoXfvLU#$ zL)hTt0W=~2;pr38nQfTf-PFdM8F%ZRKI?mIs|K^4e(%l%z8ZU1ayK{(NV%T33LjbRdYPIS8cgsYW1#l@GscPshFcQMI_PezR0 z-|VBxR`h+QEc=x3TQXmDH@k0=spZKxUn|M4Ksu`SVF@FLDZ4dUo|aUz}Xse;OiLJWti1Gx* zal#ETdV=QBw(>79%BWgPsz{Cs*4^u7)Wa*;@l<%#SkNO#rJlP{MC)OMil zmxG7&j08C};x9$ufrF=TQRya;G3!bd=2P!+s?qGVhibR>FJDCMb)WGf(j)2aURwUr z5P^4ab2Pn6uq9~m9j9B5mf_4g5%{^QQ5!6_HnevMG*)!$qOeGu>6W2Qf;6$gfN~s@ z+z&ftRRAxq--So=grl1koL}FUm!Iq@c=>&bY6nCH(~;y)T0mvlpkio%!Kcx8PQkFk z3ip`shS(FeiCf^b1_kL)^z8=sB8Y{cQyh(59@M)r@d8&h90t^NYA)eJ5uk><3m4mK zxC)d?9tJT1i(X-H zp_x}P znX7~v4_<&=!Ucd3k6y{~f$=rT2ZK(ax@MLgG?VmnYr#=UPGWX#JTbK0&wI3ic4!Q@ z7SAVH$ViQ;Va)3K%{;z-)9M(!s8#NypkRm!=am6&iM~l!MzdZxqivreK8>a%nhFMP;kx9^c0)W_1n3sCm+CdN$g&S%?&+i3EzReXWGC%`ekM`4x#N4FtZ3 z+QS?h#)X!n3l#$&JgOf6Sw9o13s5b}rs8HMm@d0fZQ&B+-j+9!FQ8alpQ8u1^DJ)_ zT1T|Y>Ak=anaJqj*5>J{a^yCp0*YS9!q^xOMrr#EaNQpgGbh${`Jby$CwKT>Y{NU- z5Y=YQBE4y6Ek5<`vA9G)jQ!hvGBuQeWp?<74x&Dp^_ky-VPq`;0P1LB9c7@$-^NkY z3d%&zb32}ypOC_D^?|JjeJ50(eV2`b?h$WXOGM*-AnJ7*$zh0N!xSBzYR?~H5!Vvy z9*lU9=wGFfx2eGx+PU+Jb0^x3t{$Y3W!m&&V4@&8-&L4Njr8{&7RIEy^HXmU3B32; z133<0?kfy0X-Eiahb(I1+)JxzRan{SRb`U%t;otCDH!k|rR%ab8a?%Sk8az@KsLbU zI1eHLX{Q&}#Xd4r?y_fQ6!N)3h70EY;vcOt;j|-;+lB!ft+g`N{_JV00{HUEgS%acTHvYjl4-lcVPll^yw*u9USxTO}zW=7)z zSS3>&iGv8qNmvLM)bU4vCu1He6;Wm&v#YS9*V!0flVn(!%M596yrWEZR zlSD;XO_UTVB^ng*NgA`BqOA!R?lET+Q7&F05Bdg=$B~R@@_0Y zFySoA;FH*74d&hH#M~EC_S`7JHLJZ}Z(m?OffV1@ug{q(cYL5Gd^;qTsEHpqMn}os z)yl6j~f>o9;5BrpWCZj?xOZFoZ+J2E8v)p_fw`LE1~Uno?+$RB50YU#&n*Q8a~ zycII$@G)Cyn;t9t+?LO78g_;5&b)@rUjW_Lfm*#;vEc*}W2sp2dziA}VN4#s3w%af z6aBB#y&H+b@iYQ8wq75MjGv-q^#@;Y{lr^^PmQ*+EgkBE_2c1Pt)-a9mkh1m{C^f^ zUQvYWTI+mJ^r@4PyrIXfq|Ks==tF$n{ z7L%cWw;`C%Q!ODJJv3Th^haEcVhwkVf~{0VTbL+uD7by9;K#8b$}i>J#c-9#MKUw- z23@FnJQ!6+j67AwS(2^;O>ERARzUZ}Qn?hoZsylod}$TMm6k26i|*&|0On!CLuSYc zqQdz}jA;hKjg$UU*QNFgx2n7&?N)Ew7z#8&^+1xZa zg@oI;9Tp3L+SiK;tDI#u0UvhAx~dG*=<~)(GL~NE@J3Op9-5=>8j9$myEZYZb`ldo zPYz&ciY#PYk=E3?(O(K}ADY%u!e^HV^mvM5Pl#h#n4oDM`nE0I6$!(|ZQYEc22^f(ErTeZ z9EWf4e6K~GB ztYVAa?D`}mO}8BRC3Jd36z;(%v10^qCfk|GMfsz5Ywc=ss}T!)blqu*L)aj7)40RE zK$yN0I7xhJ_wj}#nw#sH9|5^?I*WV$H-OL)U@_8%2Y5p;FSu(EQ@%2K~*G(pB|u6TuJONE{SzYjDe{;0a;O<_+TLL|qWz+8Q*Ncj*h<$?~;RRrLKVEZ>5 z<1q0(iBUx3b5Q7q7>@6sWLg=0e!c6(w|3j^{m-bUh_VuB7%sdTcR`B)v zAs7XKtbM~u&Qe^V$%5=KS(V%tW)9g!+#ZGB*WHzlU$c#Y9n-=6D9qg1Tk7f{s{3}% zm}-%?j}iLqX7=QlMQ+}3hpvTm2{Z_?i*zs}+6tpxuZ2~wt)d=;C2b*?#4F90ip~Q> z++{eGS8odPxG)ZUH4yFM7gICax6r#r@{ zIq4C{t7;!IrPLFsVsH5DLVX-W)e~@CH7}J@l~l=voFv{`V_{E%%*h0L(N`>u2|CO_ zfgL7#$6{?0$qeH5&9fO|kas>oA)lVtEusOo{u48#$L0zmgkN&*M1j&tJNUXa=4;D7 zzz4^mtBVbAb7y?=^#)&nbP^%b8NZ|=Jq`NMGx3rnPu$K@VS!cy$14kdVWsa`+8jRw zY|@V9mGoNJg~vXD^GdGoM!;Z-GHkht1oWxR`j*1-Ks^Uam4jFeRG+y@~SV3J-#a60;DE!sxd_`;;K+C% zc>Iqh=KOYT|B~I}_kc#h%_%o(euxj?QO5i`F%m;C2%x3OVM*T-IK*0f{ z#IR{P<>uYAGXOO0PJ-dj-XjqD zwcWLBWUQo%OAa$~v>(c;=>u74Fx&KzAb%3H_C19m?XCEB&eEG>a^81fcS6P$VgRA>#XUY>-CdP4j@>=0H%~=PYoAqozi)%B zBc~O_!oBv8aQ7ZMDXa@*fCitk4xwez7yC|7y2ow@{Yc32w_4Oe##aRP3iVi|A3TZE zFDLJzRGen}^-7w_-XSgmLZ1)T+k?9;dH3SP@Zp$VxWKrp%f$DK*k*p|Kfa92iXOn! z7$64=&M}Q@si5#=Q$^%tHH78S*W$Z;2tkKSx`075AHW#Lu3+8NAB5JY4&NYBO6wpkKD^uDTZ z7ZazFd`TD)6M~S4CQ`tbAi<-E+Fdt;U1SZ@QugI#?zCi+i4x=?eXM%Be=ss{{kC+~ zWs*tiaeHXfFfASAM#mAbQAsL(jX)tC2zeuVjF{cYSW?ETdrEZ_W!XS5;+P#bZydHz-z;xcEp#^PJDrcoT)pJ zfl!_xpeqI+;W)ULD8|_eV|b_92$p48ZQEf6IC8P7)?4M~boS@Za(DAx@*UqnU${g) zn@7R6%q6q)8Jo3Gtlq+^`D~ocOr5?Vt6zCt|WWbKUpmXqVN(1s=QKgW*GP z_D6aP-dDaiAiLgT&mG-t$OFi*x*L%iQ&-sR`Ih_qZ1|VyzAe8Ov2Sa(^2~AnjJD>^ z_$WS?*ZKiUlu6~$hfKtQlAUtZtfNFbCgamj*7HTq^9mk}>xu$WlYtWokHNY-cx!z4 z+LKTve{F}1(;4;*OyxXfM!MHjS>nuazm1yNJGnln(LsAXA|<9{YatSj2(e#?KLY<# z?SyhHh8E9GP6*d4{Y27Juv?%>&U_NARb}haJrpzLQfG;YleeG}4g+Z)&*`{$kZA4J zXie3xp2x$t6SO{W?>}DQD z1{3I?@q7LT(8;uu5xrRaa3SB~eJM`eCD-W3t<^_LWdloy zub@H!MVu&r-%tH>E(cb*20NZp`P7S;sas}SHQ8;d>iJoYzamk*g#6t=ELgh>L-u3K zA0xSe77#K8?p-8kF$3xhL22jYPjkncEhw~5EDlHCb>+kndh}d#(_6InXh1Jcn0jB8 z9=an2iiQhHb*UVj{hX=i)%7z6Mvma&a;<=# zP?X`O92W!(nXpK@hQI;p{Yn~3JX43_WIyX;_p)dfWhTLEnP^7<%iUDHC_hSDyth;5 zvTE9_+fM92Zl0*IqXm*Blt->mT2T(Je%3ztX4)dNsTNzo{#F2%5sJtsdFaGG)_1^+ z>WTI&Z+q9X^UD6+{U&O4X}ZjrBdaiHr0!?4tMK{DCLg+V+GmG)xlBu?HDp293KLOd zUVk;kt;AK%HKoWbOZm^_*%|NW2W`JPdi@^`xbkP^hsPSn%ObkC)Y3G&n~xu0ky#iL z(?xzXfSZw8w-jDb%iw?_B0#b&xB_7CBb6Hkq87ak)_3cV_JCjjcvVtL|CI4C|4Zh? z|IK;%KQQOzFC6f9kN^K3#`D(=^Pk9hVg3iY`Fr7im+>(F&oq~RlJoMHYxp;8=kE-d z{{q|jJD=pAv7Nux{d<4^-E4>XUkRuG5+qSk`IFL=yvDwsJg!)8K~Cydo<`>6yWcvadoJtPWocs|yS8nOXBo`fznr+^9%+o; zGVgP)e|_2CZ^k%}_hC(P{Wz?~L-^(Pky~J;S^vai*VP-h8p@%Rv38VxLRD=@E(h z%my+)jhM{H+_Zl3Xd`ob|u(;5Y?IyS|o2-w=%bJ4;gzk>{&T{J;arc&C)^#etY#NIp>Vl=VLbN(`PbV zmcx^8n1y-rOD53>3JXyFLWj zB|>~%`iN55BR5u%(^7|q=n#(5ykjhdcb5)JErW@f0Ky2uooldhzriT}&7aWPJfU)E zX+B-XmTBlyLR_Bc!%HWmauxy!rE-)&*kEGpGpsC|r-hE5Xm6@i`Ny5|MR!MKn7HWH zxpyg!Mgmlq-n8=(`|ews2|x8}jd3MJ8JByKwL_&*fAZS}1RXQ_bDo`ZjZ&|3grj*k-{vyzlGbjz$LSb^#T@q>-d(HA-sbX)d8DO)ZmU4^4J zAbI4fH&hgUU0z0x9L(f}tgXH{T(|Y7U(~S0?!@j3op*7&O$08vR5(0X1VlH5O$^&$ zcv2wtqbvDUd{PHB1T{s zBHlY>@30W8a}cI4pe2G@0#&khk)NjTs%-{Nd})<}Pt?5_0p|+~3B|$7DnM8qc)xCa zSDn|(2w8-QY4Gb5TpWr#r+^`t-3;7FTDFTQa*GXVB30WVTk98@l6ebGNU6|7B7R{} zwaego-fjz@#&zZ_0V$|hf8&kwuxMmj22E~WL>p~_D^hPkv*Fg=3r0>f`YP|lBT^hR zI)lua+`fCq+0E7Bdxst^G@6AsqPd1#9mDUb`@_E}(|9#!JHMY^CY()|Yq>2)P<51z zxKy3E5mif!_-4rC+pzjiO+Rrx80Z<9##Z;Ch_JStR)0j39cui{y$eLaO_AenEaYh- zn72si!rm*wQdjw-e5VRjOfU_zVl9oSI*T&Gyy`f&Sh;U)6x;+XRea1^n(RhZ0HHu8O_w)VEMU zJf0Y<2pYUb@k3VIrIx?*w?LDgg~Vl^7i`hYARV5|vo9JOkl9 zB0F@-^JRzn@|!3e&OYlaqbrFRJO?a^vr$zCesP0uvoj#|B9ja35=x9mH#oeT8GHqx z!gyR^aQWM4OB@x=JCT5lflyds6g%Z^a#~vdt`RXCvGlYBL9N7Pf{Zm>)rqv35J+c^ z@sx()17+!3X1w4-d5AR>sVD#Qls2DB$UO-HwOsE!g1P0m3hV<9F0)8K4(?U80e26NG*1XUozA_2_Th)1YWw@c^I(2)r_^K zThAtoh(>+#n1L_MsZDpJSu6BwI#__mP#w-b@{)S32>1s6fgD*5rAOR%& z@u{)&ntkb--^akjtiq%L=r9n3v6Gm`i|q_5U^7*j_&L<)hk(^JKaM&&jU@}27N&*g zH6>@<2j@7zDowm0HKt+tv`@P;u;etTRn74QrK z>mwUN_sm&?c%?UVjlSp%3OKuJo4h9CU3tb@!49u3LU!>+%hu~<8SdMtbk*hX%o**$ zKkbUm4na>cZ1j6+^Tj)lcJK)Su%tOQ5BNc3u(!eQ_n`>G5YKSz!p%^#&F_L*L)M2J zgwI}@rE|-Yjo!VsWy;wcWUjgz(g;S|fqQ-5Rb%og>$CV5f@(c9gIoLG)T8@iY?ebt z4i5VQaOSCdkHo8AF-&GbZ8-_pL%81PP{Y#)DYkG1o2?~P(3TW{?(J(UhJH3 z@04HEA=PV*&88|B{rz<6>rFBHvu6-1xTwz;=Xbv_XiI8_{0y^rG-R+VM29M?U^ic4 zZYW@z3&1Kg4BM2tzDfx|Sh^cNk9@ZsRMpk2kB~7Rh2D?$UBh2_oNB+Q58osuE zGcmroo1SMZ>Dkp>1zQX*_>SGB-vL-Rw@djHQnTYvHIS6Rho(Eyv?&l zwmu1>F|uQb^6+o;o+06Q6j{i*1{csbV$Wi@b}vwf%H5T7;537Dm<+h~`Rk^%sDl6& zsnLzGy7ROpz8-G0pAam$a@DU?WWr`gkIY!|Wj^YuDqmIT* z>T1=p9DOL25u1>edoJVl#+x#Xp3v3C3Tp)`TLR~T^u*PijvsOR0U-VkcjB*y>kxMD zozKQ0{I2JBK9SC=4Y4_MzdN%VYIYfh4aVt(0yN6hXBo^5DwPyiF|tLPUp#7|ZHrmn zCywKaBoH1%-*Nkdd$GL`-n7=qD*bMoXSn1Fzh8Ii_kJ@4Y&sGBL_tPKjd>YA<(QJDAH{##nEampwKn+Um;ZC zW`IY5EtY?NGz6wA!d-bwSmtIVR~@Ih0D8XBo;$qOaf$*F^WDGk;m=1o2MhUgzjy(+ z=u}I7(&sWRowrWSz_;@DnqmhQ=S=Xisxv*yoWjq{{|^9mK#9LuyLgF5bVtX1lLw$| z$;;Dl2(Xd%*`g~J%`_sB(oxNCma)iFMr}`zJ4}CmuuU5nY>Zd6pcdc2)bk^FejF{L zs9t~!Px)_Ndn7t`L09N<%3$jI?_vD!KN%u8WmC1F>lEk>Xw2j&(5I4KVFCje4?r9TKMWq~9LZ0XE)EN|5yb^*Kb6Zvfm%sj*iZARDvcwT`vP}=7c z`LF^vWDQqEV%?y;6*kda$fjcxE23nAH)ImyyX0=xD<}?yYlAgk5XTFH7?$$){qXIB z(D&zPY4thuJx6u<=@_NvB;ZxZ1G2vY$5Ri=kO2_B42U12)qXo1 z0J7_jNP)dfgy@n zuS+gHg*8*xltq6AYfUROw#6mu$nnRobDr1%{x)rLK+~H2>E5&E&mS5*^-o$2a?syt zo>iT^@4sl!34i{${hu0H$;W9OZu^TG7VeMxzkpV^#)_{`Zk~V9d|iLe`WMZr$so;+ zU!Sq&ubOF`_hmKD?-k!{{t=At4@WTCANa)||NBo7f*N|-Ul&0sd4x(3NT$ST7(mul z@~c1WhX2w4QvsR{u+%ZpwI4fJ7i3cfl{^fDY%U-iE_5fOqak-s{$g}C=;4KxvIwH9 z37W$fY%QNu8}=f+SDN17QV~J2wju;{sbsoPl;Z4XL2_A|oVtR5t-yTBC-S8dSJofq0aM0d({^ z08!3oaP`IN$HgEd3@%9ds3G=vuU0Ov))$Ipsp4_h&lci59OWLdH!vT$6f|4#9lMjV zKA0Ti5KS1od`e@2z=DDtS|y9%1Xld9n(trDUul!ziL?@9xvW-wfhL26auoN9exG?28x)M5oIb;AHjK(X$c!m1HYeoEf? zERLDUY_hl{C|7W{edgQ!~;C+6@9Cr_r$mXkgjbA_jpRzY2heS~X1(pP?WXbyX>FO%Z zZeqiLSH)rTBl}TZ?YDAEcluJXC7diRzqz|N%5sDn*jQPZZV>M-9ay{emr13Vau&GC zbz3k|xos~jyy^PgH0pGgKxvf2ZTYd#EQ8*cR~Q_)yb;@8iKm^U?3r{CUmiKxLYtnj zj2KFtE?P{FebPfS->H16=lDQ9p=`zkO16pe9Hu7C1N!2P{6-C|8wiM-Yi=e#Iv**?IW6gx=kCMbC*Y77BUS2QS&T23419rI0X0^29!Q z;@q;(7n0C`#pCnPM7pkHuSe(&b+mt`9=#GqkiP&++ zSYoU?l%X=HlSgLhqb55u4BZxZ7v8}Aq%=p}ksUp;CRg*BpD2rX&6CttSh&!0d8w*O z-^7cwQ2a)kGZ$>SNRzm={>)E-{X~MQEU2iH^1Koo9qWV1viEVUCs(bM8{|;#m`ric z^LS$#9NGo7la@Ezx~XcI(MG|8bP^d=ov8e@!Z(roV1Ep@=HAp)$Z&me4`Jt(Ap>8F zlIhpJo(YF|Wnm3HXY6EggYwb4M-Cn?dBScEwK_(9Y6YQHS0l-r=7O26EW-uo8gvZX z4<^)9+&0D9T^fAq=4f`nqo{?=guT#HP*OCYvjO;X)m0DRGxrv8Q%S+$99hv{DZH8zogKDsO4BvNf~m6%bwY!=wZg_X}E= z_BaZuH8Rxb+|Zh+eo`^DO?FuOSoGR&e324vuuCtAzQHo^+w^3t0}O}xL{nK1`ead* zd3?0|nOsiHE3Sz67w*uVoo-%gUnAoL+@A9?0iD~CSGRXMDums4D`s_s*2|H z)JCi@_&gR}%D^WhNqG%k<|WOy8vT$(k#eY@)yO7}Ly;SeWhdUYb<(Yi>f83*K(TUi z0nJviYc7l13J3&v6DP4pp_?eKp3?7AvEq#?NpehnVDBwX?Ro>{+vXFS32r&5OQur# zX0Rzk%cg=amAv7p7Xxdwn*C&R+3X~3F+bT56G2GMnL)s;7RLKOA{8$ksqQn!c6;U|EC@R&sIfY>53~VXVTC`z?v&ylxtB;o@ zH`OV91TqNRw)D=XV+ULCHj}|<+_2^OnH_Xz%6x=Z_nI59=Jy#H9tYEgx9l92(33%{#7I`eB&c?M6?^j>JQfLc%^)rqS7j2{ao+(VPc(VeA(9qwiFXYg(jQFdr6JX|VwP7dYpr zz)e}aL2AI=^v#jQZSipK$d(_tE{1DuT9jocpj&yp>FnyPtlcJ4tg`eLm3v1RxMNf% zVQnl{V{!A6U>sx9zd0u|-;;!#XJDbzIjgXC>Yz7QmjSg2-pbm6RD>(WWO0qm!yUqk zwKJQ^o2#>S7PLrUcUm|^{ZG5TNWt;lIX%& zqjDrjqNBw|u7jrhSjgKDAbF&CCuazJ(&T+MOkApUG1ty#tjZ**#)o$l2O_FO-5fEI z6~2^5eKHGrRAyuE93M@sncL^E9?vODo; zN=#bBN^`BycYnZEh5OBd-!Skcygju8IS@EC8(iZi3(`KEgef{0$X$S4dy_s zY^~%=E#-Me5Q#bPVkN(BWn_w2;ma*mDR6b2U@VWajz!9BtTb9!Rn}DAsxIn+O{Ea; z*vh5u&C2#nvs8S#aB#m^N9Ua}bxtY6{h% zG~0_SXzsw^Ue>r17rT|X60@@63cdk4tLkQP(zgohbm-Z-4X*HtT+aS#h zo$9cx&e_@ARvX7a9d&J5ppLWM>66y!w`RwpYUJ(Jm}A+4SL$eH7-z*(IVHI|V_~u| zp4oSMBF91TMvEqOVx%RGhMps1Cd^tlHN%vfAg)Xhrqpc2pgOjZO1%9vVVya2W?l7v zl2AE2=g|eJ!O_Rn*OihBV*+5O3RvaVqo0}~2Am&${_gVXr@z(f+fSFjJX~J=Xd&Mp z{(afk690j)vHSY){!*@QFE7xzf-fFkU$TY8zrJ36x%>Xzr_Yb?{&{cqa^C%R|DxZ0 ze)F&E&u`v;e7t{AV_LlXc3*Jg3?B};p;*|dEr+5v1hC+pQiJ!;SP?!8U-&z0g zPRlm`{H4a1;*ocC-aq{3$v?2FxTU3P!=)d-Pp3q3htV&0U%%c*4cvXbZxQ&rmkEA< zmw$fcpAWykeEsqLdAsfCbTjYi*SYZjf|hq4^=G#ZJq#xJlbfFqbw%jEj8{!><2Dfe zD?Cy~WQ){?CG5#STQrv<*kl(0_N3Z!Bfu*ema?1v`@Y8+Np?2b_9Rjoa)xi-yrH5P z)nxCZ+yeB%g7e!GlSBMl`FJ!DAD-WDB4*9_gp*_wVw}$&qW~)$nNL3*8=Yyw>WjTQ zlMAKo_fdw&^KOh{zd@@<1gJ%O3a^_ePFK0zwNbwE_R#L?5fPM(2U2MJ?kBX!(sx-Q zpYo(2oXZ#B=VTF67e9N=&H<8wXCsm*w-=9^3B4ARi870%$BDz`r97&W-~TV?*7fR&5&7 z^`mLKvFY}R?$C!okWKdgg^K0m%T4Dw8)o!*Xt3BE+d~r%&!e?kbULIZr*lhJpqC8>l3PL2 zU1VpLP}!5Fzy!41pb)sJ2b^cq4%`cf(m~Fmc+#(bksRK(qwu2Huda*qEv^@+oed5m zbib&nK+J09zMHtuLph{(V0OUF&io;xVWhOZBgemTCCLQua%>PS@3tsg^MC{dZ6e0YJ59vd0gLqoDwRswnWMSW|DxV3ZZq?kQ= zJt@bZWdD^^uT?FMojkRCOB}22L;LCaADJp``RyfC&&osy-w9VS3 z3l!d_GZY?BP^wOhA)k=oP#WX|A55!#)qL@L~kix~1mo5r{m&u1PF||Nb5E`~VndreQi2H*5MzAl~G{f!bRO@^) zt~+@V6U)k`TcyVo<7@O>wVhY_09jNR0ws1g0$;a{xDDyT(q@qNSY5DYvjkTu}B;vS~kr16LIM=FEc*gayePxN9paD zCWAcrFDJY-ls~m_?;TtX6x+8f{uN*VRr6CT3JnTnZe(+Ga%Ev{4P|a*Z(?cbY*fcMr>hpWkh9TZ)9aJOl59obZ9XkGcY(d zFg`wWbaG{3Z4C-YiPTnkSW{OPzgdB>Z;BA`u~n7;H&MW_?~4LK2#cVW5Ca4ViAj*n zQIY}NsvrUutAc>Clo1uIR#4Dt5d{@Q#)`GJAfTckOc6(cc@b=@Gt>Sz%e~+G?mO!{ z=bhg_4mykj;KUAqX6h0VuaezG`B%K0D3!6UO1b_5`fhNcK`%T z05DGM&0-`*Ix>jL9|0gQA18?Co%Z9=05Bc_fN(E9IU|l@ku?E8SPN>OoWNmiytT=e z0YExx59|_9h9+KP3IOvqlr~A=iPNKBk~B~n^{H!?%xALz_VP;f(Pk)3;jz*MDlM8` zsQn65A4y^HIHjjn(E-?W5&&Y3fG-lGd7x`kflMwCas){QYi8{JaE9AOILcBv}$Mc#PB@ zi@}$Nz+g!E4ToG|kWB_o?=}O(0ukVYWWWJp^m37HhB!tfwQ&&LRytZW!0}1eV_wWz!XRbxuJw3gHnciL$#EflDw?{sF1~gc# zOt*)&R2qD@q82N|6_5(;Y0GWtw)Cj~{RAXszkTNz0>DZ3pznPrRw4m+tda4AEfgzj z3HcQ9bhYAHb>)crq4!b;I+k0U?wwMIUNQNkU{*otcE4WK*ImO?J5C*L+iv>oPmyY( z#Pl1Rv}eAJoHGA1azhDz#wu1lQbNAIspzgzq|Mz(v8{&o zPMPa;3HAnm^Sq?lt^cCwh(}o8RPF?Ecy}q8I*C*54LD?C@jy>6UpjemtU7Q&tNKxX zUwgu3R%ETPcN+RJzO&)8k?(e1mu6jF-C}lCk}y0Zb*uMrD1Ys0*A>vr^oYvjWXij%{=I5LO_>){yb>h6H^Iq6MHy2SkH=w&ZU15lSWPn6F%+HqKbxqAG%7u7 zY>CgkZ2UamNBNd+;Kj);nFyrmBP~)z2ThMO-DA zjx;o=C)Pf8yz#_%s>po3TiE2W(8Jg49OxW*k*JE+(@@{qPH>v@9{pzIzDf5@_6ddR zH$UkO%(#;hOVBBxfqupQK0gIi!6mTU$!Ujrx62fJ^ar<(hk$@;3C-sl!N`*@$PjYl z6T~uH_&+i0F@+v=)2el3>VjB1q4y>_GYk__U&SkLjn= zv&8|GXJ-#rATC?hRD4m9A45y(_Sl&5qJDD+^ZvxMLwV%O+IO!%bqwgaVA=}hD&mwbjvE-OnYwPy61QU5=C4CJUbA50Eo)l0V=jj>7# zcv9N5(eYp~nJRChRaQH^&r)Z|`E#~bOeBF*rf(mz{Z;i?5%ycXJFQ{fjXSej9Y&c& zK__OaGkM~m2A$3l1v5hsQ4qtmZ(gk-=M+8{{iZ68B!9d|5*{)3mE(p*k~IANsf#DF zi)T-EZR@Mn6|Qr--ZCy;t|ERJ(CA?Y@eUQnA}TKpIjG1D?6fi!-cSK zM}CLHkm1C5#D2<|a^GvQV{iZ3>F5|$Ysciyn($mZI_n-1wtP?B<6NdDNNk?fVCZn$ z-rn{cP>(_wPh+zh>Xuxyv^TZ7$T_O9%T$$J`HD$?ZP?MLJsDD;;z^U6kuIKo9G|Sb zX6nMrkoF71SKwQOs<1=9*jzX8u0HnI%j`$Ers%(&`uB`u6}7x8O$84NgLQ)4sIv9Uh1*i^~hbL#x_wevETN`UNU~L#v6YvYWd2PK(cb| zSyIJkckVJ>eY(hD#|8Zw4%_#qmR0wZqf?;+{WmzZ@NbZ)SjuPv59`!>|3Q73$3wOE zas13;$SzC65E@IC#yOT6u6;1pq0Cs?G#N2hjK=nm8#A|PnWD`rm1R_xXk{dYUasOA z)J?aDqOztWx;H|eGqkuZujjw#c{>06_H%x}^ZR_?-|x5G{&-PM|NjLRK$rtC7Kk66 zy#)lp0qlGe6Wo#u$&sq ztKAQuxL%+!DTb$PGDvSW9NT$3>s(Q%WYqTi<_;NWu54*okJC71CVPU4QnNdjQQ16T zgN`Aor@yuAFDrFT3^$bBjG$Y_Mb6kB6ZcShjc}P!271U3y?e!aQ*@CC)G01vIs89# zzU5z0KL%ptF^fK=pUMb05S_Q3Su>LBpqQz|FT2A0EZ~K}E^)lwmLDf$S zl#poYrILUz5DTZOB*0-oK!I=p<>q1-1Y#B~2BP5NTQGADczWC+c{;n+H9r14ucKe} zrhmCwb@|mGB;WaG%fQL2`X^U-)^ zWo`FvMC|4$I|VU*Wz-iRG2YvmvC3WU%HTbEdR*L-4&7Ouok#bh{isLy>Q=Vg>mz5< zcO)1wOVx8i20d%4#+9tHeMTM~rHrlmopFU|i zcyL4~ZC%aEKL(+{OglDqCnQ#ZrOZ_KDV{GfBF*0tpa3<_mw8 zm?*{hQJ^8BpDAczY5{^47Uo0|{mfwaS-|Ozs0niT|LudAe$R|h-+9@845Q9wRa+Ke z_1_rTNO{^N#S;pJfb+5OJ3!Sl!kS*Ua7xPlR>_623PrM z{3-i2sD0UWQB|5l=6{b{9HP*Eop=aVXg&7F2-Z4c9tR4?x(lk6$=g(Q@ppx+6RHW_ zKd*ZoCzfC^{hMD?x|75%+FoI!oQnrd$jgj(Pa7RrT7g}- zp+8A_h6(SFb}kFm`Q7A>`Lq71)o!Vk)^~J+?!U-E(bI~<>>DPYqWW)(&4*E3ggyL6 ztmm#uLr!Gp^NGYaQTUlD;f=ReYmJthwB=QtPu{3^xiW2y0mvy9jpNZAKFG;Q`6hSx zF{l3*y!<-Aa2IV=6HNjgK)XDfyq{7nKcsZQ?5%`|kMEhwyrROHqF$3IHj5D(920FK zG8d7xz?MRQ2fncKIV4)(XB4T^LtUefq?Hoi6_&Nm6k0V_^$q-un)2-|%qq@1vGOEp zm`hgIu2vEbgxW7^P&tUCwUQjmTW}jLj+>%w7WZisiWjDz+Tl!#!(|>l$Q*q{9CzLG zD$4#!r+}7n165C`Sl%Vcm2DtBOLW~(iP>|=erx2-u8HHXq-wM!c_vnZmxZm{(!%gh z%6hTCRFV;AjOqh~m-lQ9nrSnxXI0`a%gkDjDLBWBEy84BAF*zvBCv}!j8rc8y$Uho zKM$q6@!*yW$#o&#b#F@ELf#-Wj%wac>A+M*@}%EyUg|h`I4QqGGUpCn{=C4)22go30ok{_lZ5omb#pIcIpEVyt~8CLD5g=lCoTAu!-V3)<&*dEDcOhK~$ z5+caiymEWEcRvKO>wc2zOvliyKp+UxrzE?NBLZ&C&)#* ze!fHu#6?=dT^4hqFM}BfY0e=N7O;w62%9MqniiEE8y%xX35khkvBRMypz^$eWj{_Z z)^dvsCP2oZ;rsyp^Gap}EY=u$M3hLaz9H;5W^l+H=^%5^bbhuG#aqkM&B4*l(~TOa zgpKB>G=-?+Q3X2E>*^I!X<*H%p4B2lkop!3@tqSY&9$XnTYTL{p+~OaqDEXbJ z7qv3i8Y|p~P{rM&uaihk2p!?rxtydFX-|#p$W0m4%Mm|2aSQjNPcqy;v(}@fHMy>e z>_pfvpltK1`Zw2A0u|+Tg}?jW|7HLcQG?)yg9C^HAqpsNVUQU_M8$KA3qnD0L5*AV z7!_C0L{Te=#yzb@G->dJv2M6qwb3Rh5~He;mVu7Uag%<~&q^MV2W)#21a(d-Y`U*}W;hdt867_QjRw`IVm4)z$y}YR`f? z)&KbS-7+SHMK_Iq5U_H@?5N%snhm(TvS#H9y{>F;Guy6Ni=NN$+FjupzGG5U?ppov z%%`8f`>OA`VJUKUdFi4HlS31%DG@Q5TOU-U|6|jxwHH*ri>ZX<6mc91P+L_Le+_>9 zU+%y}(UO!99@4CMZX3XY50|=G3LcGr2G5v2gPYo-U#Y3CM2a#8(lHxIYu)%%{T>}v ziu-CWH=rG$@9u^d6D#YUV$2EG*%4tf)#V30rB zKu|9XA|LockrzT?#UP}@7s^$NY#h=44@A-VJmr~BR}yXtG>k+X5&K~#WiT8VYAx7R#KVzrt8B< zM+K$P7~LKNncx;%*0_lO1V@by>$^s z(-YZPf-0Ivr$wN;uAb@zXvy(Kv!?|p;$LoOQODL2X}Fx`iqk_tT*WXr_@w3d0AF$D z&aj-L<`hT++45iL7TuB4<$bpNIqLBo|E5ryOp8UF$Wz@Lmg$2C;RtPPV<1K{`R}C= zve6jcyIs657KI0q2{zf8) zqgamJID%)S$dj7V8)Ts<>dBGi(rLOQItZ&6CCAAUwMNg^YZ1s;$>2eggDJ?z3LHi` zs!@k}YRfw#d1oA@(pp+a=R~<2DbwXf!)9zWij6bIE7d}saaFjgIO~me-ojv(3{1oV z&UGovS==B=?I?gP^`m6Alt~k5Ar;amw2Su8Av#Z&=>a{V{|JAvMr;tr#9u|ZxGX!! zuF@|5D9emMq=eku0nf8d>j%OT~o1?qc6lK_>^;g z02gtUad+D-HF(N3_=!x6rZ((RC$ds!3g_rX(kObL3TQnQ(bsf`YUq`KXev6fbQL{B zvPc(s;*oeIy`)vf%XxB>{7$|!=Bw^3#peiqAnn9DB!3s~|Qhb1h3#xYz#8RxznmAL8lw^1H&C4NK$TqL-j ziac0e`~DVJCV|nCMH9HTuO*lAXccW{*+ze$ot)na`i`#9ZMsX(I3|b)5ibUCM5$to z$Y9A7*zwe|H*vJeABEwIy0UIv-e%_ z%V#}}F(O4FX;I2?pA>WCw_*bo|4IX@fIS$GOi?ONh))+5%4)e+w-SLg^0)8|DOUB_L2hgP6czGUo=pdl2AJ-EwNze>^6(Qp~fNhN0 zAF!W$@EaqDJLnmX$Y`V)Rb2DPhQGP&YL3jO=OUiV>E~wdp^a?J9K4yis*y*%QNl=I z7IAlU9WGKQ&f*o*4Q$0a9F@KZmb=ALq2&d`f{#%pQ~148dAjOZ^8oi@C6Z`0 z_PfU8G|v@(3a0Lirg}A36{;`Q0d-PcGQ^P{poQsEe!C3Fl-4o9J z6VF~G@eF%cjFu;mKy5LTIWUxYyFce3jVn2ut>j@1_tS2kA(!x!d?+0!ah*HIkNZ5E z&-Y+EgYXVleKz(mJLc1VzB3*H=*oF|N!}DI=J0uqJiC#3_B8u+6Ze@pwA-(6>O*#} zUN&Ad_A;N)1F5u+XYwKR;z?vq>3J5W*eS#4L+5 zB+F@pSd)?>8oyh|@{O^-x{>9y@U6t(>NqV~ZZ*qqHEpap@&7b!uQhG|(Yd8=S92KQc7vVM)7*B-8$Ohtaq;myVr&8bu(TE2J!}r!#~GvaZ;AW;Y^&HQ{c$5 zv$=guyb`Pl6TBkAvCpdsFPren>1Um}kNn;y_d@tNdhZkPXvR)C+gj}oXB(@%(F3Op zc8neGOdU4LVQ&{0I65NSNeS83aZXtKJDZ2ORgmC5#`#~?t34>H^NOGQ*t?5eWx?PB zFy3_qgk9A52(MUIfmOg456v#M?ILJV#zxC%Yi%rPb;K(+jhQ4Sb&Qi~`hYfGNG3tY zI%J$l+CQBB(ZNZRMlyMHG*Odul1?X`aQi#o-n%YHtL>EG$N9codn%ibMUrj6`7jr=;5r@8s0R)}&3e#BgraH6$Y|u}6U#5W>`3b$AjD;7q0bXKN`L zt)&=-pO}PMW*cr~yO~xQH+usI?nJ$&nulLakh*Qq z?Ex!=M$#juFTOhQH#W)dzspI3)+anmh+ETaH_h_k*nY*B{uuYXh60Dxe7UZv*)S%J zu|<%93?mZIBSze48ML~#1ax1(IE&Af0%KQo+_}*~>)g>CGtv{oA=;&KuvMm&{Q;@F zzCSE=H#SGl`iS)Irs#ky$(8YyvHrQRMbGL)VWE|iTJGuG!#_@7`he^arkt~3qAp=o zg+laO2PG0ZkE4^Qbx=;|d_sqxV!nH~f3%t&^wB?$jON1`iN^eBhE^u!a!Yp5OJ~&G zWa3}RdBA%78~GEhmm1_U>XBLY(oE>TqdrRWFn%Taiy)g}m!Yo(4g-q-Kd>062G-be zHL#rb08U_yLS9EmqKDnPX|wBf^0_uq5V9V~LAy|WkLGJ-)CdHXSz_!owCBT??>M!VVm8=_R=VR^7m>X1% z(K^WWkn5pe3H@61BbZYp_gb%`U4?cKv01}vhs{*rsCN49EpQ z2JBf%0X;8Wq-P<&rWTS{oEu}rxi{T96XYu4(*$52*}qh{DeyM%p6y%BZD7Ar zLDZ@&rV7X|Ai(R(mvH6=l3*`)eL)or4_RO0T<-(Z)HX^-{~G+PcfCYQxerVc;P2Fv z@aZ#J2U}2klIBCdM7|Fk+eY){pHr!pM`<`;Gsac{oq~JJg*Ix!eOQo_)ek5ewz)t) z?(mEqCm+WKeZF6u&-xgCT*sJtppm&H_|--}?9VahyU&m|je?ujHQ2rmd=uxY0PctV z+u(3a%)xxDTVeCCFew4%UJB;14-WXA93(hGS-?Fu3V@5iLEtf97qE@TVBI2&XP$Im z&1&@LFh7_ZSc~tW*5*zI_+2PCmUuJIAda=b3?N;@+p;kkW3%|ZFlWVk!CPQHGPjsB zj%0od-uFqb@HzR;Q)G_o3N^A1;_Tp7ip*!8u~derNXR0Z$DC!pIa0jIg#Y>cCLEdc z2k(>L2un3cdHhzHi%E%h%CShw=~Rd{*J{tf_wUk!Y5~Gr9j+mI8L`Y$FVWMG0d-iOqYg_hSD$sw)h8cFz-gz- z@sNfRHkOQ3+kdP7Um#z0_0cx8KXeV_?F`d#oR!=kNecnpkozo3?z*mNy|o;A8>U2F}l1A2>$?tCM_ncv(Op z$U29g<9TZHbDho4+j)6QE{3eMYYXS@On#@>%Wc2e&72=O$8nD2JjXfC89!bo=l9Z6 zIKvwv4`0IE#Jipi1c4Cj`|Lb|JZv2l`LNB}gah&bLyz8gF5Y;#&vgzs{*wtQAb7n)Rw`Ic2VC#=uhK!DBj>v%9Qsb zt_3tnEun471yYoCsGHEM#mLEQ+f8$oo0O{_L9Tn;x~@D%%S7E=OXmGN9MOTJCE!TP+iD&>3RR-^t7I;24dGMWQy>iZw?>KAR%e9>Jb}piK;IHr2Y{ zLeAv9c2Yb|=DcWKwQF__>S>Mg9KM6RM1ud9$g7l44r*n@#wzt;#Jm$@PukxVERpv` zy}yZE1&+9mP=olMVB3%J-SaA~VyL||S6hz!Sb^NXhjO)9h*1*-1h3a5_J+Pje4lWg z;_n20FU!cQ#W5f6LioV-hSx3>v8@3|S9m6&wrrtvxmS|FyjD z*5xLoxE%j8xnl?^IY)9`Kf0NOvG zQsvjcal~N1HKI;O4zGg#XTV|1`xD}s4%?>~^DFS+Am-kS_S;mcyiBF8AEBQIuD%92 z0{jE>zCu093)G|e=#boM9T)2}_K*IJ!RzrnV1XmqR|gaN{7dpq=x^06Ka)OA;2*ES z>xy|irof$ zoFt8r=u@_c=PA@B`<|fh7W#4b2d><&G>|f0kM;u$r$7E)@6^Y-+f(82z=3-ag&FD< zj0eW|JzW%LXw0$D?fvAw{|-&G1aXR}P4MO2+x!3GzG{P`y2|kR*le=d+}%wAfhNG+ z?k+Segx%hx1ml?8|BNLRzX|trE_y zvXDmhhtew3Ny<2r&{l#4Y^iM$v1#Qi@i}LA({v$5s{P~aJn!?q=e*}*&pG$J@4+1s zl3?wye}wwKA`by3?Iy&Jru6xgz}osHu-3;U*c*6{0(>LtHY)#mi7D%Pk6c%tl5JIc zwLjT&p1VJ2OKiVGd^t~i(93gq@_c9Ri;tZ74e9efY0JChLXOXe-^7RC2ELW)`uu+a zzMVe;F9Ih%(Q$CjDZyC;s{dq@m53+t`pjV;)zXAJ!AE5jK&34SqTT%ady6yP4z~6^`1^i9mB+53z=Mm`70rx>42L1``1w!fmuEOu?5t)zN68zh+J+&9E6@s^o6_sx;r|Cu=ZmbeM4b;!J%-kS3EneL7A5yts(Oa*dj zKXOW+%%f#4EAwZWKW16I+i)Lb#xI@1E}6f|+*#(YVD-MsyGRKUeu7)=bSkfxUQ-gn&;2 zCBR|eYm6nRaz#ye^a=NRl6Y(u1PDFXRgs0nZ;kneEz^s z5kJxS8{h@t7s2zvC-_T5Q}cYra(j$8#@i3~{rq9F7}Y=J+i(JOevm&(DwNx4;YyEl zT2uy&NFhJKw=0)#@UN2^@K^am!qB}v{29#DzH{LLhmMaLW|*{7t*PYHB{@qW(ZqzrX^d+J|8qGBV4-EV@~2DuC92SxxJ+?wzonn*Li z;ofMu+=jb{e_1vDyl_?Py?ibH`dYPGYsGAzn)Bx@C>whC7jQ_{^L65W9TVIj&{)>4 zvsTm@;U2zD{o!>&_os_R>1|5+tZ<|c&nm01SPr*ZIW7?eE>*lF^WE{FHEWKc<1p5# zzf=uLcrEs*7VBS&kD*$vxM6upaK!KDhMKlPT7WK~8?a#`4Y(WFNQ0bJC2qbP|7kg% z5zFzn+aX5*hVnZ}1F#D?4x9z@RA~#qP#(qzEszO-p~nzx52OjS0CAuTNCBfjKI!9C z7^e!}VaPbp4GaM`Y{*i~V=3xNxR+cnAVeoI)?wDs7>Ussi}9E(mKXEHiVMuU7A`f+ z2c=jhMI}USi=*8Uckr;obTm4+$KiFb?RtJFzaihW+eRa+*lSqSF34_xkvL>RX&zORg6vmz zLfI{l?aDSG8C^6%oDKV=q&jv`s5KiTF8#rA=cn3*5C>a@v~eJeh+}S zVORj!Vtdj4{KZ?eR9gD7TGN!=AZOw}TDPbQWUazcbi4A+ZWWdt0X?`)uD!b$*jPS| zrd#EkX!8BqBUQ8RxKah0F5y>ad8YiS+mzK-)NH9FRx=@VT;qlmoH2>;%wp!mJ)GTU z-xi2?;_{pJK8n!_svCirTobX%`5ZvYjenZ_1tKnuJ9UP=`1@IA+_A+N|}!fY3vHJwg5~W;f<(AVZ##5 zwJCJo`{GVC+}*;45yw|%5a^Y--P`m~JOr=*s7 z1ue@sm6G$W3@w4Nsokgq5BY)S$SD)I2-bEHu3j6aarb~Ub~ntNYvc%wP@UGyO?cr0 zCnU{RsTA2rvH`(X;0a;7%CbelM>8bw+xbB;F}uUU2pD2?$ennFPWeTA;B0;2f0iA|6{AlMO#N(yF;v0}w?lT5ST}j67_pm%7@>fE! zq@8q5q%vmQ62s60eCkuskaDoh%H=B)2B@COuV#~Rs|70720c4vDx*AkW(SO`V9{Ue z1g!AI8kpY69#qw$hQhNVhs~QBTYg?43STq}z#1|RD7vtp0L6})gXKTlQ~E~N+lp5f zS3vuXpsGoX=G!q5s!Cq_YlVrd1WqmUJl-{QuZ`jh$lT@dY;5xwFM>9*2bsI@ixPT9 zJ-i9P=cNhtlW;|ZR@$=H?z!0LMrH~VQ7rgt5{N-+6I-&HgzVq%=96+&x~hS1IuW;OCe_m-yB zNhe|7}ML4>9Z@<~kI5TRs<+kS8S=v85J32i=UJ%M^5cE*AKo=e-X%glKNAlOa9EnJV zy*d0ew4vX%Vbq$o&*OVJSH%^=Cj=tn(>R%cJ^}Puj7~3iXJazTN@s1&(H@h&4_!`=nW(a?7*R?Hp z@*ujF^e=*UXL3*Dbtd~jhO=jrc=KCe$b#*pttf8C)D`iltziPE?GgNZ3#aYL?KTF^ zm}c^L{~d(^^Db_b+eH>eigxR4IPVF+AfgKN$ME*%_Y&wKs$r?p z?Z}%EvS5i71&g0goTaaDvk9A)wG87lTO{@i=Bb6ySCj)b|;K3 z<)=#+nYLqKF@@`fkoOf}Y-hA4vd?3(jm00C77E@$L4j)|u4Ue^N;%ja>|5}Jk!y2|bh!QE)UpL(ho!#Ra%)0p z#S^Gg-mha2-Do4pGz-tnYt?*j9OdBHB22eHN06!kW=nrxq=)?EXc1WD4>zkii(`Ih z%?``a^B_hq%j6VVdZ2N3FkhrJ+%JLu^VFf!9?ZW0)XDD;t0ZIe#yW6`$>}GJq4)RxywEsLmf)$qB)((RnzP% zZX&nT8Sq(0##d3%t(&GNLv7c0$5&A|k24jEhe0`ocSkzTCwwaAD*IXo{Z?#?AIqn? zs>mDQrdpw$s5xv_JAA<);c?mjEy}EfQ zuSsa~hphL(Gac#|nqF`%+G;p=Vl3s<9f>@UXd&aH75m7W=*2rd2JK#;x{|R;l@wfV zWYqZhdwxIWlCikd8EKd;tl~t6%M09zCf>EXb?~#mHTI(reZrL*No;om_n>e+IIKrj zfUaU4?_*pHQt&y}@G6x7Z(__-!Ch7cc4pFQ#aL9z8yCi#lUA%g{mmX_l=cT4W8O^h zc1_QwO+X_tTgRrhDA)1uwu}*b529|Eot7 zGyPw|p%okqEtLrwm{aNOx|W6j34U=g8BuCQQ%gg88AE4zYfD`#Sp`}FYYPKu z#UEk{K))yleG`C;wVkD|#g9|Kz|w|{z;d2_t>k~4Q86?%HgO6tAtBJg3(nBW02pQ*j)9rsuO9isM~s=_-#o;A_X$gn?KHz-Kny(ggyu((_(=T~ z9`0a$2@zSs-G)+}uuS6y+xQ-?y-%$zPb{b z^Hsl(s3Utg-)AFJPqNoe&IF`NeSKNBJmRO0QO}lzP>Y)25Vi|5 zx=?3$$YHPhxxh8^d?5Ubky>&8`rEMVAq$VU2%c`PW;HQzfyoYVvywc9DE~ihIy&*tAlo2={jC36>901lff1LdB z%>EVY9iYHZ=wRn)2v8D~|0S#-O-N5i&-nY(`y7n_XY2kL&y2uO@4v>gsI;gUwXCj% zu7jzS^^bx4*O+Aj#+d&R27tE!Yk(C%7hnMp2RH)c0mcA(fE_>Qi2m&kt(g0V0B0vFP;%Z}J zXa(R0NCB*^3<370E&u_5KENCx4A2AE7}}Xy8vx`0MgV7kqm_Z7oxQ%bogqLHAOdg! zI04)!fA8U63nnNy>N&XD82)I}&%XKfegSsok983MQo8mI&kgy}A{A2u2NQb@MwaK- z?!W&r0atGLGacxg`j^zN{XbLxOk-nXCuC)1BV=P_BxDEXW@TU?WMO%}mGp#6?9Z>^ z9}>W`Kkn7D$e&`*+vjZ0S)Pk{&hkU(&$54Re_s3f`&p0~*s>qj03JV=|9q|I~r4d)IU?6EAU+Ia~Z&`CO|;f-thT(1^)K@+p}tF_eXCsF#?3G z^sNm{t&9OGrdIq`_NIRw|MiUhe%qe=0A1HM_qn@ho+haeTUg(;6L+5wHoH&ulWbv$8YVUiRQ!S$XiR zcmV_50S@YGFcLcFSY?ZZGY#=cILq)IqCRu3E5Y#kCMX?ga2jQ5%R4}K zL^^J53bldf@cVGeL#L__-`MG?guYXocsun{c6v!l!8=^MPX=wy9wTfb?tQz$Z447^ z-;YE^Z+lI)LYei8l^Z!$EXIve6He8zb0`-~Ap97|o2%7Q3R%ph8}FAG z@+4Z-ij>u*_Lwd6=i@&z@5MviTS|J>Iq|0_W;GBi7mZ4|_n64<-QI4$DKhd*)v}y0 zAA#wc)B}C&>IS93{A{F?jQd%ux5@@KjTb4btCEd@#t1agM?Z~1C#J<%6;VXzyvk9v znU83W+y&9*^^2G4e#19L9(;4ac(S@%LZ{ue;wTi2+Jk5>gR#jAWLU!r!rs2^EJ)9i zkg-fx26_hEyEA6A;4>0ZMtd;7@$QYsio{wGw`BA^(A!-fi`qJm);xGN=Gdl!S2p(u)M7utUm>XX^Qd(b!)lcBN-v)vKyOXOZY*zUej7 zHGaDzR-&#N^);@{Z_1a&B;`}|?6Vb?J5bLS9Xw=sSuIceC1szHx_k7!)@~D+di@79 z0i8Ee(3|m}?aAc!&tun%z7DPM`v$`bmz0x$YJu#rf_GealdUMU<;~JzX?zyjS{up!=OI)bJ? zDC=O9&aqg1U0!9PhaABgC2HTgh^G1`BCx2|HSdL!YE4}=kEYRTwW#Cv_38@$J4^+Z zQBddYvaY!w?6f_?sZy5^s&5?chnpCd9<|%vbZE7F=Rvgm0MDlf^zWh0gHDibQIvKW z&}ByExSycez}M)}AV{D1r`aWtLf0Zfd3JAKLA$@ntZ12c@$J0F(XB+@CPwZWI9|iN zC*%Nl+R;^rQL95Q4#U=Cil88r04sO$=`iLQRx#ch%5!uD{DDR6ZSB50Aq#Fxx_`AnHTn7K>T0Z&Sg8!ri67bjJsge6 zpk_ROtNQJJC`(T9fEC_pJi)!X?o)L5Jfy30_85G8iwnM6U$T-~f^Y~mk8e#Y-?b~Z zpcfjMV-AaJbIH+JGd|5=Xv{SOJ8QVOsx!tU8AW?1&rNnqaGu$6w}$_w^Z{baJN1aT zdWImPu&nGQm#F5Yv)#F0=ymPx@s2|b3_?MxNd#2uj)9l%8-jGUD`gy;Ab z7Z>@7aZ8)1`5YIh%sCAz$YUx?cS5~?;~C1a^-zJ+wY|ZblXJ5H@s{3 zO<#xB@|M?fR#(Q`!o#n5J)vh0GiSK!Dn!$W8Bzpyrrrb(AH}BmNxAG)OKMs~l+~?y zci;oyyt)yxzBrHf)lYv(V(u-#-P(9OyhC;g!+O=%03VXZ;ru~st+dEK(@+C%0-kuf zEnvAp7bcBL-qb^He=y_D+jmZ;LUqZdDkp|%d!Hl9=j>Wa{chK*3EaHj`c~U+0HzG) z)PGXWk*^avY9V#1AR;!V8ht6z~Bp~#`h*ZE@jsqmOXZFK!)S^Qs%zE@nNRiC%X`r4Q>^miEn@{ zw1tfhPgHRU6=z>`O?lv{a}g(Ii`}?eU6*&>%cS&{e`LXiD?uvCgiFkMTPLO_E}l{m zZ%`d+qCfw&u8y@SA}S}Rtj#V_F`@B(YZLvvsu}i7BpfmVvPM%xE$&3D?^|Y^W^@;A zQndi1tHF4fRg1em&*JI0$`e55jzgdK>qy_;T)um@L;9$((x4h>8!lAOK+9Fe(dKA| zxBFZ5!|ubKZ;9KoR*AO)7sBh_6LqLTQKZ+HYI69)LVA^aJVJc*szeAQ;0)EWX?}zU=&63$ayQLl zzB4(M)ATsmMEA(Dm6=~$ytO6D<{)kEQ$9Q674}2&t|Whvq$rOrjaM;`r*RC_XUmkj~@La*&KGfDh*j8{FUP8ZV7$9+jj2&^I-9J|@?tqOHxe2~S<| z^6qwk=m;q^CO*^ZH7|+x1=g~}98I8Sqg_OPIh~&Xv9nWIornspzS^RehPE2Odp?z6 z|8+Iw;d>}C@P$xR=F_WX(xsVrPQQz;BIQS&^i^b5)_DtzB9y1Rmcg9VrW%{qZQR_P zMcXWR{WZsEXzG>)b&e~Xvd(3p)KrM*G1LBKq=^3D0(#1?G?1eS5odgKB~`&uOV%60 z(Z(Y+xTg2847qzOM*&w1pIazY*HGs_6CAhLFLNAU-yJ!(yB|Ai7ps0&T<^~qx6l*o zqyLEc4NgnpdrGqMD+zDGB822y(fCNREp5tH*Iw^$_hnp(tMV!8q4^ZCIc)^R$|~l? z=4NOtQ&~_clvt);*WXpqX^L9BKpW*%d}_U;xT3DE(yhJ@m#jO9f4GI(7N(D|!Ory* z0{-Snfemxe_J7g>1}90f#&Fez1ZZ(hg~h(P(_eK%^EmC;Kj21@87m?BlHEVAe6^LR zfWWZ%cshB1V#aMwX^@vS%$=su-RhQ-ctSWX>!DR&h(N{wx zpmQ+Fw&<=E%=N9q5+b|ED{BFGKR}0OL zqaS)LuyPeS23tc{iCTj?SnP5H0HfQDFFaO_3xmA6jViIqHsAAM_j7Q6P^&vm2dqa+CNV$Cont zy$sbOk^dA-TAX_9d^)>>ZZy3t=4N+4X}&XO;enlKl(_rhnN0FKog>c_5-hV6c*ySB zv|;j&lR^j_5HK~7)FEzVK5S7`kXFBqJ(`jjzj~(*}#H%}QsAtkg~>(coZ6{74x<=8nWnXy0XMO+alK zsn-VVIxF#*+vNJF(o^!IqJ9=`v=v#%6VX%wSuPI3?6L;CRr284?<6b>&0dn0GDoLB z27?F&mCAkP-?mvvs#dZt*bQmm#h4`9APJv>ihb&I)$tDVRG83V*pv>}ntVn4CEiHn?TCbSCte!;2q_IkP>q^>N@^i+MgEE5#&mnC1 zvqNgSNa$G+Cr11f6z^uIMH?sxnttE2hLEpoChTuLcFjE!0GnvI%?lY3xMa&sVp5>q zjETh;x0q+MJG_J3g;Tc*MbxFWa(Wd(B+MQWLeyXEV|FOv$oBw1r~hmC^5gqmiZ^U9 zR!=28=y>WPWvwcmgLFPwPL;mSoy0!u?lzlArTBK7RqOQc;Fen0>Py=YoF-8Rso@>7*B4tcOaTj zX3Q|r&I-$E@zF&m#1v}B_gHhHFS^JWpP%z!Qk;r0PJwyA1XdgWAk5v0TzX}hPi+F) z=>;xDI1g&VIx6JKgn$qhZh=%xf!^7a0i`xpE}`fnn^{_Z-Xv@7svWb3qsL5I2Nxe! zGQ|EU{-`hN-2*Bv>ey=BUjBJc9S}S_1uk1qS2R zca0!XQZpFnSQnypwt3}ZDL_WscePd3LQX1b@?#VfFT7Ix&)@NyW1XzuWlc>^8*)2> zP(;x^96QW31(5O9rqU{LxG0OaZeyle%Tiw6M0`dsqFAukT_q1EvVQU9C^9})ST*Z%m9C`AIZ0X`@&W zZ(kKXB*i#092~<97*!t4O3_n(r6F)z)+J2xe)|S}FL0M(S|7V8Gr^iKR>?D(Gy62^ zr3p4IU(I|eLll5-6?c5=yM!xdQOhKh`%oPm3=#*w*A0B4kt>-?PlZzW#M<0ioRXh| zRINp{WIH7;0jnI(X%|0dZ=bu&oK@EN(HOXq4*yz@JvR z3vu1(TfS^UkQBLC6QM^CaU(<9W@a*@8lo=mp^n%hBiC1p`6@rRZcsQ?-waLiQ z)J{;L=MEzH1>3$fP61*O0-i)J2`2fi*y1tV#GD?s{N1K=^^7zT0(lIK2;nir-RfX( zQ}3CcTsl6}7Qa{xdL?0ZHX20<%6Q?;jUP8|)%QC-&y7{G5r_m-qm9W<{+#3)PO)aG zd7Zv5TroKPo+rFEVF_TE(F{}CL%^lUvLKN!D)vC#{BxVzhJyAc2ZbLkvObtZTI+a$ zA;f9=zW?^kpE};=?8zhP*c!zVw@>$bE6&_OXC!$uYvY*?gUB_XPROg!kPvKw;1qDE z)pEm}$j3QcTl3k`GEMLC<8kxmy87n*`Dy;BPR>*(i^;VpC?h&>sa0PFA`?|b7kZQ& zh<433$rBl5g5*_#liQf<>$K~g2X$x~tf!lO=;Ei3o^EfKg&ywp@Kq<5Ph)jIayPzH zzi2=XAA?X#I(ZB(N9CTyR+;wJP!o3ij+bzKoIuW$f_i?-)(M4(eHNrCGDGccD&Pxg z@EwA@ODE->lt)ZkH!ReWpy<*zf2#A@k_?#Rx#gKO%q~R*#Z>tD5cN~lw_X;d1C?ox zH|8eInN;;OZ2^0uR9I?o)aA$RVFwUNj}(R6%Xt_l=bQBRuQ!d|xFfyNY*&h`t&^kg z*#}h4%IBBA_Eya^^8~}oJI8PpYm;?j*@|SAtB^dhGA9i}oe8D4MT09%baH(7thMo|IR#IYZh{~;k z>2hHe(VoIyVCSm+de9gH_!}o)?n^lazuY{RYWH>Vk>-L_>AvrMhIsI=*qB-w<{Kg=+PhRY?YyLz_R8 zYZN-lQ(k03^EJR*UpGMn9!BRcS1pm)OB}sxb9pErr@F-P!g|dRpb}54h|jSUl(+oW zIXf|58wn(TRN^a#eqKO#+DVO1C~nh!#vb+Mj!Eb~d>#CS&|VSKH@ax4-q_wEfs+&0 zIP@;7*~j#yaMrO$iZMd<$tYC8^#*?QNxN`L^Sd+-CgwD?<O`!1zU(m<5vqTm<4E zq%!b#u)1i??}sPZp%mp3$B$Lmco%E$w3*rE-Nm)ZxmK%8`pRc+;5F@EBlkealC2Bd zxGJJ1MVL_gUa$GIwuZgwoN%(^sp|$TH6o11HEkOp4@WIyXXtZ=1f$@-x(STJJcSYR zW2%XIfzgEKiZ*1N_7rp&u^JZWJT4#USyn$Bgzgw06dGx~u{A>sQ zZ$6CwX-Usk<$sp?>-fJ){qvg7($D*Uelr4%r=|Oc%s(vFvclA2h89kS z4yO9Lv~Tq+Ol^UfjmZDqYW-{N-k)#|JNw_!oPWO_(0=}m!agH9f1t2GU{?;--_RU- zwjU+^f#$H$1JN8t7D5IF23A52CWc>V4g>pdXbv0j=uc#og^}sMMRRC@3l0CobAT29 z2b?1U1aXXkz>OUc)_KNceu6d*&ei}26FWmgfRVMM9l*%c=_guaZ|DTXZ+_srKtM+q zh}u{HtW1H~+zjok|Ax=}it+ikXZ0^bKLb0H3U^woR5ndhsjJ24 z2w8}+EhvVD&T(Dze#*(Lc{*_2Sv((gzuvfRzIHYpT#Cpx{hrVm!vJ-@bJK%8yNNTB z^6fj@lZk5FZ4&oJ&D)Ib$=Ia3W-7J;Q5FctSZxf} zSBM`#1yd^V%rzo^D2cVB5ZNQ zrV7b*qOyeLXH!xoW^cW%6YP}%nnDEMh=y7 z56yTVSxo zDFb5~_cmW}$<#nGFf{3B<&j5_3_R?NT%2Bsutp}0;x$8;00M5*1ZGFwnPIf`_yCEs zjkE#VHL~4(qOHp&W~u3&OYIf&eG7aphqih+=H*qEuc2nX4x+2DOz5GEP;=8zx60Bv zBoi}`wrO}(GV7$geppS7sq>-OncOWmg_xpy+hma0vw;NVUW5?z(@kzmw0@$x8>i0d zuEC8^&^j4K1^QRP2{gCgPU>d#pROK-onbub`J)Wp>*$Xvdfp>)-+ug(eaUaj!9aZ5 zXd);q9P|1-=v7#);`URkCebuMxN!<0ZAOn?lDRJP- zmjMoKKtEg>37n11%yxFNaJgKf{8m+9+}-Gz=WLv(ANnx#D@kq#T%n`yH=#~t;`!POH%cs4U1qX+Y_;d`xxt{Niy61)XaWKx=FZ%ooL zAES;I?e9Crzi6a(PnI=nSi1;fx_RRrBsFy>Q^YG#S>yW%A<{$)A=g2>yjW;hR=|7U zV*{tS0feXV5S10|-!?#6IKCAc}4TH8zapWO2aE62cDSW#BKBUmh@7 zxYI@(PUAX_+VTaE%!@;aw=2>dZXc1t>%0ie`)H=T*hz*l0*V#>E-exFAVUq`R^PYs z{(j^-^5jD^2=nU&XscVcu-vC1ycLhzjx^XoPN{7x?diLm1%lzz!qGPOwg-bdpM$Ee zT|Hl{8=ds5o9$1Ip{Umt6i7DV9RD5QVGHA?#2~G^A`|@TRZ?IM^OFon$7#W%xcC? z`J(F>qcKEi`xkBUVueA1&jEd@ZKApOvH12>X7>%Euxdop4ebrZYaeK^O5p4)YRj}i zPIb0)`U3@{Nu(kk+!8kJlz&?-n348v>oO1+&Ux!9S%uW zJ0Qhq+rFcRPAZe%=3RnN_s=^9Vbl2&y@@eAz_|Uka&!JVkjO7?tOm`P&!KN1Fuzq# z$q+xS^5yhyRt5F(5+V4&rgK-}<;t0?Jji*o&?jZB+$K<+WAk!gkga0HzL$-A2e44l zkJK<*USp(JDMOVXecFsvI;M2NFfO`vjHi_B7Cp?96(%hyq^m^$HiQ<=;t;OTIddh1lLpK=yjffv4R{y zHY(D%JvLO|9fefTu|S=vM>`^&K^)_=9`x4Nf5utBnrlYWDtBYd4n*5C#&CP16j2#o z+fMo^B9Lp*8mK!KtJc;0tM2%N>hL=wg`N3#6-JC-Q0NySUwuvdg>UULySyst;x>}6CiW$ zCsmH+m(Iq(^1IH)2xQ7VQ$bjPJM8oIquk>j@pv#|cwU?4N+FK)yys>iM2%Uh$oFB^HvuXz{`C0Mf2hw$n|JJw9dilTb zIev8HueK2~{J077|4PGQ{f%DpYnt%;-m}C%KfliWl>AxBud@Cr|M!$%xqs#RXO7=% z@N54+m;W>W&$6F${CVd0?f>BH-zGDEe?0%sQy7+Cw70+Me3CC-4U@n1-@_#;Mu$QrCaT)$qh(4i`s|X>$=+pvt55G0H~Ly!pF2Z7 zBxckH6C?Qxlgec1ME=AMV}CeHun)fB;0+DWzQ)s7JkOA{B1mx4~dU<$Z#kOIpb6#*@F zjRhcFv?MD${#l5qlkYQ3a?a=OI=yC3$d>0;Bc^*Q@DAT?8e+A=Rhu44t1B*)?H{K} zmU{IFdL+KejYsxJW~zJHG77)r4xi2<<;Ax($|&gUa}wqt3l5uZ;yi_N*+nflYy23j zRTP@_M$KW{gRDY5n`$soi8ck)s#~%>fBE?7uSUu&PExU@)PFMGM)e^)K7Gx2H2$Q zUh5>SY_DT4NLl7P%`Lw^lGQT^coqzH!xP3iucH|Dccw7nlDmAWiqcv z3c|evsbcn!O8{GAnAWJKx71QeovcaQ7Ux1<(630T=Yf2ZoNl=v{W#z{)O>+O)jeOH z05+y!dB)1h>$Al6T+^L}mW3TTPkgsAd2e}7d*jIxF1@g~kD3>Y;S_yEsq_O2-ju=} z$Ea+f_qEA!UCc&ads{sV zYFsfX2tmF8?8;5U1 z?i6ew)ocTqi1X%f4?#5C)JW+(sl;(Ua2)QOemu+*>(-A@Ce)WAtVP^Ie8=L*m=U()h2f{MAve77O~b=>3TL!1 zr~q*pal%O9L;jFH-*aoLX>j|b>=d(@Onq6Do|%xzOO}KpsnVWI5a7O1#m9?o6D#s5 zqO#wH)GM^yPhE*N>J5$98N>H|2mZRL-QhyclQf?(V*ab#~664r;wt<6I zF!keHzjv`UZtg~2*iR;on*`3|chhXnD&pZIdiW!+IfRYJU#GI&@2$8h#H9;HP*c|f zd@|U0y20Ks7=zz2dR*L8Kz%QoKxt4fp_ibavB^r6fP8!4VloYDHb+P{_Qfw4Of8%7 zH96!dM}8B9W)A(jjcO@8j4~BXdCW%f_w%iJd&>Qmup`qa-22I+TUHiQ>0C|yqHZ|I zEoOC5rWSOoDV9}S8~FE@?=}T?5vrz#W>qR%I2Kq&a(NX-*{CGdMkcxBU;+2M08&vwZd&sQuJw7=kdz}b&MfnH`&F`MZlF2>Fe=K3E=Bq!Uo=H7C(bSyym@f`O~ms1#JwOpf$J;IjS zKhk!*IL}LO`S*#*e?sK`%TUO~{Oob^UowLIfxnxmD(FYL`R*=}^p-h{>=A^C2z-cw z28zV|a!4vj#1bVi#7rOh^ zDYf`N)(AZ0vM`?C0OU2EM2`xp1hcSFvvi zr{tjQrHws(10g`}>7VuYX|v{Sc3 z2$Zg9e~3w!n8Q%-kn-TXp`R1HQ`}+Q{KIQ)DnJ6lz*C-T_FQoTUnKFy0Na#VUI8PY zn*Q54oP!Fk8+HSBSWYTDKinveNOo!G`D5nQmdVDxo^eB8$=0FDLH<0d)gEQ7t3gm{ z^jqp(!?7~_4IO8g?p`TH#=)FWcj*(3VI@vgVTjq7Kr&_+>a=wN@Zp(P$kmPN5DK6R%?duPE^BAUVwH&WQauJVVs>Mp^BOV<_ zhByQsjAZ|sre?1nZEQD49bWy$r+`GFB-WVlZSH_Pwe(k?PtcW-NxqAq5$KJoq~92l zx>OzbFu0NJK~=iWM6V$`zRd>r@zl(cTK4N*%Nj0yB1CCAxNCpoj(N}QLhv+lL2$g^ zlHa(1#N&;Rkp~m*>6WB~w?eG7Zg}moyR~)QjR9+R136YAH0l;|@KGn=bu>miRUw25 z)}~)QE){=|{|0<@k{c9Pe_UmvDa%wrKDHfjiN?*^+Vmpp>0&-=p8`T0>T_6>uETIufT6Br)C;bN2aA+Bjgv!?g~M! z*ALhQ8?uJ0P2V?Unimu7I-b}u8>4`I*8#=@5p*o7b??YRbybsrM(SxWn-U9 z0GN9%yl^YpE(hg4jPaxXvdeCgM|g%UDS$H-2$hCD2yJt>dQ z@qy}=**>mpTVH6zS)`{bqz=;%r0hvAI^G^4T!E+`&y$|gcze)6`VbZ?DhRv(AHf zze4<|%}Uc+j!N-Pl)`2{IU%!ZAX=kd*W&ZnNfyo2{6GPFFv)t{=4#$MzJv#}8Rpv?!834&<6 zs$N&@jbQP+B|TnNb64q(Qzc;b_SG=PA1T80^SWNCA-jGYbC}5W8cQ_yePk2zNpU$h z>X1_1xbw0k^F9bWiVa^!|g8!Y|XZ$PWaoJPYsDmz@^t=SZ z8#)W`mN=!48ub=gH&T&S(5GtkmnoLzI4;5K`~xL`3%)Eqj9^ongd%DW-=^Df@BWbS znQ}7T<6T}SHTyhXz#LBG+t#-~1HEkN4 z4Au9q5+|omhShk*E!XKs`aRMRE#cPWcpWff5H);T@pB+*XH#XAG7#-dS>L>B*?jRS zp>yg24_O#Af9-g&W`4Wi?Liuy-vfI*5y=4J+NRkuzML8W3*tFC{-(RHaBF9O6l6JE#g=A z@%znJI|ep?d}a>I#cnlM$gTjmD#h;sSDzBNTeO_+0(Vb*@)k3;d$ShI1x3FL3x%Jt zOgTe)7aT$#X`;XIoRVD!`7F*F6T3&fL8{c}WB%3#f#10RrDb>Rij8IIl*}I^Xv@$> zWlb7+`awO`s|-{>-CY|{Crt~vUWgV&PTpniOM7Zvd^eP5> z9G{NA6jx>*Es{QnR#Y>Ch<%uOMFte7L@F*u9g?)yD}aHB2BqM&ab;yGb4gA&QM zyNnS7w5b=u_kW?xD|z(ckn=0cH_X`5|&^vOF{Y_(l+!yO8Atsw_1#AsnE%I4eC zZWYWaaW!aid53R}g{CHk<&i(DjQo;eIuw-59PC9oRrOg<&`bq=h_SP5f*O>tt%r@l zqDCjN1|%JLWec%19C<$i6 zgTx!QegpAD+kJTl@h)Ij?>2)tF%xy~gbXB)u`F>4++^^pILYVQOAX`b!@~ChwhbEx zhPYL*CmAQf>uQP9s0LV$2R5V^B6=z4- z*Z1QM>*o4n+2@}Ug=lM`eoP-XOn)z<)(#U(FK*dMDn{(MnAXWMCUhEO=3N}}TJ?KK zFT1jrHN{}El5SPIaD9yrh>7+Gn&Et4hSB}Ue5i0>x`-`- zeuXAGw=US9JNaVz<>*6+_p?-sQpq0=eDPQLGwd_#$3`<1W(TmDg!rhs8;W~!H|Pd1 zNv0>*K`hxJEaGYO!3V(eHwZRja_GfCaZV48hMC6%A=I1>YwgabJ3AyFUE)EwxN{@f zTPoWbZ-v}c>3v3<%p)&?R{IVFYD z|32~iPh3I&X_01shHw66kp7Fz^h^NylLYj?Gdn+f+y3uz{730Ooo9ch{Aq%IK6+01 zo#ymhN8s__&CjA@GQW|Oo`X63N1_rl{r}FrmgQd~wDbQR zuK2Uzf1dr(z~2CwUvS5tlE1dVJb!DnxS)X8pI93(W`wN5bNq_GOzx~~|I60?M$7us zmDY{YJgMfeMev8`S}p#6-u}_oYr>{Q@6_s1m*q7 zp{=0-<4l=+7QTM+r>p4t`~RoC?~bpkSmH-4AYG{{B@~4Sxw-Y6dm{va&>metdPyS$ zQy_&dsQ4%%#YUB)Ac!DEL^_Cq$O8ct73qi+1q3P5;rE?Ax%ceZIXC+I{obF?&*wdo z$;|BRPTASnJ-fqKzO?!7uiYZ9rL}f->zF(2#^g(B&v!cizN2XGW9K~SPZw_3Z(qq5 z4wOr6SML0YrgtYyEqyOJsYdamX&K+$>;GfH`)dzfJ>bFDDm2>v>C#PqE|^t+><=C5 zEpy+!|D`AXd?iC$d~3mxBZoKl>b|LCzr&|SH=1@Kx=*!-dyKx4HEU^+zus9r>)0!& zbGm)Dy5a_JsT~{o4f)|f?g!r$Jf}bTVa|wszvLVoe*ftsElS>vJePdDM#v@(nj~FT4v|t{g(B(^w~SF zK5=5_6z$^&qJL|<>+1N1CFZ}YuT0+-m-WHDm6xxs8(!v@Hs5#anw)v$qvR9q{-srX zdcl$lpJmJ(ThQlBDs<;k!*4cSxH6#n&c2tnUBBDD+0ysYX1KNt`JvqDEFL{^_>#&YmS}$tEb+mWS5jKi>?o=bhJ^EKhC;GwHvpqSi6OL;?GTZ!WMip-)9zMQgX0Zbwe0!wA)IVOB(CAc2@8}-_$Bw2KEx&Jh?N?rGeNEq1 zbzjr2zwG_7QSqv8-<>_;Ov8KK4#Y=aoO5CHfM56QE!HWw<0Rjxl^JiQOuhNdu|gm9 z{A$~+b;l>)x&LtQ+!FWoKN!F3%-w$VU;R&qTRAbkKJIg+X{SrGO6q|sWeR??`uf{l z>fCddzclgeu-%(p>lUanFJbtl#_5|zkDn0useJjB^@l%QcGluzbw*cz;j0csqxFtA z_D}8k)8Yk#2e-esChhSL2M_z8;2Y(Z{9b!@*)p>;E>-*^_VLNWYJsiTzx91*+Qv-jys1+&(7+Wy9&OV6z7 zr%(Fn$`)Ud)n8nFd}&gOXUFO~12@IDs#?E5TDz7T z^Q+YVw42ek%=O4uqN~1g;_J(CXU9J};aK2{Ung#&iK`gDuJCHlYA zd)DA-ua;@-YJY3o^)2`BzFP8J@A*F#EO~q3iv4X?`ggzL|FcWRxzAmtdr$i6i%weu z?rHBFE*$$*|1OLnr;7J&Wbm>wVYkPNToj>e&C{G_`J#OUccPz zSd9i{5+8N8biUa+JN~@)NL0V&Y)8)NiH=DTj)23Yx{Cwz%ofDUhJ>B`@ z=w_v6-Y(oaaqyRAPA)uE^Owp`R(-hb>{5?B^V+m39>?QGa6QicViu%yG3C_Qs{EKlLuT{lHIqwVyWlD^~iY)H6fte>r{Y z`P$P5pKf-#(djO|eXFuIME`X;JMHLiciz7?bNJY4k1mY;VCkZJJKHR{`-Z1x;oq)1 zH?_Q7Yu^x8VC=d<)Ajb7KioOze5INL6VAQ0>BWZY->vY>qu-C6a3prgiBoOP&N=gH z*U8$?YpP70Gpg>kp}jtwJ-FfE&0kM%y6)PNs9wuXjA*mJ@`QCqoUVyKyD$Ii ztCPPTyy&glbbeGJebPe}hqP|lq5izX6^l;HKG*;Ffn(b*|FL_=<~Q4X|I^y(@x#l{ znf~vES58e{Kd1iXD@TskdChlf@baZM-f>^{T;9<;XU~U~j}9%@-BEsExw%`qOg+=R zYR&CWMQ`d^bp58ucXR6<{CR1h%-JDl_RU&!dT!=;fA^pL#TOo*edyHgx+8w?GPJ<> zaXWYErKc`=WK>kU!9!1f+iZiwk$NyNY{0%BM%Sx-{gGQk#tf=6aB+&iRmG)O)(@WY zXU*M9+;>v477lxV`?c6PB^)!i_pfp9k0o)t@01;~(Q_#4*y7ti`|mdFQ7k)liLdUG z>NW2*P1&;Y@R0T0OI_=(rM&i=XW#J}J;xl}_+^C`SNBQVvnOLu$*UU{4RY;_DnGH! zuzRoFT(hM6*3>>*X5XB8y4iQ9zsM>*drGf)*XGCWS^u;5RQuk)Uwu3)E53i=a&Do^ zvqw}q)&J9x343P$`N#CeyVllUvn;!P?c>L%4RroCD0}mhi5s41`LbtcyD@ua|CE+= z;o-o+pC(m%YId>ThfOY&*y7aqe(PHwNuPMQ@@o%OXmu{5c%|Pm*KS{XaK`%0JK8)u z`?nIuW*;qd>ZOx~hx|2SYw4QXN3UM-n6p=<+4pt0b8fAFN#kpdmj<3)qQ5mfednzB z9TA%wY%X!=nP)G|Jh6Afpv~J_&nh%AbJ(2Avo^U`CH!)!Qk_w^FI-u8dVhm4=_L}+ z%o@MosjDvzKf3GCjfb4|X4IXqIWgsf#Rqq;8diQ+p+R3Q|MKvy*#olnj=vXqdfAsh zWnVq|t!J=v*!g-X&I=QY9~^X{{7)ZUcyz|LS_va|`5TXD-EQ*y2Oj*heZLCxruBYz zd)3~rWo_+$tWg>NgseAW_RgsbRsEuP(1yWNYPDzUXkh)`q-=8&n}o-G}b+T`3diRB{~+X@b!fEH}x7fe!KVUwlmtsZ$43A%Sm^iFYZ>z z{G!mYtH;YnZZ0_Lbd|-P&kKx5_25( z4|ct^pX7B_<7;cgtG5E_Ul7OpC~oG`!AE4e(-7M*d1Qi z#KDG}u+H(%?0VNCSSy4#o4{2=jm z*O$6Bs64*d>;8$K&S;+4=A(VC?wDJCTANWT;#$ToUElS?U(-HLobYbsCCAz6rBZy|8V}g@<1Q_y(~(t8H#%oM zayer3?|+pZ^~8!2KP_9gk^6_7FiQQKGhTl1SY4vS&;&-pVP%drrin}8d z?wnuKb!NtxVzpl@+O^G%dK)(vuU9hh%Yiptt;Qvl?y$M(`D-tZK0D%Y?JwgJ?o?S3 zd+fz?v#Z~$yKKcl?cQgLet55Eiz)No*naS6qnmG5I&o*{`TZxHRXVO&m)U3Ja=l+d zY~`hMw&g}txwPi+r<1a3ZLN9yv@2(ttKyEQzPP;b*1`CVQ!j03H>vZod9&NBXcM#f z?JC7v?|b>1k>9*i^3uu6m9#H=&ePVk>9%b06C>XpbLiq{-DdW1Je#|_>ztU2e>TYd zD!cxrj}NvO)L_|;;)@m*_*{3VdJM4~n>D7f@KX!Q5zVyrZ zoAb|C{o;&!?4DK6-|TR*$>I*@UVJB}{_ezE@BVuA{Wg8aG+24{WVKF@e>5zkN`tcx ztVlaDXnNDs$G&Ww+PM6hx!p$0yY%qd`7L5w?VK`qLXRivUmHGsPJ=hiTf^;ToTO`)#RKZ!P(>#Olx2?0s}tr{$BsUD>UF z&c468R-7}xz@Mx3?fmght(cCBzN`7%pk{mDJ3Q>EGbeWrU;5(%gO;D!|8mbJttPBr z^z87CSKn{ep>>N=Ew4=Wj=MT#M$O31(PL*_n_0eP)qj88`_%cv6~}b^Ju*7!vwyu; zzv1VL`=`AcKX&=JuO85SEeH0`S@wJOvZ}5BbFM^@ccv_O{>S^%O16)Arp=b}_hnrA z_r`)fyxZ1SZ{M$Pt>cX@#4Sqxti;r*BS!Rmx%FGaM_vE!`7)19|MiiWlbtR-cA)ju zY9)J@o_5ylYd*T%&OM#mJ~z`btaIVal#$gRZ{MrX$fp~3&uw&dL2|C$lOkL7r?T{_ocel9t$nFzcpY-X!#OhfqUb=qe=CY$Nc$PjJTX5Wg78e|?dv@#c z`Sj@Bj!6|3ILcH$QmN9^b~P=S1mWao`*Oic`?|m#THo^^mS|6O;z4mZ|BfIoaK6HHoa%)aCSOUbic< zM>O4CDu7-;wfY?W&86vXXQbDt>E!`DE&xRHL4XecAx9p-Ml~Ku8t@XaEQi z^8kK708|YNHX9%kQSu@Tx8LidR>XICL4Y3V4TK~mi~s;)eDW|fNE!%93JeWA0wGBW zKs-W{5`cJwBn3c6UjiXX2|!Fkk`llRKuA&#E_Q_IfsmvG@J1SU0gBEMKm#BoDFOTd zgd_#PrA~ zqz_*L(3u4gmV%G9^X3VCpg@1r7S*G9~r6-NeI`6aeC3O6tL#nE;rQ z0zf3Dq#ify!{;(3^|%9&)NM-Y@z5Z<%`x>lbqum78yljdMIQjBq`)Q;Q&M1~6@1Pa z?7mO)6NxFQPuBwgn3DSR0JUOD>hn7>9&S@o03fNyl+@>^5%ZXmYEHPH&ts0M=JY`? zs6hwi(BPy1n38H<7m=8f0)W1FOi2NN=pIv203fNyloSAJ#gr5Pbl)hvk^>MMljTz0TFV%P8S*vg`CjeAY-W0x=V+*N>-tJ zHTa@r6#&2&C941ct|wWA4qpbq9BUm;1%Nr$I;01{9BbW=q4i2uq5COFNLB#=f`nuh zdH{apm8?P!z)ZZ7RrsAk4s)ylKm?Sm0s!!ktitbhLUhS003Z@mQoq|n0Mjb`E2oQ!jrU3+608B{%fJymGNdr!VGq3p8-Do9%yT1W2B@MWIgkeew z0AZMt0)R0Ub9;~n8Llz63rPcR4`G;+0su*k**=JY?i5FHjrrdIcxc3oM8E*R zoB)s{)yNw(Nm5NTD@m&9kONF`ZY|5dT30&PGReMXiNz} zOhaQz0CWzYq2;wg;Q{8Lha@EchEUvKAV$mxAYNTaYRPh_T^4zOjyZ=0!RzsRoR-LA z{LN!p1pppjq{p-h0t5h%dVp(+0g!q?GpEP23NNCk4uI4HOkV(m#@g%jVVpdsRd}5m zqN~TW3IezR2#qxXJOG5ongHNoS_J_hx@i>z&;XDm)%=juvpk^%t1%zPH}CXKq8&k_Jk&3qOBgb`Rt$QZHnitd~FEHM0pVUDSb z@&u2W&m!y!3`tUr`eNp@n8rNBRFc&1fna7nOBfK{%x3{0MVa|50EpEdGoK{@x^L#Q zm>ZFec+7kjb0fm4$INE|K-TOr^I5MufQ;8;=ChcS{3MtusmJYwFN%)<4PQj=g~(&( zvzVkPu9*2O0pQPOJ_~>kLBh;uF^$n&ZsxN9Abo{#hSUIuBn)#*0iae)?E^rqNRr}7 z10)Ec=!Kb&W;ZjRMKmBu&3u*sgkfqQ00b*DpEUvqvMUnI5_u>*@W=x@@f(o`)1=oL zdBoq4+J^dwQFTSSC96PY0)S){0C)i~V**kY4FEGHAXOm@vj-ST;$cQF%*0LrO#20Z zFwC(AAOL_l)|jgaLy{D;CIIGGV+MrXNLGOr56o4v3aoetU`h%A%vG`qEP;rJDJcL% zVoC}Cj8U=*0N?|XRRBOtO-YgQ5mQrA0KinT3IM=VvI+peRI&;Hz*MpdEXBZ7vI+o* zsVpf?5oSJ%!~}}+nE5OLh^Z_o7S32uh0Fo#J1{l#S&%@tSYgQ+U~1;GgaM{zJ_`V{ zX1J_Gf?UI6=Cg!BOik?rKuk^T13*k=NlDq}DhE8^t?+WG6)Y4zW~tSc%Kc`2mpo0B>~5c7fL=0FXwTvMMZ^ zB_8lbw`>9ZhXz)h2Y!@1w&n?@9MuAnf3z}ZsvR!C!KDTTa8iw61+l2<_L&P@w zqQUvxvR!C!KDTTa8l2B9+l2<_GhVYW=3gI*bO1k)BH$rqv;Ytf zNm8AZEoHR8ARf|~>ToT$l+pThc#>PnXaOJ|lCSCH4N^u64DgULS|1fc-BLyi0GLV{ zEdXFDWwZbg56Mn-vUMq=_332mQbz03X(pC3S|2&CTgqsCIw?xZXaPX8Qbr2^`jVH? z8t(53P=E7s+7Jj+d|p-?1i1-h$ZLQ!9c4y$US?~6L@03^wOUIQA_Z8ZP#!@5W>KrH zBVYy~=?_T1g)1_4Rnz1}s~NPIr?)&TGAS$!BrF7D9Uw`1T1#jENz&6=fp{5~X7ECM z17V&9Lm&u|r$YmTOdZn8TBKYZLdaUAbQSDnEh1Axg&M6&q9PR|LRorP9z@NnxVOYl zhS0474vd(~@95xkI6A~9q$PJq%}Gl(ess7Tjqt#aqkU>hMtqv1E#5-P%>k*=IYFxX ze2yj{ZIYUjo0Ugv{OkxgTE(YkINB%Tmb#2ctW1P}pmHN))51~dAn2Q%j)#{L9hrU5 zG`&3LXq%ap<9Mz?D@S@}Qf^u@xEaBZxZ!74IBq^Gw|K{MZ6qE>W=cFz1$8-^xgCyX z$?^17j@uEJnw^uCnvk25oaAW#TuYZmFYY9f3~1c2ClF!*zH6)YOzA{{&+=ZMdM@X6W9IgU2{b5b)ivQ5Pp zW&)n5YdgK+czPTpQb-Y|mf8*H4Td{!8HB;` z!$HHc@*1|~2pN|htB{$Z603(DSC>bzQ%5V|D<(&DFl4xX9c5ALm^8`FNF>XE(MY30 zIC)M;u>d?m=8Qt9D-d>&oEGi0N>0zr8lu`B0$gy!1DfXXSp{m}KRGeA&k#o*ZJs4( zJ33}V)sA>aqc)9&5+PH_gi%w1Okq7)Herw%7TeZ=DL8KdiJvk3<}Dua3;vR~aKtZ| zhw~PV_yyBs-hvUoV6x3yEaDerqInBN{DPS|Z;{y6LG*^CZ5>1&Y@VnMGjHo4V#9LV zIzXGZ7HsQ4+K{&lZ0kUhk+%Y*Nfz5}G>Dd|nK&C$b6ht=u;|WRy5c$>J%W~~8M$d` z7JOEoN2zVp)a3Gz zSp@N=0U~*jLvnms!IC_*2B6&x*57an20n#7hA%7FBEK_&Hsw`(k=z93H?kAaUn(ll zT+Q%l`VQh_>5Si=GX@Bm6Yae*0*iu;C8^N@vK-3!7=f%|8uDNZG^AK)a{^h#xZgKK zK?n5-E+uEjVERNGv&gONN+_RI=hF-_D0Z4&Rb# zg{KhtWUw1jyvc_`*1P1e`B2Dems~a<3R&w$(dBQfQPi=6{g5aq9?{ML4X?jK8d7317&=6H24JACyP32}6E9{-XLH#Fru(;p;p~78deFYHpvKN70YoP`8l11W%#m+WqjIY#5MvW5PKKFJ~IOBIjAj& zy^XL07;;7+YgulA&bn!K1hON`1k?u~YWS=LIe5zi)PgO69J*x!(E|2FSPQbEWdhNH z9f7Q9nLxCFD9c(1r)bfF9YKDYrW31b3t~^uPI00II|A9ASs&mMtc7rzrcTrGFGdhf z(IG!f9HxU`G&6)1n1zgR&OFXkLm-|1 zkL#u?jt-%yEl8*QtpuV4JA!;QZ4QZR<0F{4`Cc4O9YaO-x$e3z2wH%-EX@+i5$3{4`A`W|&C% z`Lr!TI89R@=tvT4AwNyio+cy6&!=e*m=WZsX)2E~g8Vd1UxF}#{4{MxU@4Btbs0)E z=tBv$1+gy})U<^FRUcadS<^BBEn92}WKEl^89I1|(+o@=SOzmW`4HR#gz2c zWiu^U>SCt8g#0#>v!yI%MW-WLOnOaxq_Ej2OO znyI_=-3;R|yRe0T{KbyIqF-tKFZ_iQ7{?rg7Njm;pl?MY;}m2#e7?Lh4oQZ?$0ix$ zFZ-_|4;|HD__Bs2d|IB`;>#MA@v$7V#g{cK;R^%hOBdt(rcHl^Ja+i9h9&;AJf-?P z>#wX}317&=E!sF78crTNd^x|C_zQV($V`<->=fGYCwb@)3&RhiUm71<{BZik_|TCR z#y^aHg*@25VEAG5E99}mmuF#Rd~jr+^ADq6ArHog$rDDuLLM7@OW8}62m7rme^J&A z>PsnaXgDau@Z||u!Y6rb@n!wW_|O-Q-Esc1ekFVwAMBfRd|AH|zL3WbU)HaTPxA0e zf^k+j-1zV{gmIME24BcyhcD|_l?S{1>iCB%chUIxpl^mR`>)I&`m)6jr(cW@z7gg8 z!{}GY!)IXQ5OkRFp-)Gd{NeOV^6-j-afI52zmUhqUqmh02A}jrUlcQWWdD`NljO0( z52Ig_hd%OB`CCd|GJnWpiyuzEkcYk@XZ*wHSIEONV&iOhnDL?S9vS~I{!8-(jt6o4 zF!~kp@Bo(#&wr@Kg1_LkC?l|4R><><1Ct>`w(c;h3< zpfn1)%>-{LYANVACC6m9XxGwJI!VjWW%spmw>hg~e4H7SU0Dt4@EFEk_Fe^F<0nas zPdkJBMQArQMMv)$f7yGbO+pcUY*sIpQkH^G>v@&G<#?C0rAYj7rk>-=(OKb7A5k;@ z^6aaO4}FEr@MY~P_;j$E;ak)zonE32;A2`&Ag5RA?i5{Uz*>+s4A+)@3-^z3eA#ss z4dZY-$Cov%;L|tvsyvnwmV%Gt;~bxiK4@`@{ABKozpP;epAJwnd|AVaMcB$CYgom% z86PO!lwZN8t8bV*vVs*0!sk33U)HaRk57LXe_6jOzD+0>NA!c^uNJWA11_}zO96`! z;5;lNkTuK*Y*HX=2}>-n%qV1YAkX;DIn)QKNJC!cvr&SmyV(*@8OV-6*0NkT5@jGe z0$I)KBu`f{u~Cxs%m{28-*Uc7nX@$<=3))Vj;w}KZrH|G+@Ud2v_QKjN(07M-XQ{2 z3*42BufS~yNDFoZvM)0&*b&IitPZNpuC(!$c}OHBUgN?5-f4@TRRVmY!$!%XXLXe9 zT96%D?zD?W$&Nr)v`ipu)rP=w!b=I+^ohLM1yL3c4U$qM#~l)!K#tjJ1i&pwoWP=J zr3L!vn~7vmv_hcqGJx@sey9(M-sl`YYe81D5?ASRDTbe)p6U8BMqtsibT~}}F<$96 zZZ`^bK_Rd?He%d<6qbMoV&itCa0GO>h}sA7twC4zdFZ%G^IViK^CM||2J65q<7f|)8mjx~gp zhxWD@zN}hhxn;9lH10$rUDwI@%MPq$y*~c=lW{j& zkiQb3ZTl6#nXS=n_Je7bLu;mfL3Ob2&%F?>sHOTo9P zB^fv61&2q$xB2waxJWOEug*nu&8*r1ahX9_0=_p%dI-9}%c zSRV%6CNN{KOcF*(Ol%H=7*_y>_^SlGIBHxG7>>Zlt9i!d$3X%)*`tvc!_RbJJ)jjD zBSapZ7kiAmlYp-sWw%w(?YLXKRyr(6J;hFnIxv=Umdu{+Z?PpHy#z0f}T&JTk7iw(GR8A09g`2eae`fR9^@cn|VX zvfVPx^0A_tX4@QdFz(n6cECbFNicF;MQg?#+;#*a3AQ7U)of{jO8xv~5H60B49P-3 zBH0nhYL*FvNOlCWnq>mYCT&(eM{__(x+p z(lAC~(X={BJX8}-W(A*~M_~A}mK9f^ z?l63dl9hs}on>1}S*q{QwXv)P*_jyuPZ*7x@q;R01c)VS3*zp;a0E7orHz~QLj;!a zWm9@F?%fZfD?0G;yDN-q`NQG!;*oJ{e-K}_Y9HTDH*WP05h&rvrhIDL`X816Zo!5$ zKUcOh3u`?~aWuP_Z8@-|cG~7dr19!Ns1HgDHb)SRR|&!r*vy;a-o|hQHdP*Ya{S&OADGmaQTBWh-rA(5(R!3S;b z-Yd|oKx%=M0(}ca6lhlH2j`ZU>i-uPpD6WNyJ=2pO=>kuP3ara4i~Sb<_w8wo0W>2-1=oEWExkvMMgJn zQnzk&lL(ig`Pf*)Cg^6fY+RltS))`TY@hcObLUnqqw}6R#_i|2LE0)gCq5}YC*FM1 zxNiNy{o@l~NY05!NKQ%3s8{X6`pwlMQj_Xc>*Q%8D3{FqW$c`CYuUdRkW-qmVxEg@l1GQ%>Q(ETlhZ#YI(pEcL5@KlM`l(^G?J`9w9_5!c1HmtDtkyq zPW<4gjO^+LlOP}IBC`nM8=rt14C_^^Mh%7jGX(4}HH6#ogs_gp%=BpdA=>5eM29$o zur7cxZL@H}T4HimY=gwC%!K%y2)Z*cH7zYUD?)cT9lnTKol-NBG6!Wx)+Ht;zS+9q z(;|7upv{#i}!3g8hvxuh28Od4kIhk2?;@abSlsdR=F1rpcA4|dsI=J*0k2}WY>}t?U zND8@VIXOtKfi}wJ?%>j5ysj9ZE00`4`fra_QetSR`sZe)35!iij80BVPDdoq#&Ef; z!PDM?k~#@sQgU`;R;maDL6sU7Efm`zEyoHC zYCJ8K94jU*J|m@GwZTzI$$jE;({ifiYkLs`Jr0jyNNK57wg%MNnvmIEyt)uPhb|aZ zxR5PcnMi&4e-;@5lRPvh!njE=Z&?2?JEDq9UBH#5WZ5>IXrDa53N^<)wsUG)YR2F? z5zR9a9r+1iY0#kl?>KdPg0+x_;|br;?{$FCE9!)+88}dF_@;JL-Q0{x@9mX#DNZ{~NBq z9U>Vazu_uku5^<#u)H0Fb!_n>_z@SuC&#B3$4{t*6!%G=hKVHvGId&M)QXG2QUy?z)eLtk+@G1g;|(c;yBe8my{w9m*R%|gZCtmHn$ zi=fix^WvY-f4J6z>ZtT5`VXIQ(hCdpUqnU-CLa7yVB*C!1twkuR$x9lc(1_l5DA0f zwJ-(?&<2==78{dvg}4F}cbQsX8lHDnX>lHv_F1iXxcgge7f%D?D5{DlHscgp`Xo%n z)A8+r3JcI%Ko(lO89{|yD~ytR1`|*1D0q0Lh2iiQ9#yd7;q55Ab;)4jg&vt7@aST4OB?j= zlse9U;W-!;4>rVTaj;I656`qv(c984-d0iV6mPCLnaucbkF|j(QD`UD$`9_Vj1>%A zJf5Q9iMP5Gm^kmH!0@IIYXbr*Fx;m__c2;%VUe^4s=&nSyb3I!`W8{Dz6F?goW@Fv z*ST05c*9DuNznSJXspoUbs`0ZM{8N%@cA+uQ#?DX##20~Bc5Qgw1Jmo=?NbNCZ60@ zV0aIN;o(7d1?Kb9u`(+^A6^btVK@TK^nux&=>y-L&~BJT77eentL@_HYkGdniieF6 z)-ImDRqX%~n~n}DwBq4<3rt7hUugpmW$ARhRK|msi-*n>n0Sj;frYNN1-s4bd@pLSc4-aQ4V~RJi#H+2Ac6C1-SmCE5uqpO}=d)b&Y@3xfAl?vD zVB%SN3k=~|^#$yEvzUVyQ^j{>GA&@@@puJBXSE0vip9Y5tMdn70s7F!iibF)j31sm z)m44qO?yqXA-w#KS1zsm02A+o%54CK*Si^>UzHgT$K$Od6)zy(!?N;&*(<()3E4%@ z3R>_m@@fnN9s&Zx3(%P=D=n^(XZ-M13Wtg3a}`<*r$(*(a2QRU8*#@2vo*k&t>KPZ zW@~^kTLVly-ffYEo*7d6>-UQj2^Ks&eNKn6tS~&V%wghrTm=u$Su$EY;;q0i%4&S} z1@LN^N*mDd>X}NbiEq9v?FRfTz93j>beK!Q3*cm&0)q`P`2xsBt$0wRk9MtPezX8n zV+3I0P4i z5E5S|DtKOee5UfFD+w7)ozKCK<$45D?H({Z7RmUrITUz))sG0K&eMRg+>Brx4^JO5 z+dzzEV;_J8s`6oeW8)GKFR)q1FCg9`Rbg}#S%Hb?Z&jF@w`;hHLCt9hrp9}~#HlBR zAAN$awyV+UE(H&dJu(=Z7tn@I2UiqYznbd-&(CcwfPrDO0X1(&W{c;i6+GIHR`rH! zjnw%Fv}|q!Ogx@zkr^=7UsMX{AfAFJ9==y#;@eXdrmm;aF3X<@W`jrPd)0RFmMnwm zHvQFY#?Pn6Cn+u2ay4m(IE?{KR=H6{gmLKuf3LR9cPAGnk>&H8E&)=KFYhPR*5p z=T~!d!1z1^n3}h1NW)l+0F2FVC~2|z2rxC@1wZi=v~^tIMrtepnp%$lEz9cwqtC*W z@xz16Odq&qirFNVW$N662eY{^;4xxmLwK=>*)Cp5;{CAk8Kin@oW$&_u1(Rm0Gltd z+~>aKajI(wloMHAM=&<8;t?qBgE%kF`hlBvlj?VOfCs3F^@G?5nhsVd} zRXhu>t{2b`Rx2Qwx^@OkSMza#ao_UbRZrE1P-^C55Ma3;-XP~bPqSU0ttgc*7Xii74ZO(lBqs$8sdhcwFZ`gnY&i6&(iCxz168;{CwFg3+=yHu@3Z zI;WMWN{fOWYXd7d)&^Ek{rU(*77PQj^OmaAY}i0MtoS-*}QKUXvUB2?LcM}1{hv| z+YoKksdy;PF`2P%#e54-ax+O)j6!+=I~0 zWk%Y?#sEQ#!4MEQjN5L&&vRfDBl(yHc)SV(n2loxjoCP0EM6h?X7xnC)IBr}l~?8q zfU)(e2Q^w%X29rXU`tFy^i%ib&<4)RGCc7KwL;7GlR=9Kn9*u12gb63&5e+ltuFy% z`4IL)*?JH#mIEVdu=N{YEKcIy8@7G}jLnT$_OSIEU@V?uHN$dcz*yd7vxkc!mxtLJ zw%OU72N;W!cuI+_djR7)hgqq9M9Vj(bG%ik`iuv)0yX9UMz0xLZ3DFe-UhEpdu(b2 zfU&rSJ!`IW)CyP|m{M3jsFvAo2CV@q5XaWRh7w~*HHHhkRAap;7%%hx@4sE@}3EQ$G8`FU)|_xF6P*d9Gr zemti}sfx!J+{3}*0m26JRqS6f`EczalLdRsOh*_#-iC+UxQ}kZQ*?==AIz?>Z^~t% z8`e}>wx^Co4|dBr9?QLv@w0k1U_9TzRxfXt*W9q{%<~OjfYq~6CS?1jfbqNpr7C7? z*okH1f}IU!yI5s2z2W!}rxo|cSbYIC0N$?7ZAil+M;T{mSH{c9ipLkhS;dRsW8wCx iLzC%rEsoq4!KYSPImU@w)P9Q>dGg7|ZJHD>@_ztUPa+Qh literal 0 HcmV?d00001 diff --git a/coregen/dist_mem_gen_release_notes.txt b/coregen/dist_mem_gen_release_notes.txt new file mode 100755 index 0000000..cf48242 --- /dev/null +++ b/coregen/dist_mem_gen_release_notes.txt @@ -0,0 +1,99 @@ +COPYRIGHT (c) 2007 XILINX, INC. +ALL RIGHTS RESERVED + +Core name : Distributed Memory Generator +Version : v3.3 +Release Date : April 2, 2007 +File : distributed_memory_generator_release_notes.txt + +Revision History +Date By Version Change Description +======================================================================== +4/2007 Xilinx, Inc. 3.3 Initial creation. +======================================================================== + +INTRODUCTION +RELEASE NOTES + 1. General Core Design + 1.1 Enhancements + 1.2 Resolved Issues + 1.3 Outstanding Issues + 2. General Simulation + 2.1 Enhancements + 2.2 Resolved Issues + 2.3 Outstanding Issues + 3. Documentation + 3.1 Enhancements + 3.2 Resolved Issues + 3.3 Outstanding Issues +OTHER GENERAL INFORMATION +TECHNICAL SUPPORT + +======================================================================== + +INTRODUCTION +============ +Thank you using the Distributed Memory Generator core from Xilinx! +In order to obtain the latest core updates and documentation, +please visit the Intellectual Property page located at: +http://www.xilinx.com/ipcenter/index.htm +This document contains the release notes for Distributed Memory Generator v3.3 +which includes enhancements, resolved issues and outstanding known +issues. For release notes and known issues for CORE Generator 9.1i +IP Update and Distributed Memory Generator v3.3 please see Answer Record 24307. + +RELEASE NOTES +============= +This section lists any enhancements, resolved issues and outstanding +known issues. + + +1. General Core Design + 1.1 Enhancements + 1.1.1 Support for Spartan(TM) - 3A DSP + time. + + 1.2 Resolved Issues + 1.2.1 Solved excessive register duplication in distributed memory synthesis. + Change request: 326740 + + 1.3 Outstanding Issues + 1.3.1 When a large Distributed Memory Generator IP is generated, CORE , + Generator runs out of memory and fails to generate. + Change request: 431917 + +2. General Simulation + 2.1 Enhancements + None at this time. + + 2.2 Resolved Issues + None at this time. + + 2.3 Outstanding Issues + None at this time. + +3. Documentation + 3.1 Enhancements + None at this time. + + 3.2 Resolved Issues + None at this time. + + 3.3 Outstanding Issues + None at this time. + + +TECHNICAL SUPPORT +================= +The fastest method for obtaining specific technical support for the +Distributed Memory Generator core is through the http://support.xilinx.com/ +website. Questions are routed to a team of engineers with specific +expertise in using the Distributed Memory Generator core. Xilinx will provide +technical support for use of this product as described in the Distributed +Memory Generator Datasheet. Xilinx cannot guarantee timing, +functionality, or support of this product for designs that do not +follow these guidelines. + + + + diff --git a/coregen/distram16x8.ngc b/coregen/distram16x8.ngc new file mode 100755 index 0000000..81da4c9 --- /dev/null +++ b/coregen/distram16x8.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$g`x52=#Zl|bdaa:!3-576):9$9,)?40593456438$;j6=|712bjca`<1>&8h5;:HLSQQ4?>0380?OIX\^1}|n<283:`=?2@D[YY4k<683:47<03E^X][[:erl82<7688047AZTQWW>tbwg5=1<3?=;98LQQVR\3{x|b28:1<25>>=G\^[YY4|pn>4>5853H:97L?=;@01?D543K_946LZS^KMBJ7EUMH^NH<5K3:FSK0=CX[K;96J_R@36?AVUI;?0H]\N339E@4=@;2MEH45FNHVPPDRB>2FDMIKK7:NLCLEFDj1Fmga}Vdppmjhd3DcecXjrrklj7=I8;1E=?5A249M65>1=2D9<59:;O03<=3H580;>7C;<1E>=7;5:L14<323G8;5;;4N32:30=I:93396@=08;6?K4689?0B???149M6461=2D9==9:;O02533H5983>7C<>2178J7759<1E><<=5:L157523G8:>9;4N33110=I:88=96@=1356?K46:1?0B??=949M6457=2D9=>?i;O@\FPUWAGU[^B^\7:LFPRIUC>1EC@K]GD08KN75\PN68P\VB:=1^<"ijn^fs[wgj&mzym`Qfnrv,uavhW`d0=0=4:W3+bciWmzT~lc!dqpbiZoi{}%zh}aPiov?5;433\:$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}692?:4U1-dakYcxVxja#jr`o\mkus'xn{cRgat=1=61=R8&mnbRj_scn*avuidUbb~z qerl[lhs4=4986[?/fgm[avXzhg%h}|nm^kmwq)vlyeTecz35?07?P6(oldTh}Q}al,gtwgjW`dxx"kpn]jjq:16;>0Y=!heo]gtZtfe'n{~lcPioqw+tbwgVcex191229V4*abfVn{Sob.erqehYnfz~$}i~`_hlw[5443\:$kh`Pdq]qeh(cx{kfSd`|t.sgtjYnf}U:>>5Z0.efjZbwW{kf"i~}al]jjvr(ymzdSd`{_300?P6(oldTh}Q}al,gtwgjW`dxx"kpn]jjqY4::1^<"ijn^fs[wgj&mzym`Qfnrv,uavhW`dS9<<;T2,c`hXlyUym` kpscn[lht|&{o|bQfnu]666=R8&mnbRj_scn*avuidUbb~z qerl[lhsW?887X> gdl\`uYuid$o|ob_hlpp*wcxfUbbyQ8259V4*abfVn{Sob.erqehYnfz~$}~~`_hlw8585<2_;#jka_er\vdk)lyxjaRgasu-rwuiXag~7=3<;;T2,c`hXlyUym` kpscn[lht|&{x|bQfnu>1:72<]9%licQkp^pbi+bwzhgTec}{/pqskZoi|595>95Z0.efjZbwW{kf"i~}al]jjvr(yzzdSd`{<5<10>S7'noeSi~Pr`o-`utfeVcey!~sqm\mkr;=78?7X> gdl\`uYuid$o|ob_hlpp*wtxfUbby29>368Q5)`mgUo|R|nm/fsvdkXagy#|}o^kmp919::1^<"ijn^fs[wgj&mzym`Qfnrv,uvvhW`dS=<<;T2,c`hXlyUym` kpscn[lht|&{x|bQfnu]266=R8&mnbRj_scn*avuidUbb~z qrrl[lhsW;887X> gdl\`uYuid$o|ob_hlpp*wtxfUbbyQ<229V4*abfVn{Sob.erqehYnfz~$}~~`_hlw[1443\:$kh`Pdq]qeh(cx{kfSd`|t.sptjYnf}U>>>5Z0.efjZbwW{kf"i~}al]jjvr(yzzdSd`{_700?P6(oldTh}Q}al,gtwgjW`dxx"|pn]jjqY0i2_XI_QNLHCPg>STM[U]E^GMLD18RFE>3_CN[RZVPD68SFJL:2]N=i5WIMKM\(^CJ):%=-][UC"3*4&F[JCB:6V\TMKA3>^T\VMEH:5WSU]UGFd^XKFXNSD@IO^NJWQ00:ZgiZKfbfx]i}foo33?]bjWDcecXjrrklj1=f 9#?7l&>)59b,7/33h"8%95n<1<7?d:66=1j0?09;`>0>5833h682?h4c;-ulaj(fhyhc`< cnpfc`h(|dz$Sni dhqwvdk6>q2%bja<;bnh0>b/8 >0h%?&4:f+6,2b;87>0h1?14:f?6;2;5kirv\i`kXoldTz>Q<,!Alv`abf)3%>#>;i^ks6g=caz~ym`?9x900?aot|{kf=;v7_ekppZkbeVmnbRx<_2]{wqY6>2n{c%>&6:fsk-7.>2n{c%<&6:fsk-5.>2n{c%:&6:fsk-3.>2n{c%8&6:fsk-1.>2n{c1>16:fsk979>2n{c1<16:fsk959>2n{c1:16:fsk939>2n{c1818:fsk91=87<0h}a37?58`utf 9#<7i~}a)3*3>bwzh"9%:5kpsc+7,189gtwg;;3:5;6jr`>0:==bl`l9bjj;;h]`aa=nfz~TAob_lgna>oi{}UF~lcPmdo2a>oi{}UF~lcPmdo1a>oi{}UF~lcPmdo0a>oi{}UF~lcPmdo7a>oi{}UF~lcPmdo6a>oi{}UF~lcPmdo5a>oi{}UF~lcPmdo4<>h`kkb`i94qerl,5/03xn{c%?&7:sgtj.5!>1zh}a'3(58uavh =#<7|jo)7*3>wcxf"=%:5~dqm+3,13xn{cR}}su58uvvh 9#<7|}o)3*3>wtxf"9%:5~sqm+7,16yj}ABs5g44`akjqe494>;o:6>1=#0;0U583i26=4>334b0c<6noih7^?;:b;94?74:?k?j7?ifbf8`d5=83;1=v]>5;`e>6<6;;fga`?!>72h80zY;<:182>4<4>rY:97li:282770f77<^191>v{=2;38q75=82w/8h4;;cc0>5<5:391>9uG769Y57<2s00>6549:b8~ 03=i:1/4=4n2:X;5?4|i;0j>7s`ab83>!372k>0b9h50:9leg<72-?;6o:4n5d95>=hih0;6);?:c68j1`=:21dm44?:%73>g2!372k>0b9h54:9le2<72-?;6o:4n5d91>=hi?0;6);?:c68j1`=>21dm84?:%73>g2!3721n0b9h50:9j<=<72-?;65j4n5d95>=n0>0;6);?:9f8j1`=:21b4;4?:%73>=b!372h:0b9h50:9j=2<72-?;6l>4n5d95>=n1?0;6);?:`28j1`=:21b584?:%73>d6!372h:0b9h54:9j=6<72-?;6l>4n5d91>=n1;0;6);?:`28j1`=>21b5<4?:%73>d6>oe13:1(8>5be9m0c<732ci47>5$429fa=iibj3:1(8>5f29m0c<732enm7>5$429b6=i5a4g80?>ib?3:1(8>5f29m0c<332en:7>5$429b6=i76aj5;29 06=n:1e8k49;:mf0?6=,<:1j>5a4g84?>oai3:17o97:182>5<7sA=<7);::6:8k1b=831vn=0(8;51d9l5a<722wi=<4?:2g95d<42;33<<02<036o49:b8b>f<>2k0j6847:685>x"2=3k?7)6?:`08 1?=g2!372k>0b9h51:9led<72-?;6o:4n5d96>=hi00;6);?:c68j1`=;21dm54?:%73>g2!372k>0b9h55:9le3<72-?;6o:4n5d92>=hi<0;6);?:c68j1`=?21bj54?:%73>c?!372o30b9h51:9jb3<72-?;6k74n5d96>=nn<0;6);?:g;8j1`=;21bi>4?::kf6?6=3`226=4+518;`>h3n3:07d67:18'155<#=903h6`;f;08?l>1290/9=47d:l7b?5<3`336=4+518b4>h3n3:07d78:18'155<#=90j<6`;f;08?l?2290/9=4n0:l7b?5<3`3?6=4+518b4>h3n3>07d7<:18'155<#=90j<6`;f;48?l?6290/9=4n0:l7b?1<3`n<6=44i8294?=hlo0;6);?:d28j1`=821dhh4?:%73>`6!372l:0b9h52:9l`f<72-?;6h>4n5d97>=hlk0;6);?:d28j1`=<21dhl4?:%73>`6!372l:0b9h56:9l`=<72-?;6h>4n5d93>=nl<0;66gk4;29?lb12900eo750;&64?dc3g>m6=54ic:94?"283ho7c:i:098mg1=83.><7lk;o6e>7=m6=54odc94?"283l87c:i:098k`?=83.><7h<;o6e>7=m6954od494?"283l87c:i:498k`3=83.><7h<;o6e>3=1<7*:0;d0?k2a2>10en>50;9jbd<722eo=7>5$429`6=i;:m`b?6=,<:1h>5a4g81?>idm3:1(8>5d29m0c<432ehh7>5$429`6=i5a4g85?>idi3:1(8>5d29m0c<032cn=7>5;c5f>5<6290;w);::0g8L2b<@>=0ct$4795f=O?m1C;:5+1g82?l742900e<850;9l14<722wi9k4?:283>5}#=<0:o6F8d:J43>"6n3;0e<=50;9j53<722e>=7>5;|`54?6=;3:15;n72>5<5;h35>5<1/=k4>;h30>5<>{e>:0;6>4?:1y'10<6k2B>i293:17pl94;297?6=8r.>97?l;I5g?M103-;m6<5f1283>>o6>3:17b;>:188yg0229086=4?{%76>4e<@>n0D:94$0d95>o6;3:17d?9:188k07=831vn;k50;194?6|,N0l2B<;6*>f;38m45=831b=;4?::m65?6=3th<<7>53;294~"2=3;h7E9k;I54?!7a281b=>4?::k22?6=3f?:6=44}c52>5<4290;w);::0a8L2b<@>=0(81<7=50;2x 03=9j1C;i5G769'5c<63`;86=44i0494?=h=80;66sm7283>6<729q/984>c:J4`>N0?2.:j7?4i0194?=n9?0;66a:1;29?xd0<3:1?7>50z&61?7d3A=o7E98;%3e>4=n9:0;66g>6;29?j362900qo9::180>5<7s-?>63;29?l712900c8?50;9~ff7=83n1<7>t$47917=O?m1C;:5+1g81?lb=831bi7>5;hd94?=n990;66g>6;29?l7?2900e<750;9j5d<722c:n7>5;h5b>5<h1<75`5583>>i6?3:17pll2;29`?6=8r.>97;=;I5g?M103-;m6?5fd;29?lc=831bj7>5;h33>5<>o613:17d?n:188m4d=831b;l4?::k4f?6=3f??6=44o0594?=zjj91<7j50;2x 03==;1C;i5G769'5c<53`n1<75fe;29?l`=831b==4?::k22?6=3`;36=44i0;94?=n9h0;66g>b;29?l1f2900e:l50;9l11<722e:;7>5;|``0?6=l3:15;h3b>5<>o0j3:17b;;:188k41=831vnn;50;f94?6|,>o6>3:17d?7:188m4?=831b=l4?::k2f?6=3`=j6=44i6`94?=h==0;66a>7;29?xdd>3:1h7>50z&61?353A=o7E98;%3e>7=nl3:17dk50;9jb?6=3`;;6=44i0494?=n910;66g>9;29?l7f2900e5;n77>5<1/=k4=;hf94?=nm3:17dh50;9j55<722c::7>5;h3;>5<>o6j3:17d9n:188m2d=831d994?::m23?6=3thh47>5d;294~"2=3?97E9k;I54?!7a2;1bh7>5;hg94?=nn3:17d??:188m40=831b=54?::k2=?6=3`;j6=44i0`94?=n?h0;66g8b;29?j332900c<950;9~f2`=83;1<7>t$4793==O?m1C;:5`4e83>>{t190;6<7t^82890c=9:169k4>3:?54?7434<:6<=4=70956=:>:0:?6394;30?80228901;k5129>2c<6;27<<7?<;<52>45<5>81=>5272827>;0<3;8709::0189f7=?h16o?48a:?`7?1f34i?6:o4=b793d=:k?0k0q~hn:18:[`f34i:6:l4=b093g=:k:0h01n857c9>g2<0j27h479m;|qe0?6=;rTnn6385;35?8e?2<>0q~h=:180[cf34=?6<84=b5911=z{o;1<7=t^d;8925=9?16o;4:4:pb5<72:qUi55273822>;d=3??7p}jf;297~Xb?27<=7?9;0286s|eb83>6}Ym=16:h4>6:?`5?333tyi97>53z\bg>;1=3;=70m7:058yvd42908wSom;<47>40<5j=1=:5rsc094?5|Vhk01;=5179>g3<6?2wxn<4?:2y]e<=:>;0::63l5;34?xue83:1?vPn8:?55?7134i?6<94}rce>5<4sWk<708?:0489f5=9>1vlk50;1xZd0<5{tim0;6>uQa49>1`<6>27h=7?8;|qg6?6=98qUhk5Qdd9]`a=Ylj1Uho5Qd`9]`<=Yl11Uh<5Qd19]gc=Ykl1Uoi5Qcb9]gg=Ykh16;h4>d:p<`<720qU4452c0824>;d:3;;70m<:0289f2=9916o84>0:?`2?7734i<6<>4=b:955=z{1i1<77t^9:89f7=n27h>7h4=b19b>;d<3l01n;5f:?`2?`<5j=1j63l8;d8yv>e2902wS68;`=:k;0n70m<:d9>g16h52c78f?8e02l16o54j;|q;e?6=1rT3:63l1;f89f4=l27h?7j4=b69`>;d=3n01n85d:?`3?b<5j21h6s|a083>7}Y1116o54>6:p=c<72;qU5:52c6822>{t1l0;6?uQ979>g3<6>2wx5i4?:3y]=0=:k<0::6s|9b83>7}Y1=16o94>6:p=g<72;qU5>52c2822>{t1h0;6?uQ939>g7<6>2wx544?:3y]=4=:k80::6s|bd83><}Yj016o<4>b:?`6?7e34i86g3<6i27h;7?n;4gg6<6127h87?6;4?<5j<1=452c682=>;d03;27p}ma;29=~Xe>27h=7?7;4><5j91=552c582<>;d=3;370m9:0:89f1=9116o54>8:p13<728q69h4:1:p12<728q69k4:1:p1=<728q6:=4:1:p1<<728q6:<4:1:p1d<728q6:?4:1:p1g<728q6:>4:1:p1f<728q6:94:1:p1a<728q6:84:1:p23<728q6:h4:1:p22<728q6:k4:1:p2=<728q6;=4:1:p2<<728q6;<4:1:p2d<728q6;?4:1:p2g<728q6;>4:1:p2f<728q6;94:1:p2a<728q6;84:1:p63<728q6;k4;d:~w72=83kp154c0<58;1j852108g1>;693o970?>:e48947=m:16=<4k7:p60<72=q6;54;d:?25?e734;:6h?4=039`1=z{1o1<7"0>3837p}7b;296~X??27:=768;%55>7?7}Y1>16=<467:&42?4d3ty2i7>52z\:2>;6933=7)99:3f8yv?c2909wS7:;<32><3<,><1>h5rs8a94?4|V0>01i3:1>vP62:?25??53-==6>?4}r;:>5<5sW3:70?>:838 20=;;1vok50;0xZg?<58;1n45+77807>{tjj0;6?uQb99>541;`4?!112:?0q~ln:181[d134;:6o84$64973=z{0:1<7"0>3937p}i4;296~Xbj27:=7km;%55>6?7}Ym116=<4j8:&42?5d3tynj7>52z\f3>;693o<7)99:2f8yvcb2909wSk9;<32>`0<,><1?h5rsdf94?4|Vl?01vPnc:?25?gd3-==69?4}r`0>5<5sWki70?>:``8 20=<;1vo<50;0xZdg<58;1ml5+77877>{tj80;6?uQa89>541;c;?!112=?0q~oi:181[g034;:6l94$64903=z{ho1<7"0>3>37psa14:94?7|@>=0qc?:9;295~N0?2we=8o50;0xL21n7>52zJ43>{i9vF87:m50c=838pD:94}o36b?6=:rB<;6sa17294?4|@>=0qc?91;296~N0?2we=;<50;0xL2152zJ43>{i9?>1<7vF87:m530=838pD:94}o353?6=:rB<;6sa17:94?4|@>=0qc?99;296~N0?2we=;o50;0xL2152zJ43>{i9?i1<7vF87:m53c=838pD:94}o35b?6=:rB<;6sa16294?4|@>=0qc?81;296~N0?2we=:<50;0xL2152zJ43>{i9>>1<7vF87:m520=838pD:94}o343?6=:rB<;6sa16:94?4|@>=0qc?89;296~N0?2we=:o50;0xL2152zJ43>{i9:<1<7?tH658yk73?3:1=vF;b:J43>{i9=21<7?tH5`8L2151zJ7f>N0?2we=9o50;3xL1d<@>=0qc?;b;295~N3j2B<;6sa15a94?7|@=h0D:94}o37`?6=9rB?n6F87:m51c=83;pD9l4H658yk73n3:1=vF;b:J43>{i9<:1<7?tH5`8L21=7>51zJ7f>N0?2we=8<50;3xL1d<@>=0qc?:3;295~N3j2B<;6sa14694?7|@=h0D:94}o361?6=9rB?n6F87:m500=83;pD9l4H658yk72?3:1=vF87:~yxFGKr;i>7;megdbe3{GHKq;qMN_{|BC \ No newline at end of file diff --git a/coregen/distram16x8.vhd b/coregen/distram16x8.vhd new file mode 100755 index 0000000..371deea --- /dev/null +++ b/coregen/distram16x8.vhd @@ -0,0 +1,115 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file distram16x8.vhd when simulating +-- the core, distram16x8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY distram16x8 IS + port ( + a: IN std_logic_VECTOR(3 downto 0); + d: IN std_logic_VECTOR(7 downto 0); + dpra: IN std_logic_VECTOR(3 downto 0); + clk: IN std_logic; + we: IN std_logic; + spo: OUT std_logic_VECTOR(7 downto 0); + dpo: OUT std_logic_VECTOR(7 downto 0)); +END distram16x8; + +ARCHITECTURE distram16x8_a OF distram16x8 IS +-- synthesis translate_off +component wrapped_distram16x8 + port ( + a: IN std_logic_VECTOR(3 downto 0); + d: IN std_logic_VECTOR(7 downto 0); + dpra: IN std_logic_VECTOR(3 downto 0); + clk: IN std_logic; + we: IN std_logic; + spo: OUT std_logic_VECTOR(7 downto 0); + dpo: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_distram16x8 use entity XilinxCoreLib.dist_mem_gen_v3_3(behavioral) + generic map( + c_has_clk => 1, + c_has_qdpo_clk => 0, + c_has_qdpo_ce => 0, + c_has_d => 1, + c_has_spo => 1, + c_read_mif => 0, + c_has_qspo => 0, + c_width => 8, + c_reg_a_d_inputs => 0, + c_has_we => 1, + c_pipeline_stages => 0, + c_has_qdpo_rst => 0, + c_reg_dpra_input => 0, + c_qualify_we => 0, + c_sync_enable => 1, + c_depth => 16, + c_has_qspo_srst => 0, + c_has_qdpo_srst => 0, + c_has_dpra => 1, + c_qce_joined => 0, + c_mem_type => 2, + c_has_i_ce => 0, + c_has_dpo => 1, + c_mem_init_file => "no_coe_file_loaded", + c_default_data => "0", + c_has_spra => 0, + c_has_qspo_ce => 0, + c_addr_width => 4, + c_has_qdpo => 0, + c_has_qspo_rst => 0); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_distram16x8 + port map ( + a => a, + d => d, + dpra => dpra, + clk => clk, + we => we, + spo => spo, + dpo => dpo); +-- synthesis translate_on + +END distram16x8_a; + diff --git a/coregen/distram16x8.vho b/coregen/distram16x8.vho new file mode 100755 index 0000000..4158df6 --- /dev/null +++ b/coregen/distram16x8.vho @@ -0,0 +1,64 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component distram16x8 + port ( + a: IN std_logic_VECTOR(3 downto 0); + d: IN std_logic_VECTOR(7 downto 0); + dpra: IN std_logic_VECTOR(3 downto 0); + clk: IN std_logic; + we: IN std_logic; + spo: OUT std_logic_VECTOR(7 downto 0); + dpo: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : distram16x8 + port map ( + a => a, + d => d, + dpra => dpra, + clk => clk, + we => we, + spo => spo, + dpo => dpo); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file distram16x8.vhd when simulating +-- the core, distram16x8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/distram16x8.xco b/coregen/distram16x8.xco new file mode 100755 index 0000000..418b005 --- /dev/null +++ b/coregen/distram16x8.xco @@ -0,0 +1,63 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Wed Dec 31 13:10:21 2008 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Distributed_Memory_Generator family Xilinx,_Inc. 3.3 +# END Select +# BEGIN Parameters +CSET ce_overrides=ce_overrides_sync_controls +CSET coefficient_file=no_coe_file_loaded +CSET common_output_ce=false +CSET common_output_clk=false +CSET component_name=distram16x8 +CSET data_width=8 +CSET default_data=0 +CSET default_data_radix=16 +CSET depth=16 +CSET dual_port_address=non_registered +CSET dual_port_output_clock_enable=false +CSET input_clock_enable=false +CSET input_options=non_registered +CSET memory_type=dual_port_ram +CSET output_options=non_registered +CSET pipeline_stages=0 +CSET qualify_we_with_i_ce=false +CSET reset_qdpo=false +CSET reset_qspo=false +CSET single_port_output_clock_enable=false +CSET sync_reset_qdpo=false +CSET sync_reset_qspo=false +# END Parameters +GENERATE +# CRC: 79e446fd + diff --git a/coregen/distram16x8_flist.txt b/coregen/distram16x8_flist.txt new file mode 100755 index 0000000..3e334c6 --- /dev/null +++ b/coregen/distram16x8_flist.txt @@ -0,0 +1,7 @@ +# Output products list for +distram16x8.ngc +distram16x8.vhd +distram16x8.vho +distram16x8.xco +distram16x8_flist.txt +distram16x8_xmdf.tcl diff --git a/coregen/distram16x8_readme.txt b/coregen/distram16x8_readme.txt new file mode 100755 index 0000000..d74af67 --- /dev/null +++ b/coregen/distram16x8_readme.txt @@ -0,0 +1,36 @@ +The following files were generated for 'distram16x8' in directory +c:\vhdl\nascom2\coregen\: + +distram16x8.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +distram16x8.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +distram16x8.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +distram16x8.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +distram16x8_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +distram16x8_readme.txt: + Text file indicating the files generated and how they are used. + +distram16x8_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/distram16x8_xmdf.tcl b/coregen/distram16x8_xmdf.tcl new file mode 100755 index 0000000..86a52bc --- /dev/null +++ b/coregen/distram16x8_xmdf.tcl @@ -0,0 +1,64 @@ +# The package naming convention is _xmdf +package provide distram16x8_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::distram16x8_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::distram16x8_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name distram16x8 +} +# ::distram16x8_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::distram16x8_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path distram16x8.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path distram16x8.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path distram16x8.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path distram16x8.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path distram16x8_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module distram16x8 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/coregen/monitorrom.asy b/coregen/monitorrom.asy new file mode 100755 index 0000000..bdc17b3 --- /dev/null +++ b/coregen/monitorrom.asy @@ -0,0 +1,17 @@ +Version 4 +SymbolType BLOCK +TEXT 32 32 LEFT 4 monitorrom +RECTANGLE Normal 32 32 544 576 +LINE Wide 0 80 32 80 +PIN 0 80 LEFT 36 +PINATTR PinName addra[10:0] +PINATTR Polarity IN +LINE Normal 0 272 32 272 +PIN 0 272 LEFT 36 +PINATTR PinName clka +PINATTR Polarity IN +LINE Wide 576 80 544 80 +PIN 576 80 RIGHT 36 +PINATTR PinName douta[7:0] +PINATTR Polarity OUT + diff --git a/coregen/monitorrom.mif b/coregen/monitorrom.mif new file mode 100755 index 0000000..92e4f0b --- /dev/null +++ b/coregen/monitorrom.mif @@ -0,0 +1,2048 @@ +00110001 +00000000 +00010000 +11010111 +00001000 +11000011 +10110010 +00000011 +11011111 +01100010 +11011000 +00011000 +11111011 +11000011 +10011010 +00000011 +11100101 +11110101 +00110111 +00100001 +00001000 +00000000 +00011000 +00000101 +11100101 +11110101 +10100111 +00011000 +11110110 +11000011 +01110000 +00000101 +11100011 +00101011 +11100011 +11000011 +00011010 +00000100 +00000000 +00000000 +11100011 +01111110 +00100011 +10110111 +00100000 +00000110 +11100011 +11001001 +11100101 +11000011 +01011010 +00000111 +11110111 +00011000 +11110010 +00000000 +00111101 +11001000 +11110101 +11110001 +00011000 +11111010 +10101111 +01000111 +11111111 +11111111 +00010000 +11111100 +11001001 +11100101 +00100001 +00000000 +00001100 +10101110 +11010011 +00000000 +01111110 +11010011 +00000000 +11100001 +11001001 +00111110 +00010000 +11100101 +00100001 +00000000 +00001100 +10101110 +01110111 +00011000 +11110010 +11110101 +11010011 +00000001 +11011011 +00000010 +11001011 +01110111 +00101000 +11111010 +11110001 +11001001 +11000011 +01111101 +00001100 +00011110 +11000000 +11011111 +01100010 +11011000 +00011101 +00100000 +11111010 +11001001 +00101010 +00101001 +00001100 +01010110 +00110110 +01011111 +11010111 +11101111 +01110010 +11011000 +11010111 +11101011 +00110000 +11110010 +11001001 +11011011 +00000010 +00010111 +11010000 +11011011 +00000001 +11001001 +00111110 +00000010 +11001101 +01000101 +00000000 +00100001 +00000001 +00001100 +11011011 +00000000 +00101111 +01110111 +00000110 +00001000 +00111110 +00000001 +11001101 +01000101 +00000000 +00100011 +11011011 +00000000 +00101111 +01010111 +10101110 +00100000 +00000100 +00010000 +11110001 +10110111 +11001001 +10101111 +11111111 +11011011 +00000000 +00101111 +01011111 +01111010 +10101110 +00001110 +11111111 +00010110 +00000000 +00110111 +11001011 +00010010 +00001100 +00011111 +00110000 +11111010 +01111010 +10100011 +01011111 +01111110 +10100010 +10111011 +00101000 +11100001 +01111110 +10101010 +01110111 +01111011 +10110111 +00101000 +11011010 +00111010 +00000001 +00001100 +11100110 +00010000 +10110000 +10000111 +10000111 +10000111 +10110001 +11010111 +01011011 +00101000 +00000110 +11100110 +01111111 +11010111 +01010101 +00100000 +11001000 +00110111 +11101101 +01010010 +01111101 +11111110 +01000001 +00111000 +00011110 +11111110 +01011011 +00110000 +00011010 +00100001 +00000001 +00001100 +11001011 +01100110 +00100001 +00100111 +00001100 +00100000 +00001000 +11001011 +01000110 +00101000 +00001100 +11000110 +00100000 +00011000 +00001000 +11000110 +00100000 +11001011 +01000110 +00101000 +00000010 +11010110 +00100000 +00100001 +00000001 +00001100 +11111110 +01000000 +00100000 +00000110 +11001011 +01100110 +00101000 +10010111 +00011000 +00000110 +11001011 +01101110 +00101000 +00000010 +11101110 +01000000 +11001011 +01011110 +00101000 +00000010 +11101110 +01000000 +00100001 +00000110 +00001100 +11001011 +01110110 +00101000 +00000010 +11101110 +10000000 +00100001 +00100111 +00001100 +11001011 +01010110 +00101000 +00000010 +11101110 +10000000 +00110111 +11001001 +00101010 +01101111 +00001100 +01010100 +01011101 +11101101 +01001011 +01101101 +00001100 +11101101 +10110001 +11001001 +00000000 +00010000 +01100000 +00000000 +10011110 +00000101 +00000110 +00000111 +01111111 +00000111 +10000010 +00000111 +11000011 +00101111 +00000000 +11000011 +00101111 +00000000 +11000011 +10110111 +11001000 +11110101 +11111110 +00001010 +00101000 +00100100 +11111110 +00001100 +00100000 +00100010 +00100001 +00001010 +00001000 +11100101 +00000110 +00110000 +00110110 +00100000 +00100011 +00010000 +11111011 +00000110 +00010000 +00110110 +00000000 +00100011 +00010000 +11111011 +11101011 +11100001 +11100101 +00000001 +10110000 +00000011 +11101101 +10110000 +11100001 +11011111 +01111100 +00100010 +00101001 +00001100 +11110001 +11001001 +00101010 +00101001 +00001100 +11111110 +00001000 +00100000 +00010001 +11110101 +00101011 +01111110 +10110111 +00101000 +11111011 +11110001 +11111110 +00010001 +00101000 +00000010 +00110110 +00100000 +11010111 +01100011 +00011000 +11100110 +11111110 +00010001 +00101000 +11101011 +11111110 +00010111 +00101000 +11011001 +11111110 +00011011 +00100000 +00001011 +11011111 +01111100 +00000110 +00110000 +00110110 +00100000 +00100011 +00010000 +11111011 +00011000 +11001010 +11111110 +00001101 +00101000 +01100110 +11111110 +00011000 +00100000 +00001100 +11100101 +11011111 +01111100 +11010001 +10110111 +11101101 +01010010 +00011001 +00101000 +10111010 +00011000 +01010110 +11111110 +00010011 +00100000 +00001000 +00010001 +11000000 +11111111 +00011001 +11010111 +00101100 +00011000 +10101111 +11111110 +00010100 +00100000 +00000101 +00010001 +01000000 +00000000 +00011000 +11110010 +11111110 +00010101 +00100000 +00001110 +00100011 +01111110 +00101011 +10110111 +00100000 +00000100 +00110110 +00100000 +00011000 +10011000 +01110111 +00100011 +00011000 +11110010 +11111110 +00010110 +00100000 +00011111 +00000110 +00100000 +01111110 +10110111 +00101000 +10001010 +01110000 +01000111 +00100011 +00011000 +11110111 +00010001 +00001010 +00001000 +10110111 +11101101 +01010010 +00011001 +11011000 +00010001 +10111010 +00001011 +10110111 +11101101 +01010010 +00011001 +11010000 +11110001 +11000011 +01110111 +00000001 +11111110 +00010010 +00101000 +00000001 +01110111 +00100011 +01111110 +10110111 +00101000 +11111011 +11010111 +11100000 +11011111 +01111100 +00010001 +01000000 +00000000 +00011001 +11010111 +11011000 +00010001 +00001010 +00001000 +00100001 +01001010 +00001000 +00000001 +01110000 +00000011 +11101101 +10110000 +00000110 +00110000 +00101011 +00110110 +00100000 +00010000 +11111011 +00100001 +10001010 +00001011 +00011000 +11010010 +01111101 +11010110 +01000000 +00110000 +11111100 +11000110 +00110110 +01011111 +01111101 +10010011 +01101111 +11001001 +11011111 +01100000 +00100010 +00001100 +00001100 +11011111 +01100110 +01111110 +11011111 +01101000 +11101111 +00100000 +00010001 +00010001 +00010001 +00000000 +11010111 +01010100 +11011111 +01100100 +00111000 +01001100 +01111110 +10110111 +00101000 +01001000 +00100011 +11010101 +01011110 +00100011 +01010110 +11101011 +11010001 +00000110 +00000000 +11100101 +11011111 +01100100 +01111110 +10110111 +00101000 +00000111 +00100011 +01111110 +11100001 +01110111 +00000100 +00100011 +11100101 +11100001 +00011010 +11111110 +00101110 +11001000 +11111110 +00101100 +00100000 +00000101 +00010011 +00011010 +00010011 +00011000 +11101110 +01111000 +10110111 +00100000 +00000001 +00100011 +00011010 +11111110 +00111010 +00100000 +00000100 +00101011 +00101011 +00011000 +10110101 +11111110 +00101111 +00100000 +00001010 +00010011 +11011111 +01100100 +00111000 +00001100 +00101010 +00100001 +00001100 +00011000 +10100111 +10110111 +00101000 +10100100 +11111110 +00100000 +00101000 +11000001 +11011111 +01101011 +00011000 +10011010 +11100101 +11001101 +10001010 +00000011 +10101111 +00110010 +00100110 +00001100 +00100001 +00011010 +00000100 +00100010 +01111110 +00001100 +11100001 +11100101 +11011111 +01111011 +11110111 +11111110 +00001101 +00100000 +11111001 +00101010 +00101001 +00001100 +00010001 +11000000 +11111111 +00011001 +11101011 +11100001 +11001001 +11000101 +00011000 +00010111 +10110111 +11101101 +01010010 +00011001 +00111000 +00000110 +11000001 +11101111 +00101110 +00001101 +00000000 +11001001 +01111000 +10110001 +00100000 +00000111 +11001111 +11111110 +00011011 +00101000 +11110001 +11000001 +11000101 +00001011 +11000101 +00001110 +00000000 +11101111 +00100000 +00100000 +00000000 +11011111 +01100110 +00000110 +00001000 +01111110 +11011111 +01100111 +00100011 +11011111 +01101001 +00010000 +11111000 +01111001 +11011111 +01101000 +11101111 +00001000 +00001000 +00001101 +00000000 +11000001 +00011000 +11001010 +01111100 +11011111 +01100111 +01111101 +11011111 +01100111 +00111110 +00100000 +11110111 +11001001 +11101111 +01000101 +01110010 +01110010 +01101111 +01110010 +00000000 +00111110 +00001101 +11110111 +11001001 +11110101 +10000001 +01001111 +11110001 +11110101 +00011111 +00011111 +00011111 +00011111 +11010111 +00000001 +11110001 +11100110 +00001111 +11000110 +00110000 +11111110 +00111010 +00111000 +00000010 +11000110 +00000111 +11110111 +11001001 +11010111 +00000000 +11011111 +01100110 +11101011 +11001001 +00011010 +11111110 +00100000 +00010011 +00101000 +11111010 +00011011 +00100001 +00000000 +00000000 +00100010 +00100001 +00001100 +10101111 +00100001 +00100000 +00001100 +01110111 +00011010 +10110111 +11001000 +11111110 +00100000 +11001000 +11010110 +00110000 +11011000 +11111110 +00001010 +00111000 +00001011 +11010110 +00000111 +11111110 +00001010 +11011000 +11111110 +00010000 +00111000 +00000010 +00110111 +11001001 +00010011 +00110100 +00100011 +11101101 +01101111 +00100011 +11101101 +01101111 +00101011 +00101011 +00101000 +11011100 +00011011 +00110111 +11001001 +00000001 +00001011 +00001100 +10101111 +00000010 +11011111 +01100100 +11011000 +01111110 +10110111 +11001000 +00100011 +00000011 +01111110 +00000010 +00100011 +00000011 +01111110 +00000010 +00100001 +00001011 +00001100 +00110100 +01111110 +11111110 +00001011 +00111000 +11101001 +00110111 +11001001 +00101010 +00100011 +00001100 +01111110 +00110010 +00100101 +00001100 +11001001 +00101010 +00100011 +00001100 +00111010 +00100101 +00001100 +01110111 +11001001 +11010111 +11110110 +00010001 +00000000 +00001100 +00000110 +01101011 +10101111 +00010010 +00010011 +00010000 +11111100 +00100001 +00111100 +00000001 +00000001 +00010101 +00000000 +11101101 +10110000 +11101111 +00001100 +00000000 +11001001 +00110001 +01100001 +00001100 +00101010 +00111100 +00000001 +00100010 +01101011 +00001100 +11101111 +01001110 +01000001 +01010011 +00101101 +01010011 +01011001 +01010011 +00100000 +01101001 +00001101 +00000000 +11010111 +11001001 +11001101 +10100110 +00000010 +00000001 +00101011 +00001100 +00011010 +11111110 +00100000 +00100000 +00000101 +00001010 +11111110 +01010011 +00100000 +11110000 +11111110 +01000001 +00111000 +00001101 +11111110 +01011011 +00110000 +00001001 +00000010 +00110010 +00001010 +00001100 +00010011 +11011111 +01111001 +00110000 +00000100 +11011111 +01101011 +00011000 +11011011 +11011111 +01100000 +11011111 +01011100 +00011000 +11010101 +00111110 +11111111 +00110010 +00100110 +00001100 +11110001 +00111010 +00001011 +00001100 +10110111 +00101000 +00000011 +00100010 +01101001 +00001100 +11000001 +11010001 +11110001 +11110001 +00101010 +01101011 +00001100 +11111001 +00101010 +01101001 +00001100 +11100101 +00101010 +01100101 +00001100 +11110101 +00111110 +00001000 +11010011 +00000000 +11110001 +11101101 +01000101 +11110101 +11100101 +00111010 +00000000 +00001100 +11010011 +00000000 +00111010 +00100110 +00001100 +10110111 +00101000 +00001101 +11001101 +10001010 +00000011 +00110110 +11100111 +10101111 +00110010 +00100110 +00001100 +11100001 +11110001 +11101101 +01000101 +11010101 +11000101 +00100001 +00000000 +00000000 +00111001 +00110001 +01100001 +00001100 +00010001 +01100001 +00001100 +00000001 +00001000 +00000000 +11101101 +10110000 +01011110 +00100011 +01010110 +00100011 +11101101 +01010011 +01101001 +00001100 +00100010 +01101011 +00001100 +11101111 +00011000 +00000000 +00100001 +01101101 +00001100 +00000110 +00000110 +00101011 +01111110 +11011111 +01101000 +00101011 +01111110 +11011111 +01101000 +11011111 +01101001 +00010000 +11110100 +11101101 +01010111 +11011111 +01101000 +11011111 +01101001 +11011101 +11100101 +11100001 +11011111 +01100110 +11111101 +11100101 +11100001 +11011111 +01100110 +00111010 +01100111 +00001100 +00010001 +10001011 +00000100 +00000110 +00001000 +00010011 +00010111 +11110101 +00011010 +00110000 +00000001 +11110111 +11110001 +00010000 +11110110 +11101111 +00011000 +00000000 +11000011 +11000111 +00000011 +01010011 +01011010 +00000000 +01001000 +00000000 +01010000 +01001110 +01000011 +11011111 +01011111 +11011111 +01110111 +11100101 +11011111 +01111000 +11100101 +11001111 +11100110 +01111111 +11111110 +00101110 +00101000 +00111010 +11111110 +00001101 +00101000 +00000111 +11111110 +00100000 +00111000 +11110001 +11110111 +00011000 +11101110 +00101010 +00101001 +00001100 +11011111 +01111100 +11101011 +11011111 +01111001 +00111000 +00100001 +00100001 +00001100 +00001100 +10101111 +00000110 +00010010 +10000110 +00100011 +00010000 +11111100 +10111110 +00100000 +00010100 +00101010 +00001100 +00001100 +00010001 +00001110 +00001100 +00000110 +00001000 +00011010 +01110111 +00100011 +00010011 +00010011 +00010000 +11111001 +11101111 +00011011 +00000000 +00011000 +11000011 +11011111 +01101010 +00011000 +10111111 +11001111 +11100110 +01111111 +11111110 +00001101 +00100000 +10111001 +11110111 +11000011 +10000110 +00000110 +11011111 +01011111 +11011111 +01011101 +11011111 +01110111 +11100101 +10101111 +01000111 +11011111 +01101111 +00010000 +11111100 +11011111 +01100000 +11101101 +01011011 +00001110 +00001100 +11101011 +00110111 +11101101 +01010010 +11011010 +10001010 +00000110 +11101011 +10101111 +11111111 +00000110 +00000101 +11011111 +01101111 +00111110 +11111111 +00010000 +11111010 +10101111 +10111010 +00100000 +00000010 +01000011 +00000100 +01011000 +01111101 +11011111 +01101111 +01111100 +11011111 +01101111 +01111011 +11011111 +01101111 +01111010 +11011111 +01101111 +00001110 +00000000 +11011111 +01101100 +01111001 +11011111 +01101111 +11011111 +01101101 +00000110 +00001011 +01111001 +11011111 +01101111 +10101111 +00010000 +11111011 +11011111 +01101010 +00011000 +11000010 +10110111 +11101101 +01010010 +00011001 +00110000 +00001001 +00001011 +11101011 +00001001 +11101011 +00001001 +00000011 +11101101 +10111000 +11001001 +11101101 +10110000 +11001001 +11101011 +11100101 +00011001 +11011111 +01100110 +11100001 +10110111 +11101101 +01010010 +11011111 +01100110 +00101011 +00101011 +01111100 +11111110 +11111111 +00100000 +00001010 +11001011 +01111101 +00100000 +00001101 +11101111 +00111111 +00111111 +00001101 +00000000 +11001001 +10110111 +00100000 +11110111 +11001011 +01111101 +00100000 +11110011 +01111101 +11011111 +01101000 +11000011 +00010001 +00000011 +11010101 +11110101 +00111001 +01011110 +00100011 +01010110 +00010011 +01110010 +00101011 +01110011 +00011011 +11101011 +11110001 +00110000 +00010001 +01011110 +01111011 +00010111 +10011111 +01010111 +00100011 +00011001 +11010001 +11110001 +11100011 +11001001 +11100101 +11110101 +11010101 +00100001 +00001010 +00001100 +01011110 +00010110 +00000000 +00101010 +01110001 +00001100 +00011001 +00011001 +01011110 +00100011 +01010110 +11101011 +00011000 +11101000 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +11111111 +00001000 +11111111 +10001110 +11111111 +10001000 +00001001 +11111111 +11111111 +11111111 +00111110 +00101110 +01000110 +00110110 +10111110 +10101110 +00001110 +11111111 +11111111 +11111111 +10001001 +11111111 +11111111 +11111111 +11111111 +00010100 +10011100 +10011011 +10100011 +10010010 +11000010 +10111010 +10110010 +10101010 +10100010 +10011000 +10100000 +00101001 +00001010 +00100001 +00011001 +00011010 +00011100 +00011011 +00100011 +00010010 +01000010 +00111010 +00110010 +00101010 +00100010 +00011000 +00100000 +10101001 +10001010 +10100001 +10011001 +00001101 +00101100 +01000001 +00010011 +00111011 +00110011 +01000011 +00010000 +01000000 +00101101 +00111000 +00110000 +00101000 +00110001 +00111001 +00100101 +00011101 +00100100 +00010101 +00110100 +01000101 +00110101 +00010001 +00101011 +01000100 +00111101 +00111100 +00011110 +10011110 +00010110 +10011010 +10010110 +01111101 +00110010 +00100111 +00001100 +11001001 +00100010 +00100011 +00001100 +11001001 +01000100 +01001101 +11101101 +01011001 +11001001 +01000100 +01001101 +11101101 +01111000 +11011111 +01101000 +11000011 +00010001 +00000011 +11101101 +01001011 +00010000 +00001100 +11101101 +01011011 +00001110 +00001100 +00101010 +00001100 +00001100 +11001001 +00100001 +01111010 +00000111 +11011111 +01110001 +11100101 +00100001 +01001100 +00000110 +00000110 +00000110 +01111110 +11110111 +00001110 +00010100 +10101111 +11111111 +00001101 +00100000 +11111100 +00100011 +00010000 +11110100 +11011111 +01010111 +10101111 +11111111 +00111110 +01000101 +11110111 +00101010 +00010000 +00001100 +11011111 +01100110 +00111110 +00001101 +11110111 +11100001 +00100010 +01110011 +00001100 +11001001 +00001101 +01000101 +00110000 +00001101 +01010010 +00001101 +00001110 +00000000 +01111110 +10000001 +01001111 +01111110 +11011111 +01101111 +00100011 +00010000 +11110111 +11001001 +11011111 +01011111 +11011111 +01110111 +11100101 +11011111 +01111000 +11100101 +11001111 +11111110 +11111111 +00100000 +00001011 +00000110 +00000011 +11001111 +11111110 +11111111 +00100000 +00000100 +00010000 +11111001 +00011000 +00011011 +11111110 +00011011 +00100000 +11101100 +00000110 +00000011 +11001111 +11111110 +00011011 +00100000 +11100110 +00010000 +11111001 +11101111 +00011000 +00000000 +11100001 +00100010 +01110101 +00001100 +11100001 +00100010 +01110011 +00001100 +11000011 +01010001 +00000000 +11001111 +01101111 +11001111 +01100111 +11001111 +01011111 +11001111 +01010111 +00001110 +00000000 +11011111 +01101100 +11001111 +10111001 +00100000 +00011110 +01000011 +00001110 +00000000 +00111010 +00101011 +00001100 +11111110 +01010010 +00101000 +00000011 +11001111 +00011000 +00000010 +11001111 +01110111 +11100101 +00101010 +00101001 +00001100 +01110111 +11100001 +10000001 +01001111 +00100011 +00010000 +11101001 +11001111 +10111001 +00101000 +00000110 +11101111 +00111111 +00100000 +00000000 +00011000 +10100001 +11101111 +00101110 +00100000 +00000000 +10101111 +10111010 +00100000 +10011001 +00011000 +10110100 +00100001 +10000001 +00000111 +11011111 +01110010 +00100001 +01111110 +00000111 +11011111 +01110001 +11001001 +01111101 +00110010 +00101000 +00001100 +00100001 +10000101 +00000111 +11011111 +01110010 +00100001 +01111101 +00000111 +11011111 +01110001 +11001001 +11011111 +01110000 +11010000 +11100110 +01111111 +11110101 +00100001 +00101000 +00001100 +11001011 +01101110 +11001100 +00100001 +00000111 +11010111 +00100000 +11110001 +11111110 +01111111 +00100000 +00000001 +10101111 +11111110 +00011011 +00101000 +00000101 +10110111 +00101000 +00000010 +11001011 +11111110 +00110111 +11001001 +11110101 +00100001 +00101000 +00001100 +11001011 +01111110 +11001100 +00010111 +00000111 +11001011 +10111110 +11110001 +11001001 +11010111 +00001000 +11111110 +00001101 +11000000 +11001011 +01100110 +11000000 +00111110 +00001010 +10110111 +11001000 +11110101 +11101010 +00101001 +00000111 +11101110 +10000000 +11001011 +01000110 +00101000 +00000010 +11101110 +10000000 +11011111 +01101111 +11110001 +11001001 +11011111 +01111011 +11110111 +00011000 +11111011 +11011111 +01111000 +00100001 +01111111 +00000111 +11100101 +00101010 +01110011 +00001100 +11100011 +00100010 +01110011 +00001100 +11100001 +11001001 +00100001 +10000010 +00000111 +11100101 +00101010 +01110101 +00001100 +11100011 +00100010 +01110101 +00001100 +11100001 +11001001 +11100101 +00100001 +01110101 +00001100 +00011000 +00000011 +00100001 +01110011 +00001100 +11010101 +11000101 +01011110 +00100011 +01010110 +11110101 +00011010 +00010011 +10110111 +00101000 +00001101 +00110010 +00001010 +00001100 +11110001 +11010101 +10110111 +11001101 +10001010 +00000101 +11010001 +00110000 +11101110 +11110101 +11110001 +11000001 +11010001 +11100001 +11001001 +01100101 +01101111 +00000000 +01101110 +01110101 +01100101 +00000000 +01110110 +01100001 +01110000 +00000000 +01110100 +01100001 +00000000 +01000111 +00000101 +00000011 +00000110 +01000100 +00000101 +00001010 +00000011 +11110100 +00000011 +00001010 +00000011 +00100001 +00000110 +00110011 +00000111 +00110101 +00000101 +11111010 +11111111 +11111110 +00000101 +10010100 +00000100 +01000000 +00000010 +00111000 +00000111 +00000111 +00000110 +00001010 +00000011 +00001100 +00000110 +01011110 +00000110 +11111001 +00000011 +11000111 +00000010 +11001111 +00000110 +01011110 +00000110 +11101000 +00000100 +11011010 +00000110 +00001010 +00000011 +11111101 +11111111 +10110010 +00000011 +10001010 +00000101 +00111110 +00000000 +01000101 +00000000 +01010001 +00000000 +00010101 +00000110 +10001000 +00000000 +01010100 +00000111 +10110101 +00000010 +00110011 +00000011 +01001111 +00000001 +00000000 +00000011 +00010101 +00000011 +00011001 +00000011 +00000110 +00000011 +00010001 +00000011 +00001010 +00000011 +00101101 +00000011 +01010010 +00000110 +00001010 +00000111 +01011011 +00000000 +10000001 +00000000 +00111101 +00000111 +01001010 +00000111 +01011101 +00000111 +11101001 +00000110 +01110111 +00001100 +01111010 +00001100 +00111010 +00000111 +01000111 +00000111 +01101100 +00000011 +00100001 +00000011 +01110010 +00000000 +00110100 +00000010 diff --git a/coregen/monitorrom.ngc b/coregen/monitorrom.ngc new file mode 100755 index 0000000..ccbf611 --- /dev/null +++ b/coregen/monitorrom.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$32e41<,[o}e~g`n;"2*447&;:%>-*6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<9>401435567K0H:II>L6E6AAA3C==:H:K7ML:9>59941935A16N8L:J8?9HHL9G5146C1?ML65;=:;;?><20202F60<>:L7@2@3261M0:<9I>84@247A6708:;9O>80@251727398ON=?K3G7E64>0?HO;O=>=1D7246CD1L;;<7B62@4G5FN8:H>;==FG1F@07?=JNM:=JIDC3;2GBA8=9;;4JI122@4D558;:28=5?33F375G7;8;;?=8?30;37437;9:;=9H?32136G37?<>;<56?607340778=?;<>K;0:27=162NLLMJL>:34247661:8:8>:4623241389=;:<990163?5308J9M:<6N7G7F153609:;4??<7G7F155>IJ9MN<6K870GB55E:J9;4I9>0120516<8<2J<>L=FGDG466F8?NJ<9K7077F43EA8;I<<>H6077F436D8::J<;>8061;4727<91;:=M?003E2A1D1>=;O?;<8E1A177GD1:=N5>6?BGG4A627K9H?<6>70@32@=B090:;8=?>7BFEA5B0NK83N:9J2254444?9;LN<<98C2D2@57>=;OON::?;1;FF5?BJ9H;5>>>940F@G1D:82=MIHIB02BB3@CN>3;N=89DED5BA@01?IOJ=>?E5284G479KLNH4<716DFAG4?98LNI;?762F465518;83=212;05=7JKK:=I6>940F@G1709K:=K9>8317326?I;2I;:K=0142B766>OOM><6=365:<4>382:H?O4>L2201G<6D:>>;7=M=1303456598HMM?6>332EA4GD1LH=:IH?0E5@=C17?J<;>>640B0:6D@>:9:OJHH87CFEA0C68J=N>?>;1@024F41;;KM<>6NCEG6=D7?>KNMO<<74193G37699I==>?<9123477D=M??9HJI1D33G704:HLN;>8?39C@@5B50K=;O?84:32>LHW]]0jhi|m<0294;7338>1EC^ZT;CG@WG;9:0;2<:4158JJUSS2HNO^O2>3;2=55=62@D[YY4xe`>3>58682;1EC^ZT;uff96=87>;77>9>3N4213AB@EA8::=IN=HIFDAEA01?LOO>;:JI41914607<;:I;?L8E313A766=OOM><6?0522553588>MILH>83AG34?ANJ::==6=00174>47J0IM:NJI012F12EA=OIM::MI6GAE4536K::H;><=E02@3045M8:;=5KIF833A3273;:MIL:=8C5B34>7K;;9M=M<876GB457I;:9JKKM50:1F7D7<;:8MKK>A313576E?=:0><>=7D206665?L:8>>M7B65F@=03LO:9MK>L0C22G<509KNH>5OOO8=5=32627F?4?9884<>IEE:3ECC7?M<;N>6?AGGG<66C>J29L20027=1>LOOI;NJI0B0:6DCB91L3>JH96;1GG4@16<:HL<88=JFGCE12BAN=;;>>HL212GBCGA9=:NJ:9J0725432D:8O>;<919O=J69@3;ED?58829>?O<22C76445;8H:O9333E7?F802J>LOM2CC@6<4F;0H?<6?>>3B0B4F06;8I2<=>LEG@3AA679<::<<=L20D@55749;KM:O:?;2232@3709K9=?<=01AEA72509KMIK;L8C5@7565NJ9;FDD36534NL:J<8=;<587005=4:>N2:4O>68G2A6E4L=>9N7<13:046>5L=::<9=<32@27176:2996D@_UU8GMD:493:5=?5<2;KMTPR=L@H7?<4?>01877586;2996B[[PTV9@JD;;80;29>43DA323EEK9:OJH>7D6A:B4DBKK:<=:ML7DAA4F4?:8L>O4=8FDAA474?J>:>>5?M4190B6@BN9N9<:JLB1C14C@AM>I9N?L96ED66@BE?L;=:IH>9D7FFF?E8LNH5O6JD11e?6u089kejji;85/705=3?HLMM<6I1G7@<6B78O8:4K9?74C@7@3D1L9;:?>M7314A@5789:;81EC^ZT;FJTD:4294:=6:5IORVP?BNXK686=0>0:69MKVR\3]NM1=50?33?1KJ;HK>2963?015N9:ON?=?057@@574M92;::9=F12GF5E79;;;<9;LD100AF?79MHO<<9?2E@@=16<=L;:?=H>EC3A364E?;;89;<<5D1:B0B28:;:O>87DG5GB647?MIIJ:IN;K51A47777;82;O:;=1D7@=@77K>?9>H=?C671E@37?189=N7J11A4774B;9I?<6;IDGA:B277::K31664AE>8J=8>?K>F62G7@01LO:H==:?;77413C78?L=9N7J1E3@5C7A=LO8<>?19GE4F0E:;:H:4;?092205=1NML0GD0A3@CN9?;:KHNFD@32=G382=8>LK:065E641?LOLI=5H87CFEG<@6>ONM4=KJ030;03EE09ON<:<6E@D6G=D08H>;7:6JE0:274G6;9?947GG5GCDA91?<22545D6?8?:H0B2@6D73:9HNJN??419;1777K;28>:JL963GB510M;;<>IH?79315G260039=02D25>>=AGZ^X7jfn`>4>5869221EC^ZT;fjjg:0294:?665OTVSQQ0184>583823H=9HIFGDEBC>>NOLMJK>JAD@F7321:L9NJKHIFG2:<=@A0LLM<5HIFGDEBC@ANO20MIJ]A=2==>GCL[K7==06;@FGVD:69730MIJ]A=31:g=FLMXJ0<=50?;8EABUI5;8255NDEPB848?3HNO^L2=>99B@ATF4:437LJKR@>7:==FLMXJ0807;@FGVD:1611JHI\N<6<;?DBCZH63255NDEPB8<8?3HNO^O2?>89B@ATE48:556OKDS@?548>3HNO^O2>2?`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fbfx]i}fooa8Ilhhz_oydaa2:L27>H69:1E=?=4N010?K73;2D:9>5A1718J4143G;3?6@>939M66=I:990B??<;O017>H5>:1E>:=4N3:0?K4>;2D8<>5A3018J6443G98j6@M_CWPTLHXX[E[_:5AEUULVN479TAG:76?1\IO2>>79TAG:5611\IO2<:1<5?RCE4:4i7Z\FTD]EMWUSk2]YEYKPMNFF[De<_[C_IRC@DD]A5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE:<6VPCNPF[LHAGVFB_Y84Xe`\Ma`)89b`atf 8:"46okdsc+6,>gcl{k#:$64aefqe-1.02koho'8(:8eabui!3"46okdsc?4;d720mij}a=5=<>gcl{k74364aefqe9?902kohl'0(:8eabuj!;"56okds`+55/?3hno~o&=)99b`ate :#37ljkrc*7-==flmxi$8'7;`fgvg.1!11jhi|m(6+;?dbczk"3%55ndepa,0;2=60=edbUfi`Qheo]u6Z0+(Jeyijka 8,1*52nW`z9>h5l:.tk`i)iizida?!losgdak)sey%Toj!bookwkwthe'dlc95lljc7?fjlj>1ondzjrs58`lhf 9#<7igaa)3*3>bnfh"9%:5kioc+7,11oecl'4(58`lhe <#<7igab)4*3>bnfk"<%45kio`?3?6902ndyyo'0(:8`jssi!;"46j`uuc+6,>bh}}k#:$64dnwwe-1.02ndyyo30?:8`jssi5;546j`uuc?6;>bh}}k7:3o4dnwwe91=8720hb{{a=5=<>bh}}h#<$64dnwwf-7.02ndyyl'2(:8`jssj!9"46j`uu`+0,> 20hb{{b)5*<>bh}}h7<3o4dnwwf91=8720iigi2oeg7>cii:1nboo4mnljpjtugd8:7`aaiumqvjkXjeaTahcPgdl\r7Y1WqyS<64nfaaqljc>2xnknkn6:pfcfce?2yieyk}r59pwwg33zyyn;5xe`*3-==pmh6;6=09;vga,5/?3~oi0=4?>|BCt40>3IJs=nh5F;695~U0;38;<7?::011h6ih0?7)?n7;3b5>{T?;09<=4>5;306=eak3;i55>4S045>762290:??6lfb82f<>73Z=96?>::18277>dnj0:n46>;e037?6=93;p_:=5212950<6;;2hjn4>b8:3?!7f=3;hi6x[19;94?7=93;43=9:83okm51c;;4>"61:0:::5Y1`:96~s6>10:7x?99;28y!7?<39:7o;[4a>7}6>3><6p*>8d8146=#9h?1=nk4Z0c5>7}6kl0:oh4ri322>5<6=54i0`6>5<#91<1=o94n0:6>4=6?54i0`0>5<#91<1=o94n0:6>6=6954i0`2>5<#91<1=o94n0:6>0=6;54i0ce>5<#91<1=o94n0:6>2=6554i0c`>5<#91<1=o94n0:6><=6l54o0g`>5<#91<1=k:4n0:6>5=6<54o0gb>5<#91<1=k:4n0:6>7=6>54o0g;>5<#91<1=k:4n0:6>1=6854o0g5>5<#91<1=k:4n0:6>3=6=4+19495c26:54i0f3>5<:183M7>92.:4h4>939l5=5=831vn5<7sA;2=6*>8d825g=h98k1<75rb7c94?252:0:;vF>909Y2g<68r;j61>=9h0:n7?9:05900<3>3w/=5k52168 4g228in7)?70;3;6>o5880;66g=0383>>o5800;66g=0`83>>o58?0;66g=0683>>o58k0;6)?76;03g>h60<0;76g=0e83>!7?>38;i6`>8483?>o6im0;6)?76;3a3>h60<0;76g>b483>!7?>3;i;6`>8482?>o6j=0;6)?76;3a3>h60<0976g>b283>!7?>3;i;6`>8480?>o6j;0;6)?76;3a3>h60<0?76g>b083>!7?>3;i;6`>8486?>o6j90;6)?76;3a3>h60<0=76g>ag83>!7?>3;i;6`>8484?>o6il0;6)?76;3a3>h60<0376g>ab83>!7?>3;i;6`>848:?>o6ik0;6)?76;3a3>h60<0j76g>c583>!7?>3;hh6`>8483?>o6kj0;6)?76;3``>h60<0:76g>cc83>!7?>3;hh6`>8481?>o6kh0;6)?76;3``>h60<0876g>c883>!7?>3;hh6`>8487?>o6k10;6)?76;3``>h60<0>76g>c683>!7?>3;hh6`>8485?>o6k?0;6)?76;3``>h60<0<76g>c483>!7?>3;hh6`>848;?>o6k:0;6)?76;3``>h60<0276g>c383>!7?>3;hh6`>848b?>i5810;66a>eb83>!7?>3;m86`>8483?>i6mk0;6)?76;3e0>h60<0:76a>e`83>!7?>3;m86`>8481?>i6m00;6)?76;3e0>h60<0876a>e983>!7?>3;m86`>8487?>i6m>0;6)?76;3e0>h60<0>76a>e783>!7?>3;m86`>8485?>i6m<0;6)?76;3e0>h60<0<76a>fe83>!7?>3;mj6`>8483?>i6nj0;6)?76;3eb>h60<0:76a>fc83>!7?>3;mj6`>8481?>i6nh0;6)?76;3eb>h60<0876a>f883>!7?>3;mj6`>8487?>i6n10;6)?76;3eb>h60<0>76a>f683>!7?>3;mj6`>8485?>i6n?0;6)?76;3eb>h60<0<76g>d183>>o6l80;66g>d`83>!7?>3;on6`>8483?>o6l00;6)?76;3gf>h60<0:76g>d983>!7?>3;on6`>8481?>o6l>0;6)?76;3gf>h60<0876g>d783>!7?>3;on6`>8487?>o6l<0;6)?76;3gf>h60<0>76g>d583>!7?>3;on6`>8485?>o6l:0;6)?76;3gf>h60<0<76g>e283>!7?>3;n86`>8483?>o6m;0;6)?76;3f0>h60<0:76g>e083>!7?>3;n86`>8481?>o6m90;6)?76;3f0>h60<0876g>dg83>!7?>3;n86`>8487?>o6ll0;6)?76;3f0>h60<0>76g>de83>!7?>3;n86`>8485?>o6lj0;6)?76;3f0>h60<0<76a>d383>>d6i:0;6<4?:1y'5=c=98h0Di69h0;66sm1`694?7=83:p(<6j:0;1?M7f:2B:5<5`19194?=zj82m6=4ma;294~"60l0:4:5G1`08L4?63S8;3:>7d=:j08?7=;:27973<5l38n6>95398~j20=12d<;774$0c3>4?b3-;3m7?4$007>d=#9;?1??5+13492>"6:>0:=95+13:97d=#9;31:45+13c97<=#9;h1>95+13a92c=#9;n1?6*>2d81<>"6:o0:=85+1229b>"6;80:=;5+12095`=#9:91==5+1269`>"6;<0=h6*>378255=#9:=18?5+12:965=#9:31=>5+12c92f=#9:h1:h5+12a90>"6;m0:>6*>3d816>"6;o09=6*>418`?!73938<7)?;2;0:?!73;3=0(<:;:668 42228>0(<:9:2d8 42028i0(<:7:034?!7313887)?;a;60?!73j3=>7)?;c;63?!73l3o0(<:j:228 42a2:h0(<;?:03:?!7293>27)?:2;05?!72;38j7)?:4;32?!72=38>7)?:6;1g?!72?3;o7)?:8;3e?!7213;:=6*>5`870>"6=k0:=55+14a96c=#9"6>80i7)?92;:8 4042<1/=;:51:&220<63-;:o7<4$03g>7=#98o1>6*>1g81?!758380(<<>:39'5=>=>11/=?<52:&266<53-;2j7?6e:&2i6=4+19490`=i91?1?65f5983>!7?>3>n7c?75;68?l30290/=5854d9m5=3==21b9;4?:%3;2?2b3g;39784;h76>5<#91<18h5a19793>=n==0;6)?76;6f?k7?=3207d;<:18'5=0=!7?>3>n7c?75;a8?l2f290/=5854d9m5=3=l21b9h4?:%3;2?3c3g;397>4;h7`>5<#91<19i5a19795>=n=k0;6)?76;7g?k7?=3807d;n:18'5=0==m1e=5;53:9j22<72-;3:7;k;o3;1?2<3`<=6=4+19491a=i91?1965f6483>!7?>3?o7c?75;48?l03290/=5855e9m5=3=?21b:>4?:%3;2?3c3g;39764;h41>5<#91<19i5a1979=>=n>80;6)?76;7g?k7?=3k07d8?:18'5=0==m1e=5;5b:9j1c<72-;3:7;k;o3;1?e<3`?26=4+19491a=i91?1h65f9083>!7?>33;7c?75;28?l>a290/=585919m5=3=921b4i4?:%3;2??73g;397<4;h:`>5<#91<15=5a19797>=n0k0;6)?76;;3?k7?=3>07d6n:18'5=0=191e=5;55:9j<<<72-;3:77?;o3;1?0<3`236=4+1949=5=i91?1;65f8683>!7?>33;7c?75;:8?l>1290/=585919m5=3=121b484?:%3;2??73g;397o4;h:7>5<#91<15=5a1979f>=n0;0;6)?76;;3?k7?=3i07d6>:18'5=0=191e=5;5d:9j<5<72-;3:77?;o3;1?c<3`=m6=4+1949=5=i91?1j65f7d83>!7?>33;7c?75;33?>o0l3:1(<69:828j4>228;07d9l:18'5=0=191e=5;51398m2d=83.:4;460:l2<0<6;21b;l4?:%3;2??73g;397?;;:k4=?6=,82=64>4n0:6>43<3`336=4+1949=5=i91?1=;54i8594?"60?02<6`>84823>=n1?0;6)?76;;3?k7?=3;376g65;29 4>120:0b<6::0;8?l?3290/=585919m5=3=9h10e4=50;&2<3<>82d:484>b:9j=7<72-;3:77?;o3;1?7d32c3i7>5$0:5><665<#91<15=5a19795`=21<7*>878:4>h60<0:j65fb383>!7?>3h:7c?75;28?ld7290/=585b09m5=3=921bmh4?:%3;2?d63g;397<4;hcg>5<#91<1n<5a19797>=nij0;6)?76;`2?k7?=3>07dom:18'5=0=j81e=5;55:9jed<72-;3:7l>;o3;1?0<3`k26=4+1949f4=i91?1;65fa983>!7?>3h:7c?75;:8?lg0290/=585b09m5=3=121bm;4?:%3;2?d63g;397o4;hc6>5<#91<1n<5a1979f>=ni:0;6)?76;`2?k7?=3i07do=:18'5=0=j81e=5;5d:9je4<72-;3:7l>;o3;1?c<3`k;6=4+1949f4=i91?1j65f9g83>!7?>3h:7c?75;33?>o>m3:1(<69:c38j4>228;07d7k:18'5=0=j81e=5;51398m43<3`h26=4+1949f4=i91?1=;54ic:94?"60?0i=6`>84823>=nj>0;6)?76;`2?k7?=3;376gm6;29 4>12k;0b<6::0;8?ld2290/=585b09m5=3=9h10eo:50;&2<3b:9jf6<72-;3:7l>;o3;1?7d32cjj7>5$0:5>g765<#91<1n<5a19795`=878a5>h60<0:j65fbd83>!7?>3ho7c?75;28?ldd290/=585be9m5=3=921bno4?:%3;2?dc3g;397<4;h`b>5<#91<1ni5a19797>=nk:0;6)?76;a1?k7?=3:07dm>:18'5=0=k;1e=5;51:9jg5<72-;3:7m=;o3;1?4<3`hm6=4+1949g7=i91?1?65f18:94?"60?0:5:5a19794>=n90<1<7*>8782=2=i91?1=65f18794?"60?0:5:5a19796>=n90>1<7*>8782=2=i91?1?65f18f94?"60?0:5n5a19794>=n90h1<7*>8782=f=i91?1=65f18c94?"60?0:5n5a19796>=n9031<7*>8782=f=i91?1?65`de83>!7?>3nh7c?75;28?jbe290/=585db9m5=3=921dh44?:%3;2?bd3g;397<4;nf;>5<#91<1hn5a19797>=hl>0;6)?76;f`?k7?=3>07bj9:18'5=0=lj1e=5;55:9l`0<72-;3:7jl;o3;1?0<3fn?6=4+1949`f=i91?1;65`d283>!7?>3nh7c?75;:8?jb5290/=585db9m5=3=121dh<4?:%3;2?bd3g;397o4;nf3>5<#91<1hn5a1979f>=hkl0;6)?76;f`?k7?=3i07bmk:18'5=0=lj1e=5;5d:9lgf<72-;3:7jl;o3;1?c<3fii6=4+1949`f=i91?1j65`c`83>!7?>3nh7c?75;33?>id13:1(<69:ea8j4>228;07bm7:18'5=0=lj1e=5;51398kf1=83.:4;4kc:l2<0<6;21do;4?:%3;2?bd3g;397?;;:m`1?6=,82=6im4n0:6>43<3fo?6=4+1949`f=i91?1=;54od194?"60?0oo6`>84823>=hm;0;6)?76;f`?k7?=3;376aj1;29 4>12mi0b<6::0;8?jc7290/=585db9m5=3=9h10cih50;&2<3b:9l``<72-;3:7jl;o3;1?7d32eom7>5$0:5>ae65<#91<1hn5a19795`=1<7*>878gg>h60<0:j65`fd83>!7?>3lo7c?75;28?j`d290/=585fe9m5=3=921djl4?:%3;2?`c3g;397<4;nd:>5<#91<1ji5a19797>=hn10;6)?76;dg?k7?=3>07bh8:18'5=0=nm1e=5;55:9lb3<72-;3:7hk;o3;1?0<3fl>6=4+1949ba=i91?1;65`f583>!7?>3lo7c?75;:8?j`4290/=585fe9m5=3=121dj?4?:%3;2?`c3g;397o4;nd2>5<#91<1ji5a1979f>=hmo0;6)?76;dg?k7?=3i07bkj:18'5=0=nm1e=5;5d:9laa<72-;3:7hk;o3;1?c<3foh6=4+1949ba=i91?1j65`ec83>!7?>3lo7c?75;33?>ibi3:1(<69:gf8j4>228;07bk6:18'5=0=nm1e=5;51398k`>=83.:4;4id:l2<0<6;21di:4?:%3;2?`c3g;397?;;:mf2?6=,82=6kj4n0:6>43<3f;;97>5$0:5>cb6<84;n330?6=,82=6kj4n0:6>41<3f;;?7>5$0:5>cb6<64;n336?6=,82=6kj4n0:6>4?<3f;;=7>5$0:5>cb64d<3flm6=4+1949ba=i91?1=n54og`94?"60?0mh6`>8482`>=hn90;6)?76;dg?k7?=3;n76aj5;29 4>12on0b<6::0d8?j77i3:1(<69:02:?k7?=3:07b??8;29 4>128:27c?75;38?j77?3:1(<69:02:?k7?=3807b??6;29 4>128:27c?75;18?j77n3:1(<69:02f?k7?=3:07b??d;29 4>128:n7c?75;38?j77k3:1(<69:02f?k7?=3807b??b;29 4>128:n7c?75;18?xu6nl0;6;ht^0f1?[4702T:ji5Q1ga8Z4`e3W;mm6P>f89]5c>5=`=91i01<6i:0:g?87?n3?:70?7f;73?87?n3>j70?7f;7f?87?n3?h70?7f;7a?87?n3?j70?7f;44?87?n3<=70?7f;46?87?n370?7f;;7?87?n33870?7f;;1?87?n32n70?7f;:0?87?n3=370?7f;`1?87?n3h;70?7f;cf?87?n3ko70?7f;c`?87?n3ki70?7f;cb?87?n3k270?7f;c;?87?n3k<70?7f;c5?87?n3k>70?7f;c0?87?n3k970?7f;c2?87?n3k;70?7f;;e?87?n33n70?7f;;g?87?n33h70?7f;;a?87?n33j70?7f;`:?87?n3h370?7f;`4?87?n3h=70?7f;`6?87?n3h?70?7f;`0?87?n3km70?7f;c7?87?n33270?7f;`f?87?n3hh70?7f;`a?87?n3hj70?7f;a0?87?n3i:70?7f;a3?87?n3hm70?7f;3:<>;60o0:5;5219d95<3<582m6<7;;<3;b?7>l27:4k4>9c9>5=`=90k01<6i:0;:?xu5880;6?uQ213894>a28;97p}>d183>7}Y9m:01<6i:628yv7a=3:1>vP>eb9>5=`=m;1v;60o0om6s|1dg94?4|V8o=70?7f;ae?xu6mm0;6?uQ1d7894>a2j>0q~?m8;296~X6im16=5h54g9~w4e62909wS?m5:?250;0xZ4d334;3j7:l;|q2fc<72;qU=o=4=0:e>1d52z\2f7=:91l1955rs0`g>5<5sW;i=63>8g863>{t9ki1<7bc83>7}Y9hl01<6i:478yv7ei3:1>vP>ad9>5=`===1v5d2=91901<6i:030?x{t9m:1<7{t9k21<7{t9j;1<77)?60;35g>{t9j:1<7{t9kl1<7{t9ko1<7{t9kn1<7{t9ki1<7{t9kh1<7{t9kk1<7{t9k31<7{t9k<1<7{t:9;1<7{t9o?1<7{t9o91<7{t9o81<7{t9o;1<7{t9o:1<7{t9ll1<7{t9lo1<7{t9ln1<77)?60;34b>{zf89o6=4={I3:5>{i9:o1<7vF>909~j4262909wE?61:m514=838pD<7>;|l206<72;qC=4?4}o370?6=:rB:5<5rn066>5<5sA;2=6sa15494?4|@83:7p`>4683>7}O90;0qc?;8;296~N6181vb<:6:181M7>92we=9o50;0xL4?63td:8o4?:3yK5<752zJ2=4=zf8>o6=4={I3:5>{i9=o1<7vF>909~j4362909wE?61:m570=83;pD<7>;|l27f<728qC=4?4}|~DEE|9?31oo;7fc57yEFEs9wKL]ur@A \ No newline at end of file diff --git a/coregen/monitorrom.sym b/coregen/monitorrom.sym new file mode 100755 index 0000000..552fd0f --- /dev/null +++ b/coregen/monitorrom.sym @@ -0,0 +1,27 @@ +VERSION 5 +BEGIN SYMBOL monitorrom +SYMBOLTYPE BLOCK +TIMESTAMP 2008 12 31 13 31 1 +SYMPIN 0 80 Input addra[10:0] +SYMPIN 0 272 Input clka +SYMPIN 576 80 Output douta[7:0] +BEGIN DISPLAY 32 32 TEXT monitorrom + FONT 40 "Arial" +END DISPLAY +RECTANGLE N 32 32 544 576 +BEGIN LINE W 0 80 32 80 +END LINE +BEGIN DISPLAY 36 80 PIN addra[10:0] ATTR PinName + FONT 24 "Arial" +END DISPLAY +LINE N 0 272 32 272 +BEGIN DISPLAY 36 272 PIN clka ATTR PinName + FONT 24 "Arial" +END DISPLAY +BEGIN LINE W 576 80 544 80 +END LINE +BEGIN DISPLAY 540 80 PIN douta[7:0] ATTR PinName + ALIGNMENT RIGHT + FONT 24 "Arial" +END DISPLAY +END SYMBOL diff --git a/coregen/monitorrom.v b/coregen/monitorrom.v new file mode 100755 index 0000000..39e0d16 --- /dev/null +++ b/coregen/monitorrom.v @@ -0,0 +1,126 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The synthesis directives "translate_off/translate_on" specified below are +// supported by Xilinx, Mentor Graphics and Synplicity synthesis +// tools. Ensure they are correct for your synthesis tool(s). + +// You must compile the wrapper file monitorrom.v when simulating +// the core, monitorrom. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + +`timescale 1ns/1ps + +module monitorrom( + clka, + addra, + douta); + + +input clka; +input [10 : 0] addra; +output [7 : 0] douta; + +// synthesis translate_off + + BLK_MEM_GEN_V2_6 #( + .C_ADDRA_WIDTH(11), + .C_ADDRB_WIDTH(11), + .C_ALGORITHM(1), + .C_BYTE_SIZE(9), + .C_COMMON_CLK(0), + .C_DEFAULT_DATA("0"), + .C_DISABLE_WARN_BHV_COLL(0), + .C_DISABLE_WARN_BHV_RANGE(0), + .C_FAMILY("spartan3"), + .C_HAS_ENA(0), + .C_HAS_ENB(0), + .C_HAS_MEM_OUTPUT_REGS_A(0), + .C_HAS_MEM_OUTPUT_REGS_B(0), + .C_HAS_MUX_OUTPUT_REGS_A(0), + .C_HAS_MUX_OUTPUT_REGS_B(0), + .C_HAS_REGCEA(0), + .C_HAS_REGCEB(0), + .C_HAS_SSRA(0), + .C_HAS_SSRB(0), + .C_INIT_FILE_NAME("monitorrom.mif"), + .C_LOAD_INIT_FILE(1), + .C_MEM_TYPE(3), + .C_MUX_PIPELINE_STAGES(0), + .C_PRIM_TYPE(1), + .C_READ_DEPTH_A(2048), + .C_READ_DEPTH_B(2048), + .C_READ_WIDTH_A(8), + .C_READ_WIDTH_B(8), + .C_SIM_COLLISION_CHECK("ALL"), + .C_SINITA_VAL("0"), + .C_SINITB_VAL("0"), + .C_USE_BYTE_WEA(0), + .C_USE_BYTE_WEB(0), + .C_USE_DEFAULT_DATA(1), + .C_USE_ECC(0), + .C_USE_RAMB16BWER_RST_BHV(0), + .C_WEA_WIDTH(1), + .C_WEB_WIDTH(1), + .C_WRITE_DEPTH_A(2048), + .C_WRITE_DEPTH_B(2048), + .C_WRITE_MODE_A("WRITE_FIRST"), + .C_WRITE_MODE_B("WRITE_FIRST"), + .C_WRITE_WIDTH_A(8), + .C_WRITE_WIDTH_B(8), + .C_XDEVICEFAMILY("spartan3a")) + inst ( + .CLKA(clka), + .ADDRA(addra), + .DOUTA(douta), + .DINA(), + .ENA(), + .REGCEA(), + .WEA(), + .SSRA(), + .CLKB(), + .DINB(), + .ADDRB(), + .ENB(), + .REGCEB(), + .WEB(), + .SSRB(), + .DOUTB(), + .DBITERR(), + .SBITERR()); + + +// synthesis translate_on + +// XST black box declaration +// box_type "black_box" +// synthesis attribute box_type of monitorrom is "black_box" + +endmodule + diff --git a/coregen/monitorrom.veo b/coregen/monitorrom.veo new file mode 100755 index 0000000..933febe --- /dev/null +++ b/coregen/monitorrom.veo @@ -0,0 +1,45 @@ +/******************************************************************************* +* This file is owned and controlled by Xilinx and must be used * +* solely for design, simulation, implementation and creation of * +* design files limited to Xilinx devices or technologies. Use * +* with non-Xilinx devices or technologies is expressly prohibited * +* and immediately terminates your license. * +* * +* XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" * +* SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR * +* XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION * +* AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION * +* OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS * +* IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, * +* AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE * +* FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY * +* WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE * +* IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR * +* REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF * +* INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS * +* FOR A PARTICULAR PURPOSE. * +* * +* Xilinx products are not intended for use in life support * +* appliances, devices, or systems. Use in such applications are * +* expressly prohibited. * +* * +* (c) Copyright 1995-2007 Xilinx, Inc. * +* All rights reserved. * +*******************************************************************************/ +// The following must be inserted into your Verilog file for this +// core to be instantiated. Change the instance name and port connections +// (in parentheses) to your own signal names. + +//----------- Begin Cut here for INSTANTIATION Template ---// INST_TAG +monitorrom YourInstanceName ( + .clka(clka), + .addra(addra), // Bus [10 : 0] + .douta(douta)); // Bus [7 : 0] + +// INST_TAG_END ------ End INSTANTIATION Template --------- + +// You must compile the wrapper file monitorrom.v when simulating +// the core, monitorrom. When compiling the wrapper file, be sure to +// reference the XilinxCoreLib Verilog simulation library. For detailed +// instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/monitorrom.vhd b/coregen/monitorrom.vhd new file mode 100755 index 0000000..a54319d --- /dev/null +++ b/coregen/monitorrom.vhd @@ -0,0 +1,121 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file monitorrom.vhd when simulating +-- the core, monitorrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY monitorrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + douta: OUT std_logic_VECTOR(7 downto 0)); +END monitorrom; + +ARCHITECTURE monitorrom_a OF monitorrom IS +-- synthesis translate_off +component wrapped_monitorrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_monitorrom use entity XilinxCoreLib.blk_mem_gen_v2_6(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "monitorrom.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 1, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 1, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_monitorrom + port map ( + clka => clka, + addra => addra, + ena => ena, + douta => douta); +-- synthesis translate_on + +END monitorrom_a; + diff --git a/coregen/monitorrom.vhd.bak b/coregen/monitorrom.vhd.bak new file mode 100755 index 0000000..fc79256 --- /dev/null +++ b/coregen/monitorrom.vhd.bak @@ -0,0 +1,118 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file monitorrom.vhd when simulating +-- the core, monitorrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY monitorrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END monitorrom; + +ARCHITECTURE monitorrom_a OF monitorrom IS +-- synthesis translate_off +component wrapped_monitorrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_monitorrom use entity XilinxCoreLib.blk_mem_gen_v2_6(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 3, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "WRITE_FIRST", + c_init_file_name => "monitorrom.mif", + c_write_mode_a => "WRITE_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 1, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 0, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_monitorrom + port map ( + clka => clka, + addra => addra, + douta => douta); +-- synthesis translate_on + +END monitorrom_a; + diff --git a/coregen/monitorrom.vho b/coregen/monitorrom.vho new file mode 100755 index 0000000..5c91323 --- /dev/null +++ b/coregen/monitorrom.vho @@ -0,0 +1,58 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component monitorrom + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + douta: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : monitorrom + port map ( + clka => clka, + addra => addra, + ena => ena, + douta => douta); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file monitorrom.vhd when simulating +-- the core, monitorrom. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/monitorrom.xco b/coregen/monitorrom.xco new file mode 100755 index 0000000..24b453c --- /dev/null +++ b/coregen/monitorrom.xco @@ -0,0 +1,78 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Thu Jan 08 11:30:25 2009 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 2.6 +# END Select +# BEGIN Parameters +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file=C:/vhdl/nascom2_t80/NASSYSI.coe +CSET collision_warnings=ALL +CSET component_name=monitorrom +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Always_Enabled +CSET fill_remaining_memory_locations=true +CSET load_init_file=true +CSET memory_type=Single_Port_ROM +CSET operating_mode_a=WRITE_FIRST +CSET operating_mode_b=WRITE_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET single_bit_ecc=false +CSET use_byte_write_enable=false +CSET use_ramb16bwer_reset_behavior=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_ssra_pin=false +CSET use_ssrb_pin=false +CSET write_depth_a=2048 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: b5ba28bc + diff --git a/coregen/monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj b/coregen/monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj new file mode 100755 index 0000000..93d52cf --- /dev/null +++ b/coregen/monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj @@ -0,0 +1,24 @@ +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst_comp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_defaults.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_getinit_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_min_area_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_bindec.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_mux.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_width.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_generic_cstr.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_input_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_output_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_top.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\monitorrom_blk_mem_gen_v2_6_xst_1.vhd" diff --git a/coregen/monitorrom_flist.txt b/coregen/monitorrom_flist.txt new file mode 100755 index 0000000..2490680 --- /dev/null +++ b/coregen/monitorrom_flist.txt @@ -0,0 +1,9 @@ +# Output products list for +monitorrom.mif +monitorrom.ngc +monitorrom.vhd +monitorrom.vho +monitorrom.xco +monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj +monitorrom_flist.txt +monitorrom_xmdf.tcl diff --git a/coregen/monitorrom_readme.txt b/coregen/monitorrom_readme.txt new file mode 100755 index 0000000..4d09c2b --- /dev/null +++ b/coregen/monitorrom_readme.txt @@ -0,0 +1,45 @@ +The following files were generated for 'monitorrom' in directory +C:\vhdl\nascom2_t80\coregen\: + +monitorrom.mif: + Memory Initialization File which is automatically generated by the + CORE Generator System for some modules when a simulation flow is + specified. A MIF data file is used to support HDL functional + simulation of modules which use arrays of values. + +monitorrom.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +monitorrom.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +monitorrom.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +monitorrom.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj: + Please see the core data sheet. + +monitorrom_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +monitorrom_readme.txt: + Text file indicating the files generated and how they are used. + +monitorrom_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/monitorrom_xmdf.tcl b/coregen/monitorrom_xmdf.tcl new file mode 100755 index 0000000..267b49a --- /dev/null +++ b/coregen/monitorrom_xmdf.tcl @@ -0,0 +1,72 @@ +# The package naming convention is _xmdf +package provide monitorrom_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::monitorrom_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::monitorrom_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name monitorrom +} +# ::monitorrom_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::monitorrom_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom.mif +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom_blk_mem_gen_v2_6_xst_1_vhdl.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path monitorrom_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module monitorrom +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/coregen/ram2kx8.ngc b/coregen/ram2kx8.ngc new file mode 100755 index 0000000..924effa --- /dev/null +++ b/coregen/ram2kx8.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.4e +$33:41<,[o}e~g`n;"2*447&;:%>-*6;123456789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<>5>.137?47=AGZ^X7okdsc?55<768>0=<4FNQWW>dbczk6:<7>115920?OIX\^1MIJ]A=30>586<2;?6D@_UU8B@ATE4891<3??;08JJUSS2~oj0=4?>0285?OIX\^1{hl30;2=57=4:3CE\XZ5DHC?74<76880??4FNQWW>AOE4:;1<3?<;209KPRW]]0OCL2<1;2=56=4:3E^X][[:EMA867=87l0?~9?0`lecb2?<$>:=6:5IORVP?BNXH686=0>1:69MKVR\3NB\O2<:1<24>2=AGZ^X7YJA=194;773=0BB][[:VGA86<7688087AZTQWW>AIWI591<3?=;58LQQVR\3ND\O2<:1<25>>=AGZ^X7jfn`>4>5869221EC^ZT;fjjg:0294:?665OTVSQQ0184>58?3HNO^L2?>89B@ATF48:556OKDSC?548>3HNO^L2>2?`8EABUI5;86=06;@FGVD:6;720MIJ]A=3=<>GCL[K7>364AEFQE95902KOH_O34?:8EABUI5?546OKDSC?2;>GCL[H7<374AEFQF977601JHI\M<03==>GCL[H7=?0m;@FGVG:6;3:556OKDS@?568?3HNO^O2>>99B@ATE4;437LJKRC>0:==FLMXI0907;@FGVG:2611JHI\M<7<;?DBCZK6<255NDEPA8=8?3HNO^O26>29BHI51OEL2>0?58@LG;984<7IGN<00=3>BNI5;82:5KI@>20;169GMD:607=0HDO318<5?AOF484<7IGN<32=3>BNI58:2:5KI@>16;1>08;EKB8729?2NBM1<:>69GMD:5>7=0HDO326<4?AOF4;25;6JFA=0::3=CAH692:5KI@>04;?69GMD:497<0HDO33?48@LG;<7<0HDO35?48@LG;>7<0HDO37?48@LG;07<0HDO39?48@LD;87=0HDL311<4?AOE48;5;6JFB=31:2=CAK6:?394DH@?51803MCI0<;17:FJF9716>1OEO2>7?58@LD;914<7IGM<0;=2>BNJ5;5;6JFB=03:2=CAK69=394DH@?67803MCI0?=17:FJF9436>1OEO2=5?58@LD;:?4<7IGM<35=3>BNJ5832:5KIC>1=;0394DH@?758>3MCI0>?50?58@LD;;84=7IGM<2<5?AOE4=4=7IGM<4<5?AOE4?4=7IGM<6<5?AOE414=7IGM<8<4?AOWI5:5;6JFP@>2:2=CAYK7>374DHRB86<76>1OE]O33?58@LVE494<7IG_B=3=3>BNXK69245KIQ@?7?69?2NB\O2<>79GKD:76>1OCL2>0?58@JG;984<7IAN<00=3>BHI5;82:5KO@>20;169GKD:607=0HBO318<5?AIF484<7IAN<32=3>BHI58:2:5KO@>16;1>08;EMB8729?2NDM1<:>69GKD:5>7=0HBO326<4?AIF4;25;6J@A=0::3=CGH692:5KO@>04;?69GKD:497<0HBO33?48@JG;<7<0HBO35?48@JG;>7<0HBO37?48@JG;07<0HBO39?48@JD;87=0HBL311<4?AIE48;5;6J@B=31:2=CGK6:?394DN@?51803MEI0<;17:FLF9716>1OCO2>7?58@JD;914<7IAM<0;=2>BHJ5;5;6J@B=03:2=CGK69=394DN@?67803MEI0?=17:FLF9436>1OCO2=5?58@JD;:?4<7IAM<35=3>BHJ5832:5KOC>1=;0394DN@?758>3MEI0>?50?58@JD;;84=7IAM<2<5?AIE4=4=7IAM<4<5?AIE4?4=7IAM<6<5?AIE414=7IAM<8<4?AIWI5:5;6J@P@>2:2=CGYK7>374DNRB86<76>1OC]O33?58@JVE494<7IA_B=3=3>BHXK69245KOQ@?7?69?2ND\O2<>29FJD511BBDZ__154?LHN\V:;;6GAIU]352=NF@^T;7:KMMQY7=>1BBDZP0758MKOSW9=<7D@FT^2;3>OIA]U;5:5FNHV\4D11BBDZP1758MKOSW8=<7D@FT^3;3>OIA]U:5:5FNHV\5D1D69JJLRX9L=0ECG[_0D4?LHN\V8;;6GAIU]152=NF@^T>?94IOKW[7503@DBXR<;7:KMMQY5=>1BBDZP2758MKOSW;=<7D@FT^0;3>OIA]U95:5FNHV\6D11BBDZP3758MKOSW:=<7D@FT^1;3>OIA]U85:5FNHV\7D1L8;HLJPZ5D?2CEEYQKfbfx]i}fooa8Ilhhz_oydaa2:L27>H68:1E=<=4N000?K74;2D:8>5A1418J4043G;829M5<4<;O027>H5::1E>9=4N340?K40;2D94>5A2808J653:L066=I;:90B>:<;O167>H4>:1E?:=4N2:0?K5>:2D??6@;029M045H30:1E84<4N418J0643G?:?6@:229M165:1E:==4N730?K05;2D=?>5A6518J3353G=97C6=;O;e?KDXJ\Y[ECQ_RNRP3>HB\^EYG?5@K09S0>VFZ]k0\D@PBTQJ@]d0_^\N4:QPVG2<\PZN8<5Z0.`ooZkbeVmnbh|ntnp,rdjnl'ixx!}alnlku]7U'xja#| s2c\mkos&{kf#oba:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL<0[HO30?48S@G;97<0[HO32?:8S@G;;3:5:6YJA=1=2>QBJ5:5:6YJB=3=2>QBJ58546YJB=194;0<_LH7?3m4WSKWAZKHLLUJo6Y]IUG\IJBBWK;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@<0T^ZCIC58\VRXOGN<7U][_WA@f>^XKFXNSD@IO028\ZEHZLUBBKAPLHQW2>^cjVCoj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl;?dbczh";%55ndepb,4/>3hno~l&>0(:8eabui!8"46okdsc+7,>gcl{k#;$64aefqe->.02koho'9(:8eabui5:5n6okdsc?55<7601jhi|n<02=<>gcl{k7=364aefqe94902koho33?:8eabui5>546okdsc?1;>gcl{k75364aefqf-6.02kohl'1(;8eabuj!;;%55ndepa,7/?3hno~o&<)99b`ate =#37ljkrc*6-==flmxi$;'7;`fgvg.0!11jhi|m(9+;?dbczk"2%55ndepa858e3hno~o2>0;2==>gcl{h7==07;`fgvg:6611jhi|m<3<;?dbczk68255ndepa818?3hno~o2:>99b`ate4?437ljkrc>4:==flmxi0507;`fgvg:>6;?0naePmdo\c`hX~;U= -M`rdefj%?):':?eRg23`8g?)q`mf$bl}lol0,gjtbold$x`~ _be,vdk5cq2%bja;;bnhe1=ddbh<7ilftdpq3>bnfh";%:5kioc+5,13:2=cagk7=394dhlb87803mcem1=17:fjjd:36>1oeco35?58`lhf4?427igaa=594;1)69gmkd/: =0hd`m(2+4?aoij!>";6jfnc*6-2=cagh#:$94dhla,2/03mcen1>17:fjjg:66>1oecl32?58`lhe4:4<7igab=6=3>bnfk6>2:5kio`?2;?69gmkd;?720hb{{a)2*<>bh}}k#=$64dnwwe-4.02ndyyo'3(:8`jssi!>"46j`uuc+1,>bh}}k7=364dnwwe94902ndyyo33?:8`jssi5>546j`uuc?1;>&8:flqqd/9 20hb{{b)0*<>bh}}h#?$64dnwwf-2.02ndyyl'5(:8`jssj!<"46j`uu`+3,>18:flqqd;9720hb{{b=0=<>bh}}h7?364dnwwf92902ndyyl35?:8`jssj5<5m6j`uu`?3?6902ndyyl37?:8aaoa:gmo?6kaa29fjg>79tad:76?1|io&?)99tag:7294=7zkm<1<~DEv5881KLu?l0;D90?7|[:n1>=653;306=ea?38>m5mtn0:e>4=i90:186*>8e82<2=z[:i1>=653;306=ea?38>m5m4S005>76e290:??6lf6811d>d3Z9h6?>m:18277>dn>099l6k;e03g?6=93;p_>j521:97?74:1im;7<:a9a8 4>e28hm7{Z>7183>4<62:np_>j521:97?74:1im;7<:a9a8 41f288<7[?7e;0xq44?281~=?750:'53g=m2h98;36>40=u-;<97=750;9j645=83.::n4=159m53d=821b><850;&22f<59>1e=;l50:9j5<5=83.::n4>9e9m53d=821b=4l50;&22f<61m1e=;l51:9j59e9m53d=:21b=4750;&22f<61m1e=;l53:9j5<>=83.::n4>9e9m53d=<21b=4950;&22f<61m1e=;l55:9j5<0=83.::n4>9e9m53d=>21b=4;50;&22f<61m1e=;l57:9j5<2=83.::n4>9e9m53d=021b=4<50;&22f<61m1e=;l59:9j5<7=83.::n4>9e9m53d=i21b=lo50;&22f<6j=1e=;l50:9j5g4=83.::n4>b59m53d=921b=o?50;&22f<6j=1e=;l52:9j5g6=83.::n4>b59m53d=;21b=lh50;&22f<6j=1e=;l54:9j5dc=83.::n4>b59m53d==21b=lj50;&22f<6j=1e=;l56:9j5de=83.::n4>b59m53d=?21b=ll50;&22f<6j=1e=;l58:9j5d?=83.::n4>b59m53d=121b=l650;&22f<6j=1e=;l5a:9l5`b=83.::n4>f49m53d=821d=hm50;&22f<6n<1e=;l51:9l5`d=83.::n4>f49m53d=:21d=ho50;&22f<6n<1e=;l53:9l5`?=83.::n4>f49m53d=<21d=h650;&22f<6n<1e=;l55:9l5`1=83.::n4>f49m53d=>21d=h850;&22f<6n<1e=;l57:9l5cc=83.::n4=079m53d=821d=kj50;&22f<58?1e=;l51:9l5ce=83.::n4=079m53d=:21d=kl50;&22f<58?1e=;l53:9l5cg=83.::n4=079m53d=<21d=k750;&22f<58?1e=;l55:9l5c>=83.::n4=079m53d=>21d=k950;&22f<58?1e=;l57:9j5f7=831b=n<50;9j5fd=83.::n4>d29m53d=821b=no50;&22f<6l:1e=;l51:9j5f?=83.::n4>d29m53d=:21b=n650;&22f<6l:1e=;l53:9j5f1=83.::n4>d29m53d=<21b=n850;&22f<6l:1e=;l55:9j5f3=83.::n4>d29m53d=>21b=n:50;&22f<6l:1e=;l57:9j5ae=83.::n4>e59m53d=821b=il50;&22f<6m=1e=;l51:9j5ag=83.::n4>e59m53d=:21b=i750;&22f<6m=1e=;l53:9j5a>=83.::n4>e59m53d=<21b=i950;&22f<6m=1e=;l55:9j5a0=83.::n4>e59m53d=>21b=i;50;&22f<6m=1e=;l57:9a52?=83;1<7>tH05;?!70=3;<56a>6883>>{elk0;6<4?:1yK52><,8=>6il4oec94?=zj:21<7:=:280`~N6?11Q?44>0z:9=?2==3;<6<6514822?70282147754;7950<6>3w/=:;521f8 4>e28hm7)?96;35<>o5800;66g=0`83>>o5980;66g=1383>>o58l0;66g=0g83>>o59:0;6)?9c;020>h6>k0;76g=1783>!71k38:;6`>6c83?>o61:0;6)?9c;3:`>h6>k0;76g>9c83>!71k3;2h6`>6c82?>o61h0;6)?9c;3:`>h6>k0976g>9883>!71k3;2h6`>6c80?>o6110;6)?9c;3:`>h6>k0?76g>9683>!71k3;2h6`>6c86?>o61?0;6)?9c;3:`>h6>k0=76g>9483>!71k3;2h6`>6c84?>o61=0;6)?9c;3:`>h6>k0376g>9383>!71k3;2h6`>6c8:?>o6180;6)?9c;3:`>h6>k0j76g>a`83>!71k3;i86`>6c83?>o6j;0;6)?9c;3a0>h6>k0:76g>b083>!71k3;i86`>6c81?>o6j90;6)?9c;3a0>h6>k0876g>ag83>!71k3;i86`>6c87?>o6il0;6)?9c;3a0>h6>k0>76g>ae83>!71k3;i86`>6c85?>o6ij0;6)?9c;3a0>h6>k0<76g>ac83>!71k3;i86`>6c8;?>o6i00;6)?9c;3a0>h6>k0276g>a983>!71k3;i86`>6c8b?>i5990;66a>ee83>!71k3;m96`>6c83?>i6mj0;6)?9c;3e1>h6>k0:76a>ec83>!71k3;m96`>6c81?>i6mh0;6)?9c;3e1>h6>k0876a>e883>!71k3;m96`>6c87?>i6m10;6)?9c;3e1>h6>k0>76a>e683>!71k3;m96`>6c85?>i6m?0;6)?9c;3e1>h6>k0<76a>fd83>!71k38;:6`>6c83?>i6nm0;6)?9c;032>h6>k0:76a>fb83>!71k38;:6`>6c81?>i6nk0;6)?9c;032>h6>k0876a>f`83>!71k38;:6`>6c87?>i6n00;6)?9c;032>h6>k0>76a>f983>!71k38;:6`>6c85?>i6n>0;6)?9c;032>h6>k0<76g>c083>>o6k;0;66g>cc83>!71k3;o?6`>6c83?>o6kh0;6)?9c;3g7>h6>k0:76g>c883>!71k3;o?6`>6c81?>o6k10;6)?9c;3g7>h6>k0876g>c683>!71k3;o?6`>6c87?>o6k?0;6)?9c;3g7>h6>k0>76g>c483>!71k3;o?6`>6c85?>o6k=0;6)?9c;3g7>h6>k0<76g>db83>!71k3;n86`>6c83?>o6lk0;6)?9c;3f0>h6>k0:76g>d`83>!71k3;n86`>6c81?>o6l00;6)?9c;3f0>h6>k0876g>d983>!71k3;n86`>6c87?>o6l>0;6)?9c;3f0>h6>k0>76g>d783>!71k3;n86`>6c85?>o6l<0;6)?9c;3f0>h6>k0<76a>c283>>d6000;6<4?:1y'523=lk1C=564H05;?jbf2900qo?7a;295?6=8r.:;84>789K5=><@8=37b?99;29?xd6??0;6oo50;2x 41228c<683;:6<<5c;f956<6<3we?h46;o1e><=#91<1=;k4$052>4=#m=097)k::39'a3<53-o<6?5+e981?!c>2;1/il4=;%ga>7=#mj097)kk:39'a`<53-om6?5+f181?!`62;1/j?4=;%d0>7=#n=097)h::39'b3<53-l<6?5+f981?!`>2;1/jl4=;%da>7=#nj097)hk:39'b`<53-lm6?5+11296>"688097)??2;08 4642;1/==:52:&240<53-;;:7<4$024>7=#9921>6*>0881?!77i380(<>m:39'55e=:2.:"698097)?>2;08 4742;1/=<:52:&250<53-;::7<4$034>7=#9821>6*>1881?!76i380("6:8097)?=2;08 4442;1/=?:51:&260<63-nh6?5+de81?!bb2;1/hk4=;%g3>7=#m8097)?9f;14?!c52;1/i>4=;%3;1?71m2.:;?4>;h1b>5<>oc13:17d?83;29?l70<3:17d?j:18'53e=9m1e=;l50:9j5f<72-;=o7?k;o35f?7<3`;i6=4+17a95a=i9?h1>65f1`83>!71k3;o7c?9b;18?l40290/=;m51e9m53d=<21b>;4?:%35g?7c3g;=n7;4;h06>5<#9?i1=i5a17`92>=n:=0;6)?9c;3g?k71j3=07d<<:18'53e=9m1e=;l58:9j67<72-;=o7?k;o35f??<3`8:6=4+17a95a=i9?h1m65f2183>!71k3;o7c?9b;`8?l7a290/=;m51e9m53d=k21b=44?:%35g?7c3g;=n7j4;h0g>5<#9?i1>n5a17`94>=n:k0;6)?9c;0`?k71j3;07d!71k38h7c?9b;78?l53290/=;m52b9m53d=>21b?>4?:%35g?4d3g;=n794;h11>5<#9?i1>n5a17`9<>=n;80;6)?9c;0`?k71j3307d=?:18'53e=:j1e=;l5a:9j6c<72-;=o7!71k38h7c?9b;f8?l3>290/=;m5599m53d=821b9:4?:%35g?3?3g;=n7?4;h76>5<#9?i1955a17`96>=n==0;6)?9c;7;?k71j3907d;<:18'53e==11e=;l54:9j17<72-;=o7;7;o35f?3<3`?:6=4+17a91==i9?h1:65f5183>!71k3?37c?9b;58?l2a290/=;m5599m53d=021b8h4?:%35g?3?3g;=n774;h6g>5<#9?i1955a17`9e>=n36=4+17a91==i9?h1i65f4683>!71k3?37c?9b;d8?l21290/=;m5599m53d=9910e9;50;&22f<202d::o4>1:9j01<72-;=o7;7;o35f?7532c??7>5$04`>0>5<#9?i1955a17`951=6b86<>h6>k0:965f6183>!71k3?37c?9b;35?>o2n3:1(<8l:4:8j40e28=07d;j:18'53e==11e=;l51998m0b=83.::n4:8:l22g<6121b9n4?:%35g?3?3g;=n7?n;:k6f?6=,84d<3`?j6=4+17a91==i9?h1=n54i4494?"6>j0>46`>6c82`>=n3g;=n7?4;h55>5<#9?i1;45a17`96>=n?<0;6)?9c;5:?k71j3907d9;:18'53e=?01e=;l54:9j36<72-;=o796;o35f?3<3`=96=4+17a93<=i9?h1:65f7083>!71k3=27c?9b;58?l17290/=;m5789m53d=021b:k4?:%35g?1>3g;=n774;h4f>5<#9?i1;45a17`9e>=n>m0;6)?9c;5:?k71j3h07d8m:18'53e=?01e=;l5c:9j2d<72-;=o796;o35f?b<3`<26=4+17a93<=i9?h1i65f6983>!71k3=27c?9b;d8?l00290/=;m5789m53d=9910e;850;&22f<012d::o4>1:9j20<72-;=o796;o35f?7532c=87>5$04`>2?5<#9?i1;45a17`951=6b84=>h6>k0:965f8083>!71k3=27c?9b;35?>o?83:1(<8l:6;8j40e28=07d9i:18'53e=?01e=;l51998m2c=83.::n489:l22g<6121b;i4?:%35g?1>3g;=n7?n;:k4g?6=,84d<3`=i6=4+17a93<=i9?h1=n54i6594?"6>j0<56`>6c82`>=n>j0;6)?9c;5:?k71j3;n76g91;29 40d2>30b<8m:0d8?l>1290/=;m5849m53d=821b494?:%35g?>23g;=n7?4;h:0>5<#9?i1485a17`96>=n0;0;6)?9c;:6?k71j3907d6m:18'53e=0h1e=;l50:9j<<<72-;=o76n;o35f?7<3`236=4+17a965f8683>!71k32j7c?9b;18?l70n3:1(<8l:05f?k71j3:07d?8d;29 40d28=n7c?9b;38?l70k3:1(<8l:05f?k71j3807d?8b;29 40d28=n7c?9b;18?l7?<3:1(<8l:0:0?k71j3:07d?72;29 40d28287c?9b;38?l7?93:1(<8l:0:0?k71j3807d?70;29 40d28287c?9b;18?jg2290/=;m5a59m53d=821dm>4?:%35g?g33g;=n7?4;nc2>5<#9?i1m95a17`96>=hi90;6)?9c;c7?k71j3907b7i:18'53e=i=1e=;l54:9l=`<72-;=o7o;;o35f?3<3f3o6=4+17a9e1=i9?h1:65`9b83>!71k3k?7c?9b;58?j?e290/=;m5a59m53d=021d5l4?:%35g?g33g;=n774;n;:>5<#9?i1m95a17`9e>=h110;6)?9c;c7?k71j3h07b79:18'53e=i=1e=;l5c:9l=0<72-;=o7o;;o35f?b<3f3?6=4+17a9e1=i9?h1i65`9283>!71k3k?7c?9b;d8?j?5290/=;m5a59m53d=9910c4?50;&22f1:9l=5<72-;=o7o;;o35f?7532e3j7>5$04`>d25<#9?i1m95a17`951=6b8b0>h6>k0:965`ab83>!71k3k?7c?9b;35?>ifj3:1(<8l:`68j40e28=07bon:18'53e=i=1e=;l51998kd?=83.::n4n4:l22g<6121dm54?:%35g?g33g;=n7?n;:mb3?6=,84d<3fk=6=4+17a9e1=i9?h1=n54o`094?"6>j0j86`>6c82`>=h1>0;6)?9c;c7?k71j3;n76a7c;29 40d2h>0b<8m:0d8?je1290/=;m5c49m53d=821do94?:%35g?e23g;=n7?4;na1>5<#9?i1o85a17`96>=hk80;6)?9c;a6?k71j3907bm?:18'53e=k<1e=;l54:9lfc<72-;=o7m:;o35f?3<3fhn6=4+17a9g0=i9?h1:65`be83>!71k3i>7c?9b;58?jdd290/=;m5c49m53d=021dno4?:%35g?e23g;=n774;n`b>5<#9?i1o85a17`9e>=hj00;6)?9c;a6?k71j3h07bl8:18'53e=k<1e=;l5c:9lf3<72-;=o7m:;o35f?b<3fh>6=4+17a9g0=i9?h1i65`b583>!71k3i>7c?9b;d8?jd4290/=;m5c49m53d=9910co<50;&22f1:9lf4<72-;=o7m:;o35f?7532ei<7>5$04`>f35<#9?i1o85a17`951=6b8`1>h6>k0:965`ce83>!71k3i>7c?9b;35?>idk3:1(<8l:b78j40e28=07bmm:18'53e=k<1e=;l51998kfg=83.::n4l5:l22g<6121do44?:%35g?e23g;=n7?n;:m`4d<3fi<6=4+17a9g0=i9?h1=n54ob194?"6>j0h96`>6c82`>=hj10;6)?9c;a6?k71j3;n76and;29 40d2j?0b<8m:0d8?jb5290/=;m5d09m53d=821dh=4?:%35g?b63g;=n7?4;nae>5<#9?i1h<5a17`96>=hkl0;6)?9c;f2?k71j3907bj8:18'53e=l?1e=;l50:9l`0<72-;=o7j9;o35f?7<3fn?6=4+17a9`3=i9?h1>65`d283>!71k3n=7c?9b;18?xu5990;69=t^0a0?[46827:444ka:?233<6?:16=:85166894112;:01<99:0d8941128301<99:3d894112;o01<99:3:894112<301<99:4589411201<99:51894112=801<99:53894112?:01<99:4d894112>k01<99:6:894112><01<99:67894112>>01<99:61894112>801<99:63894112>:01<99:7d894112?o01<99:7f894112?h01<99:7c894112?301<99:7:894112?=01<99:74894112??01<99:76894112?901<99:708941121;01<99:928941121<01<99:968941121901<99:908941121h01<99:9;8941121201<99:958yv4713:1>vP=089>520=l11v:181[7d927:;;4;6??0j46s|1g094?4|V8oj70?86;c4?xu6n80;6?uQ1d;894112h<0q~?i0;296~X6m116=:85a39~w4ca2909wS?j7:?233<>?2wx=hk50;0xZ4c134;<:76l;|q142<72;qU=kk4=055>fd52z\2ba=:9><1ol5rs327>5<5sW;mo63>778`=>{t:991<73i37p}=0383>7}Y9ok01<99:b58yv4793:1>vP>f89>520=k:1v?>?:181[7a027:;;4m8:p5c`=838pR7b9>520=9>h0q~<>8;291~X59?16=:8519689411282970?86;3;5>;6??0:4=5rs0;f>5<5sW;2?63>7782a>{t9h=1<73;h7p}>a783>7}Y90k01<99:0`8yv7f=3:1>vP>989>520=9h1v027:;;4=7:p5d5=838pR<78;<342?413ty:m?4?:3y]5<0<58==6?;4}r3b5?6=:rT:5852164961=z{8k;6=4={_3:0>;6??09?6s|18d94?4|V83970?86;01?xu61j0;6?uQ183894112;;0q~?m5;296~X6ih16=:852e9~w4db2909wS?m2:?233<5j2wx=oj50;0xZ4d634;<:74=055>7?52z\2ec=:9><1?;5rs0`b>5<5sW;ji63>77801>{t9k31<739?7p}>b983>7}Y9hi01<99:218yv7e?3:1>vP>ac9>520=;;1v;6??0>o6s|1e294?4|V8i370?86;7a?xu6ko0;6?uQ1b58941122`52z\2`g=:9><1;h5rs0g1>5<5sW;om63>7784`>{t9l;1<73=h7p}>e183>7}Y9m201<99:6`8yv7cn3:1>vP>d69>520=?>1v27:;;49c:p5ab=838pRl4?:3y>5=g=9?301<99:e;8yxu6k80;6?uQ1b3896>=9j;0(<98:016?xu6l=0;6?uQ1b`896>=9jh0(<98:01f?xu6l;0;6?uQ1bc896>=9jk0(<98:06:?xu6l80;6?uQ1b;896>=9j30(<98:077?xu6l90;6?uQ1b:896>=9j20(<98:07`?xu6ko0;6?uQ1b5896>=9j=0(<98:040?xu6kl0;6?uQ1b4896>=9j<0(<98:047?xu6km0;6?uQ1b7896>=9j?0(<98:046?xu6kj0;6?uQ1b6896>=9j>0(<98:00a?xu61l0;6?uQ181896>=9090(<98:00`?xu6i>0;6?uQ18`896>=90h0(<98:00g?xu6i?0;6?uQ18c896>=90k0(<98:00f?xu6i<0;6?uQ18;896>=9030(<98:00e?xu6i=0;6?uQ18:896>=9020(<98:013?xu6i:0;6?uQ185896>=90=0(<98:012?xu6i;0;6?uQ184896>=90<0(<98:011?xu6i80;6?uQ187896>=90?0(<98:010?xu6i90;6?uQ186896>=90>0(<98:017?xu61o0;6?uQ180896>=9080(<98:015?xu61j0;6?uQ183896>=90;0(<98:014?xu5800;6?uQ21;896>=:930(<98:01;?xu59<0;6?uQ201896>=:890(<98:01:?xu6n?0;6?uQ1df896>=9ln0(<98:01b?xu6n=0;6?uQ1da896>=9li0(<98:01a?xu6n:0;6?uQ1d`896>=9lh0(<98:01`?xu6n;0;6?uQ1dc896>=9lk0(<98:01g?xu6n80;6?uQ1d;896>=9l30(<98:01e?xu6n90;6?uQ1d:896>=9l20(<98:063?xu6mo0;6?uQ1d5896>=9l=0(<98:062?xu6ml0;6?uQ1d4896>=9l<0(<98:061?xu6k;0;6?uQ1b0896>=9j80(<98:060?xu6m<0;6?uQ1ea896>=9mi0(<98:067?xu6m:0;6?uQ1e`896>=9mh0(<98:066?xu6m;0;6?uQ1ec896>=9mk0(<98:065?xu6m80;6?uQ1e;896>=9m30(<98:064?xu6m90;6?uQ1e:896>=9m20(<98:06;?xu6lo0;6?uQ1e5896>=9m=0(<98:06b?xu6ll0;6?uQ1e4896>=9m<0(<98:06a?xu6lm0;6?uQ1e7896>=9m?0(<98:06`?xu6j<0;6?uQ1`c896>=9hk0(<98:06g?xu6jl0;6?uQ1c0896>=9k80(<98:06f?xu6jm0;6?uQ1c3896>=9k;0(<98:06e?xu6jj0;6?uQ1c2896>=9k:0(<98:073?xu6jk0;6?uQ1`d896>=9hl0(<98:072?xu6jh0;6?uQ1`g896>=9ho0(<98:071?xu6j00;6?uQ1`f896>=9hn0(<98:070?xu6j10;6?uQ1`a896>=9hi0(<98:076?xu6j>0;6?uQ1``896>=9hh0(<98:075?xu6j?0;6?uQ1`;896>=9h30(<98:074?xu6j:0;6?uQ1`:896>=9h20(<98:07;?xu5910;6?uQ204896>=:8<0(<98:07:?xu58>0;6?uQ1gg896>=9oo0(<98:07b?xu58<0;6?uQ1gf896>=9on0(<98:07a?xu58=0;6?uQ1ga896>=9oi0(<98:07g?xu58:0;6?uQ1g`896>=9oh0(<98:07f?xu58;0;6?uQ1gc896>=9ok0(<98:07e?xu5880;6?uQ1g;896>=9o30(<98:043?xu5890;6?uQ1g:896>=9o20(<98:042?xu6no0;6?uQ1g5896>=9o=0(<98:041?x{i9131<7vF>799~j4>d2909wE?88:m5=b=838pD<97;|l2<`<72;qC=:64}o3;b?6=:rB:;55rn0;3>5<5sA;<46sa18394?4|@8=37p`>9383>7}O9>20qc?63;296~N6?11vb<7;:181M7002we=4;50;0xL41?3td:5;4?:3yK52>52zJ23==zf8336=4={I34<>{i9031<7j3:1>vF>799~j4?d2909wE?88:m55<5sA;<46sa1`394?4|@8=37p`>a383>7}O9>20qc?n3;296~N6?11vb52zJ23==zf8k36=4={I34<>{i9h31<7vF>799~j4gd2909wE?88:m5db=838pD<97;|l2e`<72;qC=:64}o3bb?6=:rB:;55rn0`3>5<5sA;<46sa1c394?4|@8=37p`>b383>7}O9>20qc?m3;296~N6?11vb52zJ23==zf8h36=4={I34<>{i9k31<7vF>799~j4dd2909wE?88:m5gb=838pD<97;|l2f`<72;qC=:64}o3ab?6=:rB:;55rn0a3>5<5sA;<46sa1b394?4|@8=37p`>c383>7}O9>20qc?l3;296~N6?11vb<:l:182M7002we=5650;3xL41?3twvqMNL{322>`cdk02ojpNOBz2~DEV|uIJ \ No newline at end of file diff --git a/coregen/ram2kx8.vhd b/coregen/ram2kx8.vhd new file mode 100755 index 0000000..6755751 --- /dev/null +++ b/coregen/ram2kx8.vhd @@ -0,0 +1,142 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- You must compile the wrapper file ram2kx8.vhd when simulating +-- the core, ram2kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + +-- The synthesis directives "translate_off/translate_on" specified +-- below are supported by Xilinx, Mentor Graphics and Synplicity +-- synthesis tools. Ensure they are correct for your synthesis tool(s). + +LIBRARY ieee; +USE ieee.std_logic_1164.ALL; +-- synthesis translate_off +Library XilinxCoreLib; +-- synthesis translate_on +ENTITY ram2kx8 IS + port ( + clka: IN std_logic; + dina: IN std_logic_VECTOR(7 downto 0); + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + dinb: IN std_logic_VECTOR(7 downto 0); + addrb: IN std_logic_VECTOR(10 downto 0); + web: IN std_logic_VECTOR(0 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +END ram2kx8; + +ARCHITECTURE ram2kx8_a OF ram2kx8 IS +-- synthesis translate_off +component wrapped_ram2kx8 + port ( + clka: IN std_logic; + dina: IN std_logic_VECTOR(7 downto 0); + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + dinb: IN std_logic_VECTOR(7 downto 0); + addrb: IN std_logic_VECTOR(10 downto 0); + web: IN std_logic_VECTOR(0 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- Configuration specification + for all : wrapped_ram2kx8 use entity XilinxCoreLib.blk_mem_gen_v2_6(behavioral) + generic map( + c_has_regceb => 0, + c_has_regcea => 0, + c_mem_type => 2, + c_prim_type => 1, + c_sinita_val => "0", + c_read_width_b => 8, + c_family => "spartan3", + c_read_width_a => 8, + c_disable_warn_bhv_coll => 0, + c_write_mode_b => "READ_FIRST", + c_init_file_name => "no_coe_file_loaded", + c_write_mode_a => "READ_FIRST", + c_mux_pipeline_stages => 0, + c_has_mem_output_regs_b => 0, + c_load_init_file => 0, + c_xdevicefamily => "spartan3a", + c_has_mem_output_regs_a => 0, + c_write_depth_b => 2048, + c_write_depth_a => 2048, + c_has_ssrb => 0, + c_has_mux_output_regs_b => 0, + c_has_ssra => 0, + c_has_mux_output_regs_a => 0, + c_addra_width => 11, + c_addrb_width => 11, + c_default_data => "0", + c_use_ecc => 0, + c_algorithm => 1, + c_disable_warn_bhv_range => 0, + c_write_width_b => 8, + c_write_width_a => 8, + c_read_depth_b => 2048, + c_read_depth_a => 2048, + c_byte_size => 9, + c_sim_collision_check => "ALL", + c_use_ramb16bwer_rst_bhv => 0, + c_common_clk => 0, + c_wea_width => 1, + c_has_enb => 0, + c_web_width => 1, + c_has_ena => 1, + c_sinitb_val => "0", + c_use_byte_web => 0, + c_use_byte_wea => 0, + c_use_default_data => 1); +-- synthesis translate_on +BEGIN +-- synthesis translate_off +U0 : wrapped_ram2kx8 + port map ( + clka => clka, + dina => dina, + addra => addra, + ena => ena, + wea => wea, + douta => douta, + clkb => clkb, + dinb => dinb, + addrb => addrb, + web => web, + doutb => doutb); +-- synthesis translate_on + +END ram2kx8_a; + diff --git a/coregen/ram2kx8.vho b/coregen/ram2kx8.vho new file mode 100755 index 0000000..53f3039 --- /dev/null +++ b/coregen/ram2kx8.vho @@ -0,0 +1,72 @@ +-------------------------------------------------------------------------------- +-- This file is owned and controlled by Xilinx and must be used -- +-- solely for design, simulation, implementation and creation of -- +-- design files limited to Xilinx devices or technologies. Use -- +-- with non-Xilinx devices or technologies is expressly prohibited -- +-- and immediately terminates your license. -- +-- -- +-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" -- +-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR -- +-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION -- +-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION -- +-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS -- +-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, -- +-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE -- +-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY -- +-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- +-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- +-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- +-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS -- +-- FOR A PARTICULAR PURPOSE. -- +-- -- +-- Xilinx products are not intended for use in life support -- +-- appliances, devices, or systems. Use in such applications are -- +-- expressly prohibited. -- +-- -- +-- (c) Copyright 1995-2007 Xilinx, Inc. -- +-- All rights reserved. -- +-------------------------------------------------------------------------------- +-- The following code must appear in the VHDL architecture header: + +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component ram2kx8 + port ( + clka: IN std_logic; + dina: IN std_logic_VECTOR(7 downto 0); + addra: IN std_logic_VECTOR(10 downto 0); + ena: IN std_logic; + wea: IN std_logic_VECTOR(0 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0); + clkb: IN std_logic; + dinb: IN std_logic_VECTOR(7 downto 0); + addrb: IN std_logic_VECTOR(10 downto 0); + web: IN std_logic_VECTOR(0 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ + +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. + +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : ram2kx8 + port map ( + clka => clka, + dina => dina, + addra => addra, + ena => ena, + wea => wea, + douta => douta, + clkb => clkb, + dinb => dinb, + addrb => addrb, + web => web, + doutb => doutb); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ + +-- You must compile the wrapper file ram2kx8.vhd when simulating +-- the core, ram2kx8. When compiling the wrapper file, be sure to +-- reference the XilinxCoreLib VHDL simulation library. For detailed +-- instructions, please refer to the "CORE Generator Help". + diff --git a/coregen/ram2kx8.xco b/coregen/ram2kx8.xco new file mode 100755 index 0000000..50bd751 --- /dev/null +++ b/coregen/ram2kx8.xco @@ -0,0 +1,78 @@ +############################################################## +# +# Xilinx Core Generator version J.40 +# Date: Sat Jan 03 15:42:53 2009 +# +############################################################## +# +# This file contains the customisation parameters for a +# Xilinx CORE Generator IP GUI. It is strongly recommended +# that you do not manually alter this file as it may cause +# unexpected and unsupported behavior. +# +############################################################## +# +# BEGIN Project Options +SET addpads = False +SET asysymbol = False +SET busformat = BusFormatAngleBracketNotRipped +SET createndf = False +SET designentry = VHDL +SET device = xc3s700an +SET devicefamily = spartan3a +SET flowvendor = Other +SET formalverification = False +SET foundationsym = False +SET implementationfiletype = Ngc +SET package = fgg484 +SET removerpms = False +SET simulationfiles = Behavioral +SET speedgrade = -4 +SET verilogsim = False +SET vhdlsim = True +# END Project Options +# BEGIN Select +SELECT Block_Memory_Generator family Xilinx,_Inc. 2.6 +# END Select +# BEGIN Parameters +CSET algorithm=Minimum_Area +CSET assume_synchronous_clk=false +CSET byte_size=9 +CSET coe_file=no_coe_file_loaded +CSET collision_warnings=ALL +CSET component_name=ram2kx8 +CSET disable_collision_warnings=false +CSET disable_out_of_range_warnings=false +CSET ecc=false +CSET enable_a=Use_ENA_Pin +CSET enable_b=Always_Enabled +CSET fill_remaining_memory_locations=true +CSET load_init_file=false +CSET memory_type=True_Dual_Port_RAM +CSET operating_mode_a=READ_FIRST +CSET operating_mode_b=READ_FIRST +CSET output_reset_value_a=0 +CSET output_reset_value_b=0 +CSET pipeline_stages=0 +CSET primitive=8kx2 +CSET read_width_a=8 +CSET read_width_b=8 +CSET register_porta_output_of_memory_core=false +CSET register_porta_output_of_memory_primitives=false +CSET register_portb_output_of_memory_core=false +CSET register_portb_output_of_memory_primitives=false +CSET remaining_memory_locations=0 +CSET single_bit_ecc=false +CSET use_byte_write_enable=false +CSET use_ramb16bwer_reset_behavior=false +CSET use_regcea_pin=false +CSET use_regceb_pin=false +CSET use_ssra_pin=false +CSET use_ssrb_pin=false +CSET write_depth_a=2048 +CSET write_width_a=8 +CSET write_width_b=8 +# END Parameters +GENERATE +# CRC: bde5567c + diff --git a/coregen/ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj b/coregen/ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj new file mode 100755 index 0000000..6660009 --- /dev/null +++ b/coregen/ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj @@ -0,0 +1,24 @@ +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst_comp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_defaults.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_getinit_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_min_area_pkg.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_bindec.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_mux.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3adsp_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_s3a_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v5_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v4_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_wrapper_v2_init.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_prim_width.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_generic_cstr.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_input_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_output_block.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_top.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\blk_mem_gen_v2_6\blk_mem_gen_v2_6_xst.vhd" +vhdl blk_mem_gen_v2_6 "c:\vhdl\nascom2\coregen\tmp\_cg\_bbx\ram2kx8_blk_mem_gen_v2_6_xst_1.vhd" diff --git a/coregen/ram2kx8_flist.txt b/coregen/ram2kx8_flist.txt new file mode 100755 index 0000000..617b5d9 --- /dev/null +++ b/coregen/ram2kx8_flist.txt @@ -0,0 +1,8 @@ +# Output products list for +ram2kx8.ngc +ram2kx8.vhd +ram2kx8.vho +ram2kx8.xco +ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj +ram2kx8_flist.txt +ram2kx8_xmdf.tcl diff --git a/coregen/ram2kx8_readme.txt b/coregen/ram2kx8_readme.txt new file mode 100755 index 0000000..ea45a08 --- /dev/null +++ b/coregen/ram2kx8_readme.txt @@ -0,0 +1,39 @@ +The following files were generated for 'ram2kx8' in directory +C:\vhdl\nascom2_t80\coregen\: + +ram2kx8.ngc: + Binary Xilinx implementation netlist file containing the information + required to implement the module in a Xilinx (R) FPGA. + +ram2kx8.vhd: + VHDL wrapper file provided to support functional simulation. This + file contains simulation model customization data that is passed to + a parameterized simulation model for the core. + +ram2kx8.vho: + VHO template file containing code that can be used as a model for + instantiating a CORE Generator module in a VHDL design. + +ram2kx8.xco: + CORE Generator input file containing the parameters used to + regenerate a core. + +ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj: + Please see the core data sheet. + +ram2kx8_flist.txt: + Text file listing all of the output files produced when a customized + core was generated in the CORE Generator. + +ram2kx8_readme.txt: + Text file indicating the files generated and how they are used. + +ram2kx8_xmdf.tcl: + ISE Project Navigator interface file. ISE uses this file to determine + how the files output by CORE Generator for the core can be integrated + into your ISE project. + + +Please see the Xilinx CORE Generator online help for further details on +generated files and how to use them. + diff --git a/coregen/ram2kx8_xmdf.tcl b/coregen/ram2kx8_xmdf.tcl new file mode 100755 index 0000000..459b5e0 --- /dev/null +++ b/coregen/ram2kx8_xmdf.tcl @@ -0,0 +1,68 @@ +# The package naming convention is _xmdf +package provide ram2kx8_xmdf 1.0 + +# This includes some utilities that support common XMDF operations +package require utilities_xmdf + +# Define a namespace for this package. The name of the name space +# is _xmdf +namespace eval ::ram2kx8_xmdf { +# Use this to define any statics +} + +# Function called by client to rebuild the params and port arrays +# Optional when the use context does not require the param or ports +# arrays to be available. +proc ::ram2kx8_xmdf::xmdfInit { instance } { +# Variable containg name of library into which module is compiled +# Recommendation: +# Required +utilities_xmdf::xmdfSetData $instance Module Attributes Name ram2kx8 +} +# ::ram2kx8_xmdf::xmdfInit + +# Function called by client to fill in all the xmdf* data variables +# based on the current settings of the parameters +proc ::ram2kx8_xmdf::xmdfApplyParams { instance } { + +set fcount 0 +# Array containing libraries that are assumed to exist +# Examples include unisim and xilinxcorelib +# Optional +# In this example, we assume that the unisim library will +# be magically +# available to the simulation and synthesis tool +utilities_xmdf::xmdfSetData $instance FileSet $fcount type logical_library +utilities_xmdf::xmdfSetData $instance FileSet $fcount logical_library unisim +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8.ngc +utilities_xmdf::xmdfSetData $instance FileSet $fcount type ngc +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8.vhd +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8.vho +utilities_xmdf::xmdfSetData $instance FileSet $fcount type vhdl_template +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8.xco +utilities_xmdf::xmdfSetData $instance FileSet $fcount type coregen_ip +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8_blk_mem_gen_v2_6_xst_1_vhdl.prj +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount relative_path ram2kx8_xmdf.tcl +utilities_xmdf::xmdfSetData $instance FileSet $fcount type AnyView +incr fcount + +utilities_xmdf::xmdfSetData $instance FileSet $fcount associated_module ram2kx8 +incr fcount + +} + +# ::gen_comp_name_xmdf::xmdfApplyParams diff --git a/dcm_in50_sim.vhd b/dcm_in50_sim.vhd new file mode 100755 index 0000000..5a375ea --- /dev/null +++ b/dcm_in50_sim.vhd @@ -0,0 +1,49 @@ + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + + +entity dcm_in50 is + + port ( + CLKIN_IN : in std_logic; + RST_IN : in std_logic; + CLKFX_OUT : out std_logic; + CLKIN_IBUFG_OUT : out std_logic; + CLK0_OUT : out std_logic; + LOCKED_OUT : out std_logic); + +end dcm_in50; + +architecture Behavioral of dcm_in50 is + +begin -- Behavioral + + LOCKED_OUT <= '1'; + CLKIN_IBUFG_OUT <= CLKIN_IN; + + process + begin + wait until CLKIN_IN = '1'; + while true loop + CLK0_OUT <= '1'; + wait for 20 ns; + CLK0_OUT <= '0'; + wait for 20 ns; + end loop; + end process; + + process + begin + wait until CLKIN_IN = '1'; + while true loop + CLKFX_OUT <= '1'; + wait for 31.25 ns; + CLKFX_OUT <= '0'; + wait for 31.25 ns; + end loop; + end process; + +end Behavioral; diff --git a/fifo16x8.vhd b/fifo16x8.vhd new file mode 100755 index 0000000..a76dfc7 --- /dev/null +++ b/fifo16x8.vhd @@ -0,0 +1,72 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 14:10:50 12/28/2008 +-- Design Name: +-- Module Name: fifo16x8 - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity fifo16x8 is + Port ( DATAIN : in STD_LOGIC_VECTOR (7 downto 0); + WRITESTB : in STD_LOGIC; + DATAOUT : out STD_LOGIC_VECTOR (7 downto 0); + READSTB : in STD_LOGIC; + CLK : in STD_LOGIC; + FULL : out STD_LOGIC; + EMPTY : out STD_LOGIC); +end fifo16x8; + +architecture Behavioral of fifo16x8 is +signal counter: std_logic_vector(3 downto 0) := "1111"; +begin + +shift: for i in 0 to 7 generate + srl16e_inst: SRL16E port map( + Q => DATAOUT(i), + A0 => counter(0), + A1 => counter(1), + A2 => counter(2), + A3 => counter(3), + CE => WRITESTB, + CLK => CLK, + D => DATAIN(i)); +end generate; + +fifo: process(CLK) + begin + if rising_edge(CLK) then + if (WRITESTB = '0') and (READSTB = '1') then + counter <= counter - 1; + elsif (WRITESTB = '1') and (READSTB = '0') then + counter <= counter + 1; + else + counter <= counter; + end if; + end if; + end process; + +FULL <= '1' when counter = "1110" else '0'; +EMPTY <= '1' when counter = "1111" else '0'; +end Behavioral; + diff --git a/kcpsm3.vhd b/kcpsm3.vhd new file mode 100755 index 0000000..e1e5804 --- /dev/null +++ b/kcpsm3.vhd @@ -0,0 +1,1901 @@ +-- PicoBlaze +-- +-- Constant (K) Coded Programmable State Machine for Spartan-3 Devices. +-- Also suitable for use with Virtex-II(PRO) and Virtex-4 devices. +-- +-- Includes additional code for enhanced VHDL simulation. +-- +-- Version : 1.30 +-- Version Date : 14th June 2004 +-- Reasons : Avoid issue caused when ENABLE INTERRUPT is used when interrupts are +-- already enabled when an an interrupt input is applied. +-- Improved design for faster ZERO and CARRY flag logic +-- +-- +-- Previous Version : 1.20 +-- Version Date : 9th July 2003 +-- +-- Start of design entry : 19th May 2003 +-- +-- Ken Chapman +-- Xilinx Ltd +-- Benchmark House +-- 203 Brooklands Road +-- Weybridge +-- Surrey KT13 ORH +-- United Kingdom +-- +-- chapman@xilinx.com +-- +-- Instruction disassembly concept inspired by the work of Prof. Dr.-Ing. Bernhard Lang. +-- University of Applied Sciences, Osnabrueck, Germany. +-- +------------------------------------------------------------------------------------ +-- +-- NOTICE: +-- +-- Copyright Xilinx, Inc. 2003. This code may be contain portions patented by other +-- third parties. By providing this core as one possible implementation of a standard, +-- Xilinx is making no representation that the provided implementation of this standard +-- is free from any claims of infringement by any third party. Xilinx expressly +-- disclaims any warranty with respect to the adequacy of the implementation, including +-- but not limited to any warranty or representation that the implementation is free +-- from claims of any third party. Furthermore, Xilinx is providing this core as a +-- courtesy to you and suggests that you contact all third parties to obtain the +-- necessary rights to use this implementation. +-- +------------------------------------------------------------------------------------ +-- +-- Format of this file. +-- +-- This file contains the definition of KCPSM3 as one complete module with sections +-- created using generate loops. This 'flat' approach has been adopted to decrease +-- the time taken to load the module into simulators and the synthesis process. +-- +-- The module defines the implementation of the logic using Xilinx primitives. +-- These ensure predictable synthesis results and maximise the density of the implementation. +-- The Unisim Library is used to define Xilinx primitives. It is also used during +-- simulation. The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd +-- +------------------------------------------------------------------------------------ +-- +-- Library declarations +-- +-- Standard IEEE libraries +-- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +library unisim; +use unisim.vcomponents.all; +-- +------------------------------------------------------------------------------------ +-- +-- Main Entity for KCPSM3 +-- +entity kcpsm3 is + Port ( address : out std_logic_vector(9 downto 0); + instruction : in std_logic_vector(17 downto 0); + port_id : out std_logic_vector(7 downto 0); + write_strobe : out std_logic; + out_port : out std_logic_vector(7 downto 0); + read_strobe : out std_logic; + in_port : in std_logic_vector(7 downto 0); + interrupt : in std_logic; + interrupt_ack : out std_logic; + reset : in std_logic; + clk : in std_logic); + end kcpsm3; +-- +------------------------------------------------------------------------------------ +-- +-- Start of Main Architecture for KCPSM3 +-- +architecture low_level_definition of kcpsm3 is +-- +------------------------------------------------------------------------------------ +-- +-- Signals used in KCPSM3 +-- +------------------------------------------------------------------------------------ +-- +-- Fundamental control and decode signals +-- +signal t_state : std_logic; +signal not_t_state : std_logic; +signal internal_reset : std_logic; +signal reset_delay : std_logic; +signal move_group : std_logic; +signal condition_met : std_logic; +signal normal_count : std_logic; +signal call_type : std_logic; +signal push_or_pop_type : std_logic; +signal valid_to_move : std_logic; +-- +-- Flag signals +-- +signal flag_type : std_logic; +signal flag_write : std_logic; +signal flag_enable : std_logic; +signal zero_flag : std_logic; +signal sel_shadow_zero : std_logic; +signal low_zero : std_logic; +signal high_zero : std_logic; +signal low_zero_carry : std_logic; +signal high_zero_carry : std_logic; +signal zero_carry : std_logic; +signal zero_fast_route : std_logic; +signal low_parity : std_logic; +signal high_parity : std_logic; +signal parity_carry : std_logic; +signal parity : std_logic; +signal carry_flag : std_logic; +signal sel_parity : std_logic; +signal sel_arith_carry : std_logic; +signal sel_shift_carry : std_logic; +signal sel_shadow_carry : std_logic; +signal sel_carry : std_logic_vector(3 downto 0); +signal carry_fast_route : std_logic; +-- +-- Interrupt signals +-- +signal active_interrupt : std_logic; +signal int_pulse : std_logic; +signal clean_int : std_logic; +signal shadow_carry : std_logic; +signal shadow_zero : std_logic; +signal int_enable : std_logic; +signal int_update_enable : std_logic; +signal int_enable_value : std_logic; +signal interrupt_ack_internal : std_logic; +-- +-- Program Counter signals +-- +signal pc : std_logic_vector(9 downto 0); +signal pc_vector : std_logic_vector(9 downto 0); +signal pc_vector_carry : std_logic_vector(8 downto 0); +signal inc_pc_vector : std_logic_vector(9 downto 0); +signal pc_value : std_logic_vector(9 downto 0); +signal pc_value_carry : std_logic_vector(8 downto 0); +signal inc_pc_value : std_logic_vector(9 downto 0); +signal pc_enable : std_logic; +-- +-- Data Register signals +-- +signal sx : std_logic_vector(7 downto 0); +signal sy : std_logic_vector(7 downto 0); +signal register_type : std_logic; +signal register_write : std_logic; +signal register_enable : std_logic; +signal second_operand : std_logic_vector(7 downto 0); +-- +-- Scratch Pad Memory signals +-- +signal memory_data : std_logic_vector(7 downto 0); +signal store_data : std_logic_vector(7 downto 0); +signal memory_type : std_logic; +signal memory_write : std_logic; +signal memory_enable : std_logic; +-- +-- Stack signals +-- +signal stack_pop_data : std_logic_vector(9 downto 0); +signal stack_ram_data : std_logic_vector(9 downto 0); +signal stack_address : std_logic_vector(4 downto 0); +signal half_stack_address : std_logic_vector(4 downto 0); +signal stack_address_carry : std_logic_vector(3 downto 0); +signal next_stack_address : std_logic_vector(4 downto 0); +signal stack_write_enable : std_logic; +signal not_active_interrupt : std_logic; +-- +-- ALU signals +-- +signal logical_result : std_logic_vector(7 downto 0); +signal logical_value : std_logic_vector(7 downto 0); +signal sel_logical : std_logic; +signal shift_result : std_logic_vector(7 downto 0); +signal shift_value : std_logic_vector(7 downto 0); +signal sel_shift : std_logic; +signal high_shift_in : std_logic; +signal low_shift_in : std_logic; +signal shift_in : std_logic; +signal shift_carry : std_logic; +signal shift_carry_value : std_logic; +signal arith_result : std_logic_vector(7 downto 0); +signal arith_value : std_logic_vector(7 downto 0); +signal half_arith : std_logic_vector(7 downto 0); +signal arith_internal_carry : std_logic_vector(7 downto 0); +signal sel_arith_carry_in : std_logic; +signal arith_carry_in : std_logic; +signal invert_arith_carry : std_logic; +signal arith_carry_out : std_logic; +signal sel_arith : std_logic; +signal arith_carry : std_logic; +-- +-- ALU multiplexer signals +-- +signal input_fetch_type : std_logic; +signal sel_group : std_logic; +signal alu_group : std_logic_vector(7 downto 0); +signal input_group : std_logic_vector(7 downto 0); +signal alu_result : std_logic_vector(7 downto 0); +-- +-- read and write strobes +-- +signal io_initial_decode : std_logic; +signal write_active : std_logic; +signal read_active : std_logic; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Attributes to define LUT contents during implementation for primitives not +-- contained within generate loops. In each case the information is repeated +-- in the generic map for functional simulation +-- +attribute INIT : string; +attribute INIT of t_state_lut : label is "1"; +attribute INIT of int_pulse_lut : label is "0080"; +attribute INIT of int_update_lut : label is "EAAA"; +attribute INIT of int_value_lut : label is "04"; +attribute INIT of move_group_lut : label is "7400"; +attribute INIT of condition_met_lut : label is "5A3C"; +attribute INIT of normal_count_lut : label is "2F"; +attribute INIT of call_type_lut : label is "1000"; +attribute INIT of push_pop_lut : label is "5400"; +attribute INIT of valid_move_lut : label is "D"; +attribute INIT of flag_type_lut : label is "41FC"; +attribute INIT of flag_enable_lut : label is "8"; +attribute INIT of low_zero_lut : label is "0001"; +attribute INIT of high_zero_lut : label is "0001"; +attribute INIT of sel_shadow_zero_lut : label is "3F"; +attribute INIT of low_parity_lut : label is "6996"; +attribute INIT of high_parity_lut : label is "6996"; +attribute INIT of sel_parity_lut : label is "F3FF"; +attribute INIT of sel_arith_carry_lut : label is "F3"; +attribute INIT of sel_shift_carry_lut : label is "C"; +attribute INIT of sel_shadow_carry_lut : label is "3"; +attribute INIT of register_type_lut : label is "0145"; +attribute INIT of register_enable_lut : label is "8"; +attribute INIT of memory_type_lut : label is "0400"; +attribute INIT of memory_enable_lut : label is "8000"; +attribute INIT of sel_logical_lut : label is "FFE2"; +attribute INIT of low_shift_in_lut : label is "E4"; +attribute INIT of high_shift_in_lut : label is "E4"; +attribute INIT of shift_carry_lut : label is "E4"; +attribute INIT of sel_arith_lut : label is "1F"; +attribute INIT of input_fetch_type_lut : label is "0002"; +attribute INIT of io_decode_lut : label is "0010"; +attribute INIT of write_active_lut : label is "4000"; +attribute INIT of read_active_lut : label is "0100"; +-- +------------------------------------------------------------------------------------ +-- +-- Start of KCPSM3 circuit description +-- +------------------------------------------------------------------------------------ +-- +begin +-- +------------------------------------------------------------------------------------ +-- +-- Fundamental Control +-- +-- Definition of T-state and internal reset +-- +------------------------------------------------------------------------------------ +-- + t_state_lut: LUT1 + --synthesis translate_off + generic map (INIT => X"1") + --synthesis translate_on + port map( I0 => t_state, + O => not_t_state ); + + toggle_flop: FDR + port map ( D => not_t_state, + Q => t_state, + R => internal_reset, + C => clk); + + reset_flop1: FDS + port map ( D => '0', + Q => reset_delay, + S => reset, + C => clk); + + reset_flop2: FDS + port map ( D => reset_delay, + Q => internal_reset, + S => reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Interrupt input logic, Interrupt enable and shadow Flags. +-- +-- Captures interrupt input and enables the shadow flags. +-- Decodes instructions which set and reset the interrupt enable flip-flop. +-- +------------------------------------------------------------------------------------ +-- + + -- Interrupt capture + + int_capture_flop: FDR + port map ( D => interrupt, + Q => clean_int, + R => internal_reset, + C => clk); + + int_pulse_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0080") + --synthesis translate_on + port map( I0 => t_state, + I1 => clean_int, + I2 => int_enable, + I3 => active_interrupt, + O => int_pulse ); + + int_flop: FDR + port map ( D => int_pulse, + Q => active_interrupt, + R => internal_reset, + C => clk); + + ack_flop: FD + port map ( D => active_interrupt, + Q => interrupt_ack_internal, + C => clk); + + interrupt_ack <= interrupt_ack_internal; + + -- Shadow flags + + shadow_carry_flop: FDE + port map ( D => carry_flag, + Q => shadow_carry, + CE => active_interrupt, + C => clk); + + shadow_zero_flop: FDE + port map ( D => zero_flag, + Q => shadow_zero, + CE => active_interrupt, + C => clk); + + -- Decode instructions that set or reset interrupt enable + + int_update_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"EAAA") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => int_update_enable ); + + int_value_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"04") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(0), + I2 => interrupt_ack_internal, + O => int_enable_value ); + + int_enable_flop: FDRE + port map ( D => int_enable_value, + Q => int_enable, + CE => int_update_enable, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Decodes for the control of the program counter and CALL/RETURN stack +-- +------------------------------------------------------------------------------------ +-- + move_group_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"7400") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => move_group ); + + condition_met_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"5A3C") + --synthesis translate_on + port map( I0 => carry_flag, + I1 => zero_flag, + I2 => instruction(10), + I3 => instruction(11), + O => condition_met ); + + normal_count_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"2F") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => condition_met, + I2 => move_group, + O => normal_count ); + + call_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"1000") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => call_type ); + + push_pop_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"5400") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => push_or_pop_type ); + + valid_move_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"D") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => condition_met, + O => valid_to_move ); +-- +------------------------------------------------------------------------------------ +-- +-- The ZERO and CARRY Flags +-- +------------------------------------------------------------------------------------ +-- + -- Enable for flags + + flag_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"41FC") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => flag_type ); + + flag_write_flop: FD + port map ( D => flag_type, + Q => flag_write, + C => clk); + + flag_enable_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => t_state, + I1 => flag_write, + O => flag_enable ); + + -- Zero Flag + + low_zero_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0001") + --synthesis translate_on + port map( I0 => alu_result(0), + I1 => alu_result(1), + I2 => alu_result(2), + I3 => alu_result(3), + O => low_zero ); + + high_zero_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0001") + --synthesis translate_on + port map( I0 => alu_result(4), + I1 => alu_result(5), + I2 => alu_result(6), + I3 => alu_result(7), + O => high_zero ); + + low_zero_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => low_zero, + O => low_zero_carry ); + + high_zero_cymux: MUXCY + port map( DI => '0', + CI => low_zero_carry, + S => high_zero, + O => high_zero_carry ); + + sel_shadow_zero_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"3F") + --synthesis translate_on + port map( I0 => shadow_zero, + I1 => instruction(16), + I2 => instruction(17), + O => sel_shadow_zero ); + + zero_cymux: MUXCY + port map( DI => shadow_zero, + CI => high_zero_carry, + S => sel_shadow_zero, + O => zero_carry ); + + zero_xor: XORCY + port map( LI => '0', + CI => zero_carry, + O => zero_fast_route); + + zero_flag_flop: FDRE + port map ( D => zero_fast_route, + Q => zero_flag, + CE => flag_enable, + R => internal_reset, + C => clk); + + -- Parity detection + + low_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6996") + --synthesis translate_on + port map( I0 => logical_result(0), + I1 => logical_result(1), + I2 => logical_result(2), + I3 => logical_result(3), + O => low_parity ); + + high_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6996") + --synthesis translate_on + port map( I0 => logical_result(4), + I1 => logical_result(5), + I2 => logical_result(6), + I3 => logical_result(7), + O => high_parity ); + + parity_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => low_parity, + O => parity_carry ); + + parity_xor: XORCY + port map( LI => high_parity, + CI => parity_carry, + O => parity); + + -- CARRY flag selection + + sel_parity_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"F3FF") + --synthesis translate_on + port map( I0 => parity, + I1 => instruction(13), + I2 => instruction(15), + I3 => instruction(16), + O => sel_parity ); + + sel_arith_carry_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"F3") + --synthesis translate_on + port map( I0 => arith_carry, + I1 => instruction(16), + I2 => instruction(17), + O => sel_arith_carry ); + + sel_shift_carry_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"C") + --synthesis translate_on + port map( I0 => shift_carry, + I1 => instruction(15), + O => sel_shift_carry ); + + sel_shadow_carry_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"3") + --synthesis translate_on + port map( I0 => shadow_carry, + I1 => instruction(17), + O => sel_shadow_carry ); + + sel_shadow_muxcy: MUXCY + port map( DI => shadow_carry, + CI => '0', + S => sel_shadow_carry, + O => sel_carry(0) ); + + sel_shift_muxcy: MUXCY + port map( DI => shift_carry, + CI => sel_carry(0), + S => sel_shift_carry, + O => sel_carry(1) ); + + sel_arith_muxcy: MUXCY + port map( DI => arith_carry, + CI => sel_carry(1), + S => sel_arith_carry, + O => sel_carry(2) ); + + sel_parity_muxcy: MUXCY + port map( DI => parity, + CI => sel_carry(2), + S => sel_parity, + O => sel_carry(3) ); + + carry_xor: XORCY + port map( LI => '0', + CI => sel_carry(3), + O => carry_fast_route); + + carry_flag_flop: FDRE + port map ( D => carry_fast_route, + Q => carry_flag, + CE => flag_enable, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- The Program Counter +-- +-- Definition of a 10-bit counter which can be loaded from two sources +-- +------------------------------------------------------------------------------------ +-- + + invert_enable: INV -- Inverter should be implemented in the CE to flip flops + port map( I => t_state, + O => pc_enable); + + pc_loop: for i in 0 to 9 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of vector_select_mux : label is "E4"; + attribute INIT of value_select_mux : label is "E4"; + -- + begin + + vector_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(15), + I1 => instruction(i), + I2 => stack_pop_data(i), + O => pc_vector(i) ); + + value_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => normal_count, + I1 => inc_pc_vector(i), + I2 => pc(i), + O => pc_value(i) ); + + register_bit: FDRSE + port map ( D => inc_pc_value(i), + Q => pc(i), + R => internal_reset, + S => active_interrupt, + CE => pc_enable, + C => clk); + + pc_lsb_carry: if i=0 generate + begin + + pc_vector_muxcy: MUXCY + port map( DI => '0', + CI => instruction(13), + S => pc_vector(i), + O => pc_vector_carry(i)); + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => instruction(13), + O => inc_pc_vector(i)); + + pc_value_muxcy: MUXCY + port map( DI => '0', + CI => normal_count, + S => pc_value(i), + O => pc_value_carry(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => normal_count, + O => inc_pc_value(i)); + + end generate pc_lsb_carry; + + pc_mid_carry: if i>0 and i<9 generate + begin + + pc_vector_muxcy: MUXCY + port map( DI => '0', + CI => pc_vector_carry(i-1), + S => pc_vector(i), + O => pc_vector_carry(i)); + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => pc_vector_carry(i-1), + O => inc_pc_vector(i)); + + pc_value_muxcy: MUXCY + port map( DI => '0', + CI => pc_value_carry(i-1), + S => pc_value(i), + O => pc_value_carry(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => pc_value_carry(i-1), + O => inc_pc_value(i)); + + end generate pc_mid_carry; + + pc_msb_carry: if i=9 generate + begin + + pc_vector_xor: XORCY + port map( LI => pc_vector(i), + CI => pc_vector_carry(i-1), + O => inc_pc_vector(i)); + + pc_value_xor: XORCY + port map( LI => pc_value(i), + CI => pc_value_carry(i-1), + O => inc_pc_value(i)); + + end generate pc_msb_carry; + + end generate pc_loop; + + address <= pc; +-- +------------------------------------------------------------------------------------ +-- +-- Register Bank and second operand selection. +-- +-- Definition of an 8-bit dual port RAM with 16 locations +-- including write enable decode. +-- +-- Outputs are assigned to PORT_ID and OUT_PORT. +-- +------------------------------------------------------------------------------------ +-- + -- Forming decode signal + + register_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0145") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => register_type ); + + register_write_flop: FD + port map ( D => register_type, + Q => register_write, + C => clk); + + register_enable_lut: LUT2 + --synthesis translate_off + generic map (INIT => X"8") + --synthesis translate_on + port map( I0 => t_state, + I1 => register_write, + O => register_enable ); + + reg_loop: for i in 0 to 7 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of register_bit : label is "0000"; + attribute INIT of operand_select_mux : label is "E4"; + -- + begin + + register_bit: RAM16X1D + --synthesis translate_off + generic map(INIT => X"0000") + --synthesis translate_on + port map ( D => alu_result(i), + WE => register_enable, + WCLK => clk, + A0 => instruction(8), + A1 => instruction(9), + A2 => instruction(10), + A3 => instruction(11), + DPRA0 => instruction(4), + DPRA1 => instruction(5), + DPRA2 => instruction(6), + DPRA3 => instruction(7), + SPO => sx(i), + DPO => sy(i)); + + operand_select_mux: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(12), + I1 => instruction(i), + I2 => sy(i), + O => second_operand(i) ); + + end generate reg_loop; + + out_port <= sx; + port_id <= second_operand; +-- +------------------------------------------------------------------------------------ +-- +-- Store Memory +-- +-- Definition of an 8-bit single port RAM with 64 locations +-- including write enable decode. +-- +------------------------------------------------------------------------------------ +-- + -- Forming decode signal + + memory_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0400") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => memory_type ); + + memory_write_flop: FD + port map ( D => memory_type, + Q => memory_write, + C => clk); + + memory_enable_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"8000") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(13), + I2 => instruction(14), + I3 => memory_write, + O => memory_enable ); + + store_loop: for i in 0 to 7 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of memory_bit : label is "0000000000000000"; + -- + begin + + memory_bit: RAM64X1S + --synthesis translate_off + generic map(INIT => X"0000000000000000") + --synthesis translate_on + port map ( D => sx(i), + WE => memory_enable, + WCLK => clk, + A0 => second_operand(0), + A1 => second_operand(1), + A2 => second_operand(2), + A3 => second_operand(3), + A4 => second_operand(4), + A5 => second_operand(5), + O => memory_data(i)); + + store_flop: FD + port map ( D => memory_data(i), + Q => store_data(i), + C => clk); + + end generate store_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Logical operations +-- +-- Definition of AND, OR, XOR and LOAD functions which also provides TEST. +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_logical_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"FFE2") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => sel_logical ); + + logical_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of logical_lut : label is "6E8A"; + -- + begin + + logical_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6E8A") + --synthesis translate_on + port map( I0 => second_operand(i), + I1 => sx(i), + I2 => instruction(13), + I3 => instruction(14), + O => logical_value(i)); + + logical_flop: FDR + port map ( D => logical_value(i), + Q => logical_result(i), + R => sel_logical, + C => clk); + + end generate logical_loop; +-- +-- +------------------------------------------------------------------------------------ +-- +-- Shift and Rotate operations +-- +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_shift_inv: INV -- Inverter should be implemented in the reset to flip flops + port map( I => instruction(17), + O => sel_shift); + + -- Bit to input to shift register + + high_shift_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(1), + I1 => sx(0), + I2 => instruction(0), + O => high_shift_in ); + + low_shift_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(1), + I1 => carry_flag, + I2 => sx(7), + O => low_shift_in ); + + shift_in_muxf5: MUXF5 + port map( I1 => high_shift_in, + I0 => low_shift_in, + S => instruction(2), + O => shift_in ); + + -- Forming shift carry signal + + shift_carry_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(7), + I2 => sx(0), + O => shift_carry_value ); + + pipeline_bit: FD + port map ( D => shift_carry_value, + Q => shift_carry, + C => clk); + + shift_loop: for i in 0 to 7 generate + begin + + lsb_shift: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => shift_in, + I2 => sx(i+1), + O => shift_value(i) ); + + end generate lsb_shift; + + mid_shift: if i>0 and i<7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(i-1), + I2 => sx(i+1), + O => shift_value(i) ); + + end generate mid_shift; + + msb_shift: if i=7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of shift_mux_lut : label is "E4"; + -- + begin + + shift_mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(3), + I1 => sx(i-1), + I2 => shift_in, + O => shift_value(i) ); + + end generate msb_shift; + + shift_flop: FDR + port map ( D => shift_value(i), + Q => shift_result(i), + R => sel_shift, + C => clk); + + end generate shift_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Arithmetic operations +-- +-- Definition of ADD, ADDCY, SUB and SUBCY functions which also provides COMPARE. +-- Includes pipeline stage used to form ALU multiplexer including decode. +-- +------------------------------------------------------------------------------------ +-- + sel_arith_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"1F") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + O => sel_arith ); + + arith_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_lut : label is "96"; + -- + begin + + lsb_arith: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_carry_in_lut : label is "6C"; + -- + begin + + arith_carry_in_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"6C") + --synthesis translate_on + port map( I0 => instruction(13), + I1 => instruction(14), + I2 => carry_flag, + O => sel_arith_carry_in ); + + arith_carry_in_muxcy: MUXCY + port map( DI => '0', + CI => '1', + S => sel_arith_carry_in, + O => arith_carry_in); + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_carry_in, + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_carry_in, + O => arith_value(i)); + + end generate lsb_arith; + + mid_arith: if i>0 and i<7 generate + begin + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_internal_carry(i-1), + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_internal_carry(i-1), + O => arith_value(i)); + + end generate mid_arith; + + msb_arith: if i=7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of arith_carry_out_lut : label is "2"; + -- + begin + + arith_muxcy: MUXCY + port map( DI => sx(i), + CI => arith_internal_carry(i-1), + S => half_arith(i), + O => arith_internal_carry(i)); + + arith_xor: XORCY + port map( LI => half_arith(i), + CI => arith_internal_carry(i-1), + O => arith_value(i)); + + arith_carry_out_lut: LUT1 + --synthesis translate_off + generic map (INIT => X"2") + --synthesis translate_on + port map( I0 => instruction(14), + O => invert_arith_carry ); + + arith_carry_out_xor: XORCY + port map( LI => invert_arith_carry, + CI => arith_internal_carry(i), + O => arith_carry_out); + + arith_carry_flop: FDR + port map ( D => arith_carry_out, + Q => arith_carry, + R => sel_arith, + C => clk); + + end generate msb_arith; + + arith_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"96") + --synthesis translate_on + port map( I0 => sx(i), + I1 => second_operand(i), + I2 => instruction(14), + O => half_arith(i)); + + arith_flop: FDR + port map ( D => arith_value(i), + Q => arith_result(i), + R => sel_arith, + C => clk); + + end generate arith_loop; +-- +-- +------------------------------------------------------------------------------------ +-- +-- ALU multiplexer +-- +------------------------------------------------------------------------------------ +-- + input_fetch_type_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0002") + --synthesis translate_on + port map( I0 => instruction(14), + I1 => instruction(15), + I2 => instruction(16), + I3 => instruction(17), + O => input_fetch_type ); + + sel_group_flop: FD + port map ( D => input_fetch_type, + Q => sel_group, + C => clk); + + alu_mux_loop: for i in 0 to 7 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + attribute INIT : string; + attribute INIT of or_lut : label is "FE"; + attribute INIT of mux_lut : label is "E4"; + -- + begin + + or_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"FE") + --synthesis translate_on + port map( I0 => logical_result(i), + I1 => arith_result(i), + I2 => shift_result(i), + O => alu_group(i)); + + mux_lut: LUT3 + --synthesis translate_off + generic map (INIT => X"E4") + --synthesis translate_on + port map( I0 => instruction(13), + I1 => in_port(i), + I2 => store_data(i), + O => input_group(i)); + + shift_in_muxf5: MUXF5 + port map( I1 => input_group(i), + I0 => alu_group(i), + S => sel_group, + O => alu_result(i) ); + + end generate alu_mux_loop; +-- +------------------------------------------------------------------------------------ +-- +-- Read and Write Strobes +-- +------------------------------------------------------------------------------------ +-- + io_decode_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0010") + --synthesis translate_on + port map( I0 => active_interrupt, + I1 => instruction(13), + I2 => instruction(14), + I3 => instruction(16), + O => io_initial_decode ); + + write_active_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"4000") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(15), + I2 => instruction(17), + I3 => io_initial_decode, + O => write_active ); + + write_strobe_flop: FDR + port map ( D => write_active, + Q => write_strobe, + R => internal_reset, + C => clk); + + read_active_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"0100") + --synthesis translate_on + port map( I0 => t_state, + I1 => instruction(15), + I2 => instruction(17), + I3 => io_initial_decode, + O => read_active ); + + read_strobe_flop: FDR + port map ( D => read_active, + Q => read_strobe, + R => internal_reset, + C => clk); +-- +------------------------------------------------------------------------------------ +-- +-- Program CALL/RETURN stack +-- +-- Provided the counter and memory for a 32 deep stack supporting nested +-- subroutine calls to a depth of 31 levels. +-- +------------------------------------------------------------------------------------ +-- + -- Stack memory is 32 locations of 10-bit single port. + + stack_ram_inv: INV -- Inverter should be implemented in the WE to RAM + port map( I => t_state, + O => stack_write_enable); + + stack_ram_loop: for i in 0 to 9 generate + -- + -- Attribute to define RAM contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of stack_bit : label is "00000000"; + -- + begin + + stack_bit: RAM32X1S + --synthesis translate_off + generic map(INIT => X"00000000") + --synthesis translate_on + port map ( D => pc(i), + WE => stack_write_enable, + WCLK => clk, + A0 => stack_address(0), + A1 => stack_address(1), + A2 => stack_address(2), + A3 => stack_address(3), + A4 => stack_address(4), + O => stack_ram_data(i)); + + stack_flop: FD + port map ( D => stack_ram_data(i), + Q => stack_pop_data(i), + C => clk); + + end generate stack_ram_loop; + + -- Stack address pointer is a 5-bit counter + + stack_count_inv: INV -- Inverter should be implemented in the CE to the flip-flops + port map( I => active_interrupt, + O => not_active_interrupt); + + stack_count_loop: for i in 0 to 4 generate + begin + + register_bit: FDRE + port map ( D => next_stack_address(i), + Q => stack_address(i), + R => internal_reset, + CE => not_active_interrupt, + C => clk); + + lsb_stack_count: if i=0 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "6555"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"6555") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => push_or_pop_type, + O => half_stack_address(i) ); + + count_muxcy: MUXCY + port map( DI => stack_address(i), + CI => '0', + S => half_stack_address(i), + O => stack_address_carry(i)); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => '0', + O => next_stack_address(i)); + + end generate lsb_stack_count; + + mid_stack_count: if i>0 and i<4 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "A999"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"A999") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => call_type, + O => half_stack_address(i) ); + + count_muxcy: MUXCY + port map( DI => stack_address(i), + CI => stack_address_carry(i-1), + S => half_stack_address(i), + O => stack_address_carry(i)); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => stack_address_carry(i-1), + O => next_stack_address(i)); + + end generate mid_stack_count; + + + msb_stack_count: if i=4 generate + -- + -- Attribute to define LUT contents during implementation + -- The information is repeated in the generic map for functional simulation + -- + attribute INIT : string; + attribute INIT of count_lut : label is "A999"; + -- + begin + + count_lut: LUT4 + --synthesis translate_off + generic map (INIT => X"A999") + --synthesis translate_on + port map( I0 => stack_address(i), + I1 => t_state, + I2 => valid_to_move, + I3 => call_type, + O => half_stack_address(i) ); + + count_xor: XORCY + port map( LI => half_stack_address(i), + CI => stack_address_carry(i-1), + O => next_stack_address(i)); + + end generate msb_stack_count; + + end generate stack_count_loop; + +-- +------------------------------------------------------------------------------------ +-- +-- End of description for KCPSM3 macro. +-- +------------------------------------------------------------------------------------ +-- +--********************************************************************************** +-- Code for simulation purposes only after this line +--********************************************************************************** +-- +------------------------------------------------------------------------------------ +-- +-- Code for simulation. +-- +-- Disassemble the instruction codes to form a text string variable for display. +-- Determine status of reset and flags and present in the form of a text string. +-- Provide a local variables to simulate the contents of each register and scratch +-- pad memory location. +-- +------------------------------------------------------------------------------------ +-- + --All of this section is ignored during synthesis. + --synthesis translate off + + simulation: process (clk, instruction) + -- + --complete instruction decode + -- + variable kcpsm3_opcode : string(1 to 19); + -- + --Status of flags and processor + -- + variable kcpsm3_status : string(1 to 13):= "NZ, NC, Reset"; + + -- + --contents of each register + -- + variable s0_contents : std_logic_vector(7 downto 0):=X"00"; + variable s1_contents : std_logic_vector(7 downto 0):=X"00"; + variable s2_contents : std_logic_vector(7 downto 0):=X"00"; + variable s3_contents : std_logic_vector(7 downto 0):=X"00"; + variable s4_contents : std_logic_vector(7 downto 0):=X"00"; + variable s5_contents : std_logic_vector(7 downto 0):=X"00"; + variable s6_contents : std_logic_vector(7 downto 0):=X"00"; + variable s7_contents : std_logic_vector(7 downto 0):=X"00"; + variable s8_contents : std_logic_vector(7 downto 0):=X"00"; + variable s9_contents : std_logic_vector(7 downto 0):=X"00"; + variable sa_contents : std_logic_vector(7 downto 0):=X"00"; + variable sb_contents : std_logic_vector(7 downto 0):=X"00"; + variable sc_contents : std_logic_vector(7 downto 0):=X"00"; + variable sd_contents : std_logic_vector(7 downto 0):=X"00"; + variable se_contents : std_logic_vector(7 downto 0):=X"00"; + variable sf_contents : std_logic_vector(7 downto 0):=X"00"; + -- + --contents of each scratch pad memory location + -- + variable spm00_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm01_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm02_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm03_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm04_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm05_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm06_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm07_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm08_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm09_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm0f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm10_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm11_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm12_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm13_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm14_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm15_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm16_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm17_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm18_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm19_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm1f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm20_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm21_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm22_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm23_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm24_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm25_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm26_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm27_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm28_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm29_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm2f_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm30_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm31_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm32_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm33_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm34_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm35_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm36_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm37_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm38_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm39_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3a_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3b_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3c_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3d_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3e_contents : std_logic_vector(7 downto 0):=X"00"; + variable spm3f_contents : std_logic_vector(7 downto 0):=X"00"; + -- + --temporary variables + -- + variable sx_decode : string(1 to 2); --sX register specification + variable sy_decode : string(1 to 2); --sY register specification + variable kk_decode : string(1 to 2); --constant value specification + variable aaa_decode : string(1 to 3); --address specification + -- + -------------------------------------------------------------------------------- + -- + -- Function to convert 4-bit binary nibble to hexadecimal character + -- + -------------------------------------------------------------------------------- + -- + function hexcharacter (nibble: std_logic_vector(3 downto 0)) + return character is + variable hex: character; + begin + case nibble is + when "0000" => hex := '0'; + when "0001" => hex := '1'; + when "0010" => hex := '2'; + when "0011" => hex := '3'; + when "0100" => hex := '4'; + when "0101" => hex := '5'; + when "0110" => hex := '6'; + when "0111" => hex := '7'; + when "1000" => hex := '8'; + when "1001" => hex := '9'; + when "1010" => hex := 'A'; + when "1011" => hex := 'B'; + when "1100" => hex := 'C'; + when "1101" => hex := 'D'; + when "1110" => hex := 'E'; + when "1111" => hex := 'F'; + when others => hex := 'x'; + end case; + return hex; + end hexcharacter; + -- + -------------------------------------------------------------------------------- + -- + begin + + -- decode first register + sx_decode(1) := 's'; + sx_decode(2) := hexcharacter(instruction(11 downto 8)); + + -- decode second register + sy_decode(1) := 's'; + sy_decode(2) := hexcharacter(instruction(7 downto 4)); + + -- decode constant value + kk_decode(1) := hexcharacter(instruction(7 downto 4)); + kk_decode(2) := hexcharacter(instruction(3 downto 0)); + + -- address value + aaa_decode(1) := hexcharacter("00" & instruction(9 downto 8)); + aaa_decode(2) := hexcharacter(instruction(7 downto 4)); + aaa_decode(3) := hexcharacter(instruction(3 downto 0)); + + -- decode instruction + case instruction(17 downto 12) is + when "000000" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & kk_decode & " "; + when "000001" => kcpsm3_opcode := "LOAD " & sx_decode & ',' & sy_decode & " "; + when "001010" => kcpsm3_opcode := "AND " & sx_decode & ',' & kk_decode & " "; + when "001011" => kcpsm3_opcode := "AND " & sx_decode & ',' & sy_decode & " "; + when "001100" => kcpsm3_opcode := "OR " & sx_decode & ',' & kk_decode & " "; + when "001101" => kcpsm3_opcode := "OR " & sx_decode & ',' & sy_decode & " "; + when "001110" => kcpsm3_opcode := "XOR " & sx_decode & ',' & kk_decode & " "; + when "001111" => kcpsm3_opcode := "XOR " & sx_decode & ',' & sy_decode & " "; + when "010010" => kcpsm3_opcode := "TEST " & sx_decode & ',' & kk_decode & " "; + when "010011" => kcpsm3_opcode := "TEST " & sx_decode & ',' & sy_decode & " "; + when "011000" => kcpsm3_opcode := "ADD " & sx_decode & ',' & kk_decode & " "; + when "011001" => kcpsm3_opcode := "ADD " & sx_decode & ',' & sy_decode & " "; + when "011010" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & kk_decode & " "; + when "011011" => kcpsm3_opcode := "ADDCY " & sx_decode & ',' & sy_decode & " "; + when "011100" => kcpsm3_opcode := "SUB " & sx_decode & ',' & kk_decode & " "; + when "011101" => kcpsm3_opcode := "SUB " & sx_decode & ',' & sy_decode & " "; + when "011110" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & kk_decode & " "; + when "011111" => kcpsm3_opcode := "SUBCY " & sx_decode & ',' & sy_decode & " "; + when "010100" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & kk_decode & " "; + when "010101" => kcpsm3_opcode := "COMPARE " & sx_decode & ',' & sy_decode & " "; + when "100000" => + case instruction(3 downto 0) is + when "0110" => kcpsm3_opcode := "SL0 " & sx_decode & " "; + when "0111" => kcpsm3_opcode := "SL1 " & sx_decode & " "; + when "0100" => kcpsm3_opcode := "SLX " & sx_decode & " "; + when "0000" => kcpsm3_opcode := "SLA " & sx_decode & " "; + when "0010" => kcpsm3_opcode := "RL " & sx_decode & " "; + when "1110" => kcpsm3_opcode := "SR0 " & sx_decode & " "; + when "1111" => kcpsm3_opcode := "SR1 " & sx_decode & " "; + when "1010" => kcpsm3_opcode := "SRX " & sx_decode & " "; + when "1000" => kcpsm3_opcode := "SRA " & sx_decode & " "; + when "1100" => kcpsm3_opcode := "RR " & sx_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "101100" => kcpsm3_opcode := "OUTPUT " & sx_decode & ',' & kk_decode & " "; + when "101101" => kcpsm3_opcode := "OUTPUT " & sx_decode & ",(" & sy_decode & ") "; + when "000100" => kcpsm3_opcode := "INPUT " & sx_decode & ',' & kk_decode & " "; + when "000101" => kcpsm3_opcode := "INPUT " & sx_decode & ",(" & sy_decode & ") "; + when "101110" => kcpsm3_opcode := "STORE " & sx_decode & ',' & kk_decode & " "; + when "101111" => kcpsm3_opcode := "STORE " & sx_decode & ",(" & sy_decode & ") "; + when "000110" => kcpsm3_opcode := "FETCH " & sx_decode & ',' & kk_decode & " "; + when "000111" => kcpsm3_opcode := "FETCH " & sx_decode & ",(" & sy_decode & ") "; + when "110100" => kcpsm3_opcode := "JUMP " & aaa_decode & " "; + when "110101" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "JUMP Z," & aaa_decode & " "; + when "01" => kcpsm3_opcode := "JUMP NZ," & aaa_decode & " "; + when "10" => kcpsm3_opcode := "JUMP C," & aaa_decode & " "; + when "11" => kcpsm3_opcode := "JUMP NC," & aaa_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "110000" => kcpsm3_opcode := "CALL " & aaa_decode & " "; + when "110001" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "CALL Z," & aaa_decode & " "; + when "01" => kcpsm3_opcode := "CALL NZ," & aaa_decode & " "; + when "10" => kcpsm3_opcode := "CALL C," & aaa_decode & " "; + when "11" => kcpsm3_opcode := "CALL NC," & aaa_decode & " "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "101010" => kcpsm3_opcode := "RETURN "; + when "101011" => + case instruction(11 downto 10) is + when "00" => kcpsm3_opcode := "RETURN Z "; + when "01" => kcpsm3_opcode := "RETURN NZ "; + when "10" => kcpsm3_opcode := "RETURN C "; + when "11" => kcpsm3_opcode := "RETURN NC "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "111000" => + case instruction(0) is + when '0' => kcpsm3_opcode := "RETURNI DISABLE "; + when '1' => kcpsm3_opcode := "RETURNI ENABLE "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when "111100" => + case instruction(0) is + when '0' => kcpsm3_opcode := "DISABLE INTERRUPT "; + when '1' => kcpsm3_opcode := "ENABLE INTERRUPT "; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + when others => kcpsm3_opcode := "Invalid Instruction"; + end case; + + if clk'event and clk='1' then + + --reset and flag status information + if reset='1' or reset_delay='1' then + kcpsm3_status := "NZ, NC, Reset"; + else + kcpsm3_status(7 to 13) := " "; + if flag_enable='1' then + if zero_carry='1' then + kcpsm3_status(1 to 4) := " Z, "; + else + kcpsm3_status(1 to 4) := "NZ, "; + end if; + if sel_carry(3)='1' then + kcpsm3_status(5 to 6) := " C"; + else + kcpsm3_status(5 to 6) := "NC"; + end if; + end if; + end if; + + --simulation of register contents + if register_enable='1' then + case instruction(11 downto 8) is + when "0000" => s0_contents := alu_result; + when "0001" => s1_contents := alu_result; + when "0010" => s2_contents := alu_result; + when "0011" => s3_contents := alu_result; + when "0100" => s4_contents := alu_result; + when "0101" => s5_contents := alu_result; + when "0110" => s6_contents := alu_result; + when "0111" => s7_contents := alu_result; + when "1000" => s8_contents := alu_result; + when "1001" => s9_contents := alu_result; + when "1010" => sa_contents := alu_result; + when "1011" => sb_contents := alu_result; + when "1100" => sc_contents := alu_result; + when "1101" => sd_contents := alu_result; + when "1110" => se_contents := alu_result; + when "1111" => sf_contents := alu_result; + when others => null; + end case; + end if; + + --simulation of scratch pad memory contents + if memory_enable='1' then + case second_operand(5 downto 0) is + when "000000" => spm00_contents := sx; + when "000001" => spm01_contents := sx; + when "000010" => spm02_contents := sx; + when "000011" => spm03_contents := sx; + when "000100" => spm04_contents := sx; + when "000101" => spm05_contents := sx; + when "000110" => spm06_contents := sx; + when "000111" => spm07_contents := sx; + when "001000" => spm08_contents := sx; + when "001001" => spm09_contents := sx; + when "001010" => spm0a_contents := sx; + when "001011" => spm0b_contents := sx; + when "001100" => spm0c_contents := sx; + when "001101" => spm0d_contents := sx; + when "001110" => spm0e_contents := sx; + when "001111" => spm0f_contents := sx; + when "010000" => spm10_contents := sx; + when "010001" => spm11_contents := sx; + when "010010" => spm12_contents := sx; + when "010011" => spm13_contents := sx; + when "010100" => spm14_contents := sx; + when "010101" => spm15_contents := sx; + when "010110" => spm16_contents := sx; + when "010111" => spm17_contents := sx; + when "011000" => spm18_contents := sx; + when "011001" => spm19_contents := sx; + when "011010" => spm1a_contents := sx; + when "011011" => spm1b_contents := sx; + when "011100" => spm1c_contents := sx; + when "011101" => spm1d_contents := sx; + when "011110" => spm1e_contents := sx; + when "011111" => spm1f_contents := sx; + when "100000" => spm20_contents := sx; + when "100001" => spm21_contents := sx; + when "100010" => spm22_contents := sx; + when "100011" => spm23_contents := sx; + when "100100" => spm24_contents := sx; + when "100101" => spm25_contents := sx; + when "100110" => spm26_contents := sx; + when "100111" => spm27_contents := sx; + when "101000" => spm28_contents := sx; + when "101001" => spm29_contents := sx; + when "101010" => spm2a_contents := sx; + when "101011" => spm2b_contents := sx; + when "101100" => spm2c_contents := sx; + when "101101" => spm2d_contents := sx; + when "101110" => spm2e_contents := sx; + when "101111" => spm2f_contents := sx; + when "110000" => spm30_contents := sx; + when "110001" => spm31_contents := sx; + when "110010" => spm32_contents := sx; + when "110011" => spm33_contents := sx; + when "110100" => spm34_contents := sx; + when "110101" => spm35_contents := sx; + when "110110" => spm36_contents := sx; + when "110111" => spm37_contents := sx; + when "111000" => spm38_contents := sx; + when "111001" => spm39_contents := sx; + when "111010" => spm3a_contents := sx; + when "111011" => spm3b_contents := sx; + when "111100" => spm3c_contents := sx; + when "111101" => spm3d_contents := sx; + when "111110" => spm3e_contents := sx; + when "111111" => spm3f_contents := sx; + when others => null; + end case; + end if; + + end if; + + end process simulation; + + --synthesis translate on +-- +--********************************************************************************** +-- End of simulation code. +--********************************************************************************** +-- +-- +end low_level_definition; +-- +------------------------------------------------------------------------------------ +-- +-- END OF FILE KCPSM3.VHD +-- +------------------------------------------------------------------------------------ diff --git a/keyboard.vhd b/keyboard.vhd new file mode 100755 index 0000000..86904a7 --- /dev/null +++ b/keyboard.vhd @@ -0,0 +1,206 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:52:22 12/30/2008 +-- Design Name: +-- Module Name: toplevel - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity keyboard is + + port ( + -- PS/2 Interface + PS2_CLK : inout std_logic; + PS2_DATA : inout std_logic; + -- Z80 interface + CLK_16M : in std_logic; + IORQ_n : in std_logic; + RD_n, WR_n : in std_logic; + DATA_I : in std_logic_vector(7 downto 0); + DATA_O : out std_logic_vector(7 downto 0); + -- DEBUG + DEBUG : out std_logic_vector(7 downto 0) +-- DEBUGLED : out std_logic_vector(7 downto 0) + ); + +end keyboard; + +architecture Behavioral of keyboard is +type states is (ST_RESET, ST_IDLE, ST_READ, ST_PARITY, ST_ERROR, ST_STOP); +signal state : states := ST_RESET; +signal parity_r, up_r, ext_r : std_logic := '0'; +signal key_in_r : std_logic_vector(7 downto 0); +signal count : unsigned(2 downto 0); +type line_lut_t is array (0 to 255) of integer range 0 to 9; +type bit_lut_t is array (0 to 255) of integer range 0 to 7; +signal line_lut : line_lut_t; +signal bit_lut : bit_lut_t; + +signal ps2clk_s, ps2data_s : std_logic_vector(2 downto 0) := "111"; +signal debug_evt_counter : std_logic_vector(7 downto 0) := X"00"; + +type key_buffer_t is array (0 to 9) of std_logic_vector(7 downto 0); +signal key_buffer : key_buffer_t; +signal keyb_row_r : unsigned(3 downto 0) := to_unsigned(0, 4); +signal lastin_r : std_logic_vector(1 downto 0) := "00"; +begin -- Behavioral + -- 0 1 2 3 4 5 6 7 8 9 A B C D E F + line_lut <= (0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 8, 0, 0, -- 0_ + 0, 0, 0, 0, 0, 5, 6, 0, 0, 0, 2, 3, 4, 4, 6, 0, -- 1_ + 0, 7, 1, 2, 3, 7, 5, 0, 0, 7, 7, 1, 1, 7, 1, 0, -- 2_ + 0, 2, 1, 1, 7, 2, 2, 0, 0, 0, 3, 2, 3, 3, 4, 0, -- 3_ + + 0, 4, 3, 4, 5, 6, 5, 0, 0, 5, 6, 4, 5, 6, 8, 0, -- 4_ + 0, 0, 6, 0, 6, 0, 0, 0, 0, 0, 8, 7, 0, 5, 0, 0, -- 5_ + 0, 0, 0, 0, 0, 0, 8, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- 6_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- 7_ + + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 0_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 1_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 2_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 3_ + + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 4_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 5_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 2, 0, 0, 0, 0, -- e0 6_ + 0, 0, 3, 0, 4, 1, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); -- e0 7_ + + -- 0 1 2 3 4 5 6 7 8 9 A B C D E F + bit_lut <= (0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 3, 0, 0, -- 0_ + 0, 0, 4, 0, 0, 4, 4, 0, 0, 0, 5, 4, 4, 3, 3, 0, -- 1_ + 0, 3, 4, 3, 3, 2, 3, 0, 0, 4, 1, 3, 5, 5, 2, 0, -- 2_ + 0, 1, 1, 0, 0, 4, 2, 0, 0, 0, 1, 0, 5, 2, 2, 0, -- 3_ + + 0, 1, 0, 5, 5, 2, 2, 0, 0, 1, 1, 0, 0, 5, 2, 0, -- 4_ + 0, 0, 0, 0, 6, 0, 0, 0, 0, 4, 1, 6, 0, 6, 0, 0, -- 5_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- 6_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- 7_ + + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 0_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 1_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 2_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 3_ + + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 4_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, -- e0 5_ + 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0, 6, 0, 0, 0, 0, -- e0 6_ + 0, 0, 6, 0, 6, 6, 0, 0, 0, 0, 0, 0, 0, 0, 0, 0); -- e0 7_ + + z80bus: process(CLK_16M) + begin + if rising_edge(CLK_16M) then + if (IORQ_n = '0') and (WR_n = '0') then + if (DATA_I(0) = '0') and (lastin_r(0) = '1') then + if keyb_row_r < 9 then + keyb_row_r <= keyb_row_r + 1; + end if; + elsif (DATA_I(1) = '0') and (lastin_r(1) = '1') then + keyb_row_r <= to_unsigned(0, keyb_row_r'length); + end if; + lastin_r <= DATA_I(1 downto 0); + end if; + end if; + end process; + + DATA_O <= key_buffer(to_integer(keyb_row_r)); + + process (CLK_16M) + begin + if rising_edge(CLK_16M) then + ps2clk_s <= ps2clk_s(1 downto 0) & PS2_CLK; + ps2data_s <= ps2data_s(1 downto 0) & PS2_DATA; + end if; + end process; + + fsm: process (CLK_16M) + variable next_state : states; + variable line_v : integer range 0 to 8; + variable bit_v : integer range 0 to 7; + begin + if rising_edge(CLK_16M) then + next_state := state; + case state is + when ST_RESET => + key_buffer <= (X"FF", X"FF", X"FF", X"FF", + X"FF", X"FF", X"FF", X"FF", + X"FF", X"FF"); + next_state := ST_IDLE; + when ST_IDLE => + if (ps2clk_s(2) = '1') and (ps2clk_s(1) = '0') then -- falling edge + if ps2data_s(2) = '0' then + count <= to_unsigned(0, count'length); + parity_r <= '0'; + next_state := ST_READ; + end if; + end if; + when ST_READ => + if (ps2clk_s(2) = '1') and (ps2clk_s(1) = '0') then -- falling edge + key_in_r(to_integer(count)) <= ps2data_s(2); + parity_r <= parity_r xor ps2data_s(2); + if count = 7 then + next_state := ST_PARITY; + else + count <= count + 1; + end if; + end if; + when ST_PARITY => + if (ps2clk_s(2) = '1') and (ps2clk_s(1) = '0') then -- falling edge + if parity_r = ps2data_s(2) then +-- ext_r <= '0'; +-- up_r <= '0'; + next_state := ST_ERROR; + else + if key_in_r = X"E0" then + ext_r <= '1'; + elsif key_in_r = X"F0" then + up_r <= '1'; + else + -- process key code + line_v := line_lut(conv_integer(ext_r & key_in_r(6 downto 0))); + bit_v := bit_lut(conv_integer(ext_r & key_in_r(6 downto 0))); + if not ((line_v = 0) and (bit_v = 0)) then + key_buffer(line_v)(bit_v) <= up_r; + end if; + ext_r <= '0'; + up_r <= '0'; + end if; + next_state := ST_STOP; + end if; + end if; + when ST_ERROR => + debug_evt_counter <= debug_evt_counter + 1; + next_state := ST_IDLE; + when ST_STOP => + next_state := ST_IDLE; + when others => null; + end case; + state <= next_state; + end if; + end process; + +-- DEBUGLED <= debug_evt_counter; + + PS2_CLK <= 'Z'; + PS2_DATA <= 'Z'; +end Behavioral; diff --git a/memory.vhd b/memory.vhd new file mode 100755 index 0000000..a752e48 --- /dev/null +++ b/memory.vhd @@ -0,0 +1,128 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:22:46 12/30/2008 +-- Design Name: +-- Module Name: memory - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity memory is + Port ( + -- interface to Z80 bus + DATA_I : in STD_LOGIC_VECTOR (7 downto 0); + DATA_O : out STD_LOGIC_VECTOR (7 downto 0); + ADDR_I : in STD_LOGIC_VECTOR (15 downto 0); + RD_N : in STD_LOGIC; + WR_N : in STD_LOGIC; + MREQ_N : in STD_LOGIC; + CLK : in STD_LOGIC; + CLKEN : in STD_LOGIC; + -- interface to video generator + VID_DATA_O : out STD_LOGIC_VECTOR(7 downto 0); + VID_ADDR_I : in STD_LOGIC_VECTOR(9 downto 0); + VID_CLK : in STD_LOGIC); +end memory; + +architecture Behavioral of memory is +component monitorrom IS + port ( clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0); + ena: in std_logic); +END component; + +component basic_rom + port ( + clka : IN std_logic; + addra : IN std_logic_VECTOR(12 downto 0); + douta : OUT std_logic_VECTOR(7 downto 0); + ena : in std_logic); +end component; + +component ram2kx8 IS + port ( clka: IN std_logic; + dina: IN std_logic_VECTOR(7 downto 0); + addra: IN std_logic_VECTOR(10 downto 0); + wea: IN std_logic_VECTOR(0 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0); + ena: in std_logic; + clkb: IN std_logic; + dinb: IN std_logic_VECTOR(7 downto 0); + addrb: IN std_logic_VECTOR(10 downto 0); + web: IN std_logic_VECTOR(0 downto 0); + doutb: OUT std_logic_VECTOR(7 downto 0)); +END component; + +signal monitorrom_data, basicrom_data, ram2kx8_1_dout, ram2kx8_2_dout, ram2kx8_1_doutb: std_logic_vector(7 downto 0); +signal ram2kx8_1_addrb : std_logic_vector(10 downto 0); +signal ram2kx8_1_we, ram2kx8_2_we: std_logic_vector(0 downto 0); +begin +monitorrom_inst: monitorrom port map( clka => CLK, + addra => ADDR_I(10 downto 0), + douta => monitorrom_data, + ena => CLKEN); + +basicrom_inst : basic_rom port map ( + clka => CLK, + addra => ADDR_I(12 downto 0), + douta => basicrom_data, + ena => CLKEN); + +ram2kx8_1_we(0) <= '1' when (WR_N = '0') and (ADDR_I(15 downto 11) = "00001") and (MREQ_N = '0') else '0'; +ram2kx8_2_we(0) <= '1' when (WR_N = '0') and (ADDR_I(15 downto 11) = "00010") and (MREQ_N = '0') else '0'; +ram2kx8_1_addrb <= '0' & VID_ADDR_I; + +ram2kx8_inst_1: ram2kx8 port map( clka => CLK, + dina => DATA_I, + addra => ADDR_I(10 downto 0), + wea => ram2kx8_1_we, + douta => ram2kx8_1_dout, + ena => CLKEN, + clkb => VID_CLK, + dinb => "00000000", + addrb => ram2kx8_1_addrb, + web => "0", + doutb => ram2kx8_1_doutb); + +ram2kx8_inst_2: ram2kx8 port map( clka => CLK, + dina => DATA_I, + addra => ADDR_I(10 downto 0), + wea => ram2kx8_2_we, + douta => ram2kx8_2_dout, + ena => CLKEN, + clkb => VID_CLK, + dinb => "00000000", + addrb => "00000000000", + web => "0", + doutb => open); + +DATA_O <= monitorrom_data when ADDR_I(15 downto 11) = "00000" else + ram2kx8_1_dout when ADDR_I(15 downto 11) = "00001" else + ram2kx8_2_dout when ADDR_I(15 downto 11) = "00010" else + basicrom_data when ADDR_I(15 downto 13) = "111" else + "XXXXXXXX"; + +VID_DATA_O <= ram2kx8_1_doutb; +end Behavioral; + diff --git a/nascom2.prj b/nascom2.prj new file mode 100755 index 0000000..02b364b --- /dev/null +++ b/nascom2.prj @@ -0,0 +1,23 @@ +vhdl work memory.vhd +vhdl work coregen/charrom.vhd +vhdl work coregen/monitorrom.vhd +vhdl work coregen/basic_rom.vhd +vhdl work coregen/ram2kx8.vhd +vhdl work coregen/dcm_in50.vhd +vhdl work toplevel.vhd +vhdl work T80a.vhd +vhdl work T80.vhd +vhdl work T80_ALU.vhd +vhdl work T80_MCode.vhd +vhdl work T80_RegX.vhd +vhdl work T80_Pack.vhd +vhdl work T80se.vhd +vhdl work video.vhd +vhdl work videogen.vhd +vhdl work syncgen.vhd +vhdl work keyboard.vhd +vhdl work uart.vhd +vhdl work kcpsm3.vhd +vhdl work uartprog.vhd +vhdl work fifo16x8.vhd +vhdl work spi.vhd \ No newline at end of file diff --git a/nascom2.ucf b/nascom2.ucf new file mode 100755 index 0000000..2e82451 --- /dev/null +++ b/nascom2.ucf @@ -0,0 +1,159 @@ +################################################### +# TIMING CONSTRAINTS +################################################### +# System Clock +NET "CLKIN_50M" LOC = "E12"| IOSTANDARD = LVCMOS33; +NET "CLKIN_50M" PERIOD = 20 ns HIGH 40 %; +#NET "CLKIN_50M" TNM_NET = "CLKIN_50M"; +# VGA +INST "BLUE<0>" TNM = "VGA"; +INST "BLUE<1>" TNM = "VGA"; +INST "BLUE<2>" TNM = "VGA"; +INST "BLUE<3>" TNM = "VGA"; +INST "GREEN<0>" TNM = "VGA"; +INST "GREEN<1>" TNM = "VGA"; +INST "GREEN<2>" TNM = "VGA"; +INST "GREEN<3>" TNM = "VGA"; +INST "HSYNC" TNM = "VGA"; +INST "RED<0>" TNM = "VGA"; +INST "RED<1>" TNM = "VGA"; +INST "RED<2>" TNM = "VGA"; +INST "RED<3>" TNM = "VGA"; +INST "VSYNC" TNM = "VGA"; +TIMEGRP "VGA" OFFSET = OUT 5.2 ns AFTER "CLKIN_50M" ; +# Z80 BUS +#INST "ADDR<0>" TNM = "Z80BUS"; +#INST "ADDR<1>" TNM = "Z80BUS"; +#INST "ADDR<10>" TNM = "Z80BUS"; +#INST "ADDR<11>" TNM = "Z80BUS"; +#INST "ADDR<12>" TNM = "Z80BUS"; +#INST "ADDR<13>" TNM = "Z80BUS"; +#INST "ADDR<14>" TNM = "Z80BUS"; +#INST "ADDR<15>" TNM = "Z80BUS"; +#INST "ADDR<2>" TNM = "Z80BUS"; +#INST "ADDR<3>" TNM = "Z80BUS"; +#INST "ADDR<4>" TNM = "Z80BUS"; +#INST "ADDR<5>" TNM = "Z80BUS"; +#INST "ADDR<6>" TNM = "Z80BUS"; +#INST "ADDR<7>" TNM = "Z80BUS"; +#INST "ADDR<8>" TNM = "Z80BUS"; +#INST "ADDR<9>" TNM = "Z80BUS"; +#INST "BUSAK_n" TNM = "Z80BUS"; +#INST "BUSRQ_n" TNM = "Z80BUS"; +#INST "DATA<0>" TNM = "Z80BUS"; +#INST "DATA<1>" TNM = "Z80BUS"; +#INST "DATA<2>" TNM = "Z80BUS"; +#INST "DATA<3>" TNM = "Z80BUS"; +#INST "DATA<4>" TNM = "Z80BUS"; +#INST "DATA<5>" TNM = "Z80BUS"; +#INST "DATA<6>" TNM = "Z80BUS"; +#INST "DATA<7>" TNM = "Z80BUS"; +#INST "HALT_n" TNM = "Z80BUS"; +#INST "INT_n" TNM = "Z80BUS"; +#INST "IORQ_n" TNM = "Z80BUS"; +#INST "M1_n" TNM = "Z80BUS"; +#INST "NMI_n" TNM = "Z80BUS"; +#INST "RD_n" TNM = "Z80BUS"; +#INST "RFSH_n" TNM = "Z80BUS"; +#INST "WAIT_n" TNM = "Z80BUS"; +#INST "WR_n" TNM = "Z80BUS"; +#TIMEGRP "Z80BUS" OFFSET = IN 10 ns BEFORE "CLKIN_50M" ; +#TIMEGRP "Z80BUS" OFFSET = OUT 10 ns AFTER "CLKIN_50M" ; + +################################################### +# LOCATION CONSTRAINTS +################################################### +# For S3AN-Board +# VGA +NET "RED<3>" LOC = "C8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "RED<2>" LOC = "B8" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "RED<1>" LOC = "B3" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "RED<0>" LOC = "A3" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "GREEN<3>" LOC = "D6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "GREEN<2>" LOC = "C6" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "GREEN<1>" LOC = "D5" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "GREEN<0>" LOC = "C5" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "BLUE<3>" LOC = "C9" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "BLUE<2>" LOC = "B9" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "BLUE<1>" LOC = "D7" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "BLUE<0>" LOC = "C7" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "HSYNC" LOC = "C11" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +NET "VSYNC" LOC = "B11" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = FAST ; +# Z80BUS -> FX2 connector +#NET "ADDR<0>" LOC = "A13" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<1>" LOC = "B13" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<2>" LOC = "A14" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<3>" LOC = "B15" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<4>" LOC = "A15" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<5>" LOC = "A16" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<6>" LOC = "A17" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<7>" LOC = "B17" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<8>" LOC = "A18" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<9>" LOC = "C18" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<10>" LOC = "A19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<11>" LOC = "B19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<12>" LOC = "A20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<13>" LOC = "B20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<14>" LOC = "C19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "ADDR<15>" LOC = "D19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<0>" LOC = "D18" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<1>" LOC = "E17" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<2>" LOC = "D20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<3>" LOC = "D21" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<4>" LOC = "D22" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<5>" LOC = "E22" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<6>" LOC = "F18" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "DATA<7>" LOC = "F19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "BUSAK_n" LOC = "F20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "BUSRQ_n" LOC = "E20" | IOSTANDARD = LVTTL; +#NET "HALT_n" LOC = "G20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "INT_n" LOC = "G19" | IOSTANDARD = LVTTL; +#NET "IORQ_n" LOC = "H19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "M1_n" LOC = "J18" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "NMI_n" LOC = "K18" | IOSTANDARD = LVTTL; +#NET "RD_n" LOC = "K17" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "WR_n" LOC = "K19" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "RFSH_n" LOC = "K20" | IOSTANDARD = LVTTL | DRIVE = 8 | SLEW = SLOW; +#NET "WAIT_n" LOC = "L19" | IOSTANDARD = LVTTL; +# PS/2 Keyboard +NET "PS2_CLK1" LOC = "W12" | IOSTANDARD = LVCMOS33 | PULLUP | DRIVE = 8 | SLEW = SLOW; +NET "PS2_DATA1" LOC = "V11" | IOSTANDARD = LVCMOS33 | PULLUP | DRIVE = 8 | SLEW = SLOW; +# LEDs +NET "LED<7>" LOC = "W21" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<6>" LOC = "Y22" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<5>" LOC = "V20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<4>" LOC = "V19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<3>" LOC = "U19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<2>" LOC = "U20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<1>" LOC = "T19" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "LED<0>" LOC = "R20" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +# Buttons +NET "BTN_NORTH" LOC = "T14" | IOSTANDARD = LVCMOS33 | PULLDOWN; +NET "BTN_SOUTH" LOC = "T15" | IOSTANDARD = LVCMOS33 | PULLDOWN; +NET "BTN_EAST" LOC = "T16" | IOSTANDARD = LVCMOS33 | PULLDOWN; +NET "BTN_WEST" LOC = "U15" | IOSTANDARD = LVCMOS33 | PULLDOWN; +NET "ROT_CENTER" LOC = "R13" | IOSTANDARD = LVCMOS33 | PULLDOWN; +# Switches +NET "SW<3>" LOC = "T9" | IOSTANDARD = LVCMOS33; +NET "SW<2>" LOC = "U8" | IOSTANDARD = LVCMOS33; +NET "SW<1>" LOC = "U10" | IOSTANDARD = LVCMOS33; +NET "SW<0>" LOC = "V8" | IOSTANDARD = LVCMOS33; +# SPI +NET "SPI_MISO" LOC = "AB20" | IOSTANDARD = LVCMOS33; +NET "SPI_MOSI" LOC = "AB14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "SPI_SCK" LOC = "AA20" | IOSTANDARD = LVCMOS33 | SLEW = FAST | DRIVE = 8; +NET "SPI_SS_B" LOC = "Y4" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "DATAFLASH_WP" LOC = "C14" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +NET "DATAFLASH_RST" LOC = "C15" | IOSTANDARD = LVCMOS33 | SLEW = SLOW | DRIVE = 8; +# LCD interface +NET "LCD_E" LOC = "AB4" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_RS" LOC = "Y14" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_RW" LOC = "W13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<7>" LOC = "Y15" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<6>" LOC = "AB16" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<5>" LOC = "Y16" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<4>" LOC = "AA12" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<3>" LOC = "AB12" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<2>" LOC = "AB17" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<1>" LOC = "AB18" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; +NET "LCD_DB<0>" LOC = "Y13" | IOSTANDARD = LVCMOS33 | DRIVE = 8 | SLEW = SLOW; \ No newline at end of file diff --git a/nascom2.xst b/nascom2.xst new file mode 100755 index 0000000..fcb747c --- /dev/null +++ b/nascom2.xst @@ -0,0 +1,15 @@ +run +-ifn nascom2.prj +-ifmt mixed +-top toplevel +-ofn nascom2.ngc +-ofmt NGC +-p xc3s700an-fgg484-4 +-opt_mode Speed +-opt_level 1 +-fsm_encoding auto +-slice_utilization_ratio 1500# +-bram_utilization_ratio 3# +-sd coregen/ +-rtlview no +-iob auto \ No newline at end of file diff --git a/nascom2_t80.mpf b/nascom2_t80.mpf new file mode 100755 index 0000000..a9f79c1 --- /dev/null +++ b/nascom2_t80.mpf @@ -0,0 +1,288 @@ +; +; Copyright Model Technology, a Mentor Graphics +; Corporation company 2006, - All rights reserved. +; +; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF +; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. +; +[Library] +std = $MODEL_TECH/../std +ieee = $MODEL_TECH/../ieee +verilog = $MODEL_TECH/../verilog +vital2000 = $MODEL_TECH/../vital2000 +std_developerskit = $MODEL_TECH/../std_developerskit +synopsys = $MODEL_TECH/../synopsys +modelsim_lib = $MODEL_TECH/../modelsim_lib + + +; VHDL Section +unisim = $MODEL_TECH/../xilinx/vhdl/unisim +simprim = $MODEL_TECH/../xilinx/vhdl/simprim +xilinxcorelib = $MODEL_TECH/../xilinx/vhdl/xilinxcorelib +aim = $MODEL_TECH/../xilinx/vhdl/aim +pls = $MODEL_TECH/../xilinx/vhdl/pls +cpld = $MODEL_TECH/../xilinx/vhdl/cpld + +; Verilog Section +unisims_ver = $MODEL_TECH/../xilinx/verilog/unisims_ver +uni9000_ver = $MODEL_TECH/../xilinx/verilog/uni9000_ver +simprims_ver = $MODEL_TECH/../xilinx/verilog/simprims_ver +xilinxcorelib_ver = $MODEL_TECH/../xilinx/verilog/xilinxcorelib_ver +aim_ver = $MODEL_TECH/../xilinx/verilog/aim_ver +cpld_ver = $MODEL_TECH/../xilinx/verilog/cpld_ver + +work = work +[vcom] +; Turn on VHDL-1993 as the default. Normally is off. +VHDL93 = 1 + +; Show source line containing error. Default is off. +; Show_source = 1 + +; Turn off unbound-component warnings. Default is on. +; Show_Warning1 = 0 + +; Turn off process-without-a-wait-statement warnings. Default is on. +; Show_Warning2 = 0 + +; Turn off null-range warnings. Default is on. +; Show_Warning3 = 0 + +; Turn off no-space-in-time-literal warnings. Default is on. +; Show_Warning4 = 0 + +; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. +; Show_Warning5 = 0 + +; Turn off optimization for IEEE std_logic_1164 package. Default is on. +; Optimize_1164 = 0 + +; Turn on resolving of ambiguous function overloading in favor of the +; "explicit" function declaration (not the one automatically created by +; the compiler for each type declaration). Default is off. + Explicit = 1 + +; Turn off VITAL compliance checking. Default is checking on. +; NoVitalCheck = 1 + +; Ignore VITAL compliance checking errors. Default is to not ignore. +; IgnoreVitalErrors = 1 + +; Turn off VITAL compliance checking warnings. Default is to show warnings. +; Show_VitalChecksWarnings = false + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on some limited synthesis rule compliance checking. Checks only: +; -- signals used (read) by a process must be in the sensitivity list +; CheckSynthesis = 1 + +[vlog] + +; Turn off "loading..." messages. Default is messages on. +; Quiet = 1 + +; Turn on Verilog hazard checking (order-dependent accessing of global vars). +; Default is off. +; Hazard = 1 + +; Turn on converting regular Verilog identifiers to uppercase. Allows case +; insensitivity for module names. Default is no conversion. +; UpCase = 1 + +; Turns on incremental compilation of modules +; Incremental = 1 + +[vsim] +; Simulator resolution +; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. +resolution = 1ps + +; User time unit for run commands +; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the +; unit specified for Resolution. For example, if Resolution is 100ps, +; then UserTimeUnit defaults to ps. +UserTimeUnit = default + +; Default run length +RunLength = 20 ns + +; Maximum iterations that can be run without advancing simulation time +IterationLimit = 5000 + +; Directive to license manager: +; vhdl Immediately reserve a VHDL license +; vlog Immediately reserve a Verilog license +; plus Immediately reserve a VHDL and Verilog license +; nomgc Do not look for Mentor Graphics Licenses +; nomti Do not look for Model Technology Licenses +; noqueue Do not wait in the license queue when a license isn't available +; License = plus + +; Stop the simulator after an assertion message +; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal +BreakOnAssertion = 3 + +; Assertion Message Format +; %S - Severity Level +; %R - Report Message +; %T - Time of assertion +; %D - Delta +; %I - Instance or Region pathname (if available) +; %% - print '%' character +; AssertionFormat = "** %S: %R\n Timf: %T Iteration: %D%I\n" + +; Assertion File - alternate file for storing assertion messages +; AssertFile = assert.log + +; Default radix for all windows and commands... +; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned +DefaultRadix = symbolic + +; VSIM Startup command +; Startup = do startup.do + +; File for saving command transcript +TranscriptFile = transcript + +; File for saving command history +;CommandHistory = cmdhist.log + +; Specify whether paths in simulator commands should be described +; in VHDL or Verilog format. For VHDL, PathSeparator = / +; for Verilog, PathSeparator = . +PathSeparator = / + +; Specify the dataset separator for fully rooted contexts. +; The default is ':'. For example, sim:/top +; Must not be the same character as PathSeparator. +DatasetSeparator = : + +; Disable assertion messages +; IgnoreNote = 1 +; IgnoreWarning = 1 +; IgnoreError = 1 +; IgnoreFailure = 1 + +; Default force kind. May be freeze, drive, or deposit +; or in other terms, fixed, wired or charged. +; DefaultForceKind = freeze + +; If zero, open files when elaborated +; else open files on first read or write +; DelayFileOpen = 0 + +; Control VHDL files opened for write +; 0 = Buffered, 1 = Unbuffered +UnbufferedOutput = 0 + +; Control number of VHDL files open concurrently +; This number should always be less then the +; current ulimit setting for max file descriptors +; 0 = unlimited +ConcurrentFileLimit = 40 + +; This controls the number of hierarchical regions displayed as +; part of a signal name shown in the waveform window. The default +; value or a value of zero tells VSIM to display the full name. +; WaveSignalNameWidth = 0 + +; Turn off warnings from the std_logic_arith, std_logic_unsigned +; and std_logic_signed packages. +; StdArithNoWarnings = 1 + +; Turn off warnings from the IEEE numeric_std and numeric_bit +; packages. +; NumericStdNoWarnings = 1 + +; Control the format of a generate statement label. Don't quote it. +; GenerateFormat = %s__%d + +; Specify whether checkpoint files should be compressed. +; The default is to be compressed. +; CheckpointCompressMode = 0 + +; List of dynamically loaded objects for Verilog PLI applications +; Veriuser = veriuser.sl + +[lmc] +[Project] +Project_Version = 6 +Project_DefaultLib = work +Project_SortMethod = unused +Project_Files_Count = 21 +Project_File_0 = C:/vhdl/nascom2_t80/toplevel.vhd +Project_File_P_0 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231501485 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 10 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_1 = C:/vhdl/nascom2_t80/T80se.vhd +Project_File_P_1 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230996942 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 13 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_2 = C:/vhdl/nascom2_t80/T80.vhd +Project_File_P_2 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230941814 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 2 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_3 = C:/vhdl/nascom2_t80/T80a.vhd +Project_File_P_3 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230943266 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 8 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_4 = C:/vhdl/nascom2_t80/dcm_in50_sim.vhd +Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231002309 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 18 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_5 = C:/vhdl/nascom2_t80/syncgen.vhd +Project_File_P_5 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230988654 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 16 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_6 = C:/vhdl/nascom2_t80/T80s.vhd +Project_File_P_6 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230943564 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 9 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_7 = C:/vhdl/nascom2_t80/videogen.vhd +Project_File_P_7 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231006634 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 15 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_8 = C:/vhdl/nascom2_t80/T80_Pack.vhd +Project_File_P_8 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230941826 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 5 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_9 = C:/vhdl/nascom2_t80/T80_MCode.vhd +Project_File_P_9 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230941915 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 4 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_10 = C:/vhdl/nascom2_t80/T80_ALU.vhd +Project_File_P_10 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230941923 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 3 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_11 = C:/vhdl/bmp_bench/sim_bmppack.vhd +Project_File_P_11 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231008643 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 19 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_12 = C:/vhdl/nascom2_t80/coregen/charrom.vhd +Project_File_P_12 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230983803 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 17 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_13 = C:/vhdl/nascom2_t80/coregen/ram2kx8.vhd +Project_File_P_13 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230997369 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 11 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_14 = C:/vhdl/nascom2_t80/video.vhd +Project_File_P_14 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230995258 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 14 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_15 = C:/vhdl/nascom2_t80/toplevel_tb.vhd +Project_File_P_15 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231010153 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 0 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_16 = C:/vhdl/nascom2_t80/coregen/monitorrom.vhd +Project_File_P_16 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231414214 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 12 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_17 = C:/vhdl/nascom2_t80/T80_Reg.vhd +Project_File_P_17 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230941873 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 6 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_18 = C:/vhdl/nascom2_t80/memory.vhd +Project_File_P_18 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231511876 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 1 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_File_19 = C:/vhdl/nascom2_t80/T80_RegX.vhd +Project_File_P_19 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1230977546 vhdl_disableopt 0 vhdl_vital 0 vhdl_vopt 0 vhdl_warn1 1 vhdl_explicit 1 vhdl_warn2 1 vhdl_showsource 0 vhdl_warn3 1 vhdl_0InOptions {} vhdl_warn4 1 vhdl_options {} vhdl_warn5 1 ood 0 compile_to work compile_order 7 cover_nosub 0 dont_compile 0 vhdl_use93 93 +Project_File_20 = C:/vhdl/nascom2_t80/keyboard.vhd +Project_File_P_20 = vhdl_novitalcheck 0 file_type vhdl group_id 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder {Top Level} last_compile 1231514379 vhdl_disableopt 0 vhdl_vital 0 vhdl_warn1 1 vhdl_vopt 0 vhdl_showsource 0 vhdl_warn2 1 vhdl_explicit 1 vhdl_0InOptions {} vhdl_warn3 1 vhdl_options {} vhdl_warn4 1 ood 0 vhdl_warn5 1 compile_to work compile_order 20 dont_compile 0 cover_nosub 0 vhdl_use93 93 +Project_Sim_Count = 0 +Project_Folder_Count = 0 +Echo_Compile_Output = 0 +Save_Compile_Report = 1 +Project_Opt_Count = 0 +ForceSoftPaths = 0 +ReOpenSourceFiles = 1 +VERILOG_DoubleClick = Edit +VERILOG_CustomDoubleClick = +VHDL_DoubleClick = Edit +VHDL_CustomDoubleClick = +PSL_DoubleClick = Edit +PSL_CustomDoubleClick = +TEXT_DoubleClick = Edit +TEXT_CustomDoubleClick = +SYSTEMC_DoubleClick = Edit +SYSTEMC_CustomDoubleClick = +TCL_DoubleClick = Edit +TCL_CustomDoubleClick = +MACRO_DoubleClick = Edit +MACRO_CustomDoubleClick = +VCD_DoubleClick = Edit +VCD_CustomDoubleClick = +SDF_DoubleClick = Edit +SDF_CustomDoubleClick = +XML_DoubleClick = Edit +XML_CustomDoubleClick = +LOGFILE_DoubleClick = Edit +LOGFILE_CustomDoubleClick = +EditorState = {tabbed horizontal 1} +Project_Major_Version = 6 +Project_Minor_Version = 2 diff --git a/nascom2_vhdl.prj b/nascom2_vhdl.prj new file mode 100755 index 0000000..17fa49d --- /dev/null +++ b/nascom2_vhdl.prj @@ -0,0 +1,23 @@ +vhdl work "C:\vhdl\nascom2_t80\memory.vhd" +vhdl work "C:\vhdl\nascom2_t80\coregen\charrom.vhd" +vhdl work "C:\vhdl\nascom2_t80\coregen\monitorrom.vhd" +vhdl work "C:\vhdl\nascom2_t80\coregen\basic_rom.vhd" +vhdl work "C:\vhdl\nascom2_t80\coregen\ram2kx8.vhd" +vhdl work "C:\vhdl\nascom2_t80\coregen\dcm_in50.vhd" +vhdl work "C:\vhdl\nascom2_t80\toplevel.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80a.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80_ALU.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80_MCode.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80_RegX.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80_Pack.vhd" +vhdl work "C:\vhdl\nascom2_t80\T80se.vhd" +vhdl work "C:\vhdl\nascom2_t80\video.vhd" +vhdl work "C:\vhdl\nascom2_t80\videogen.vhd" +vhdl work "C:\vhdl\nascom2_t80\syncgen.vhd" +vhdl work "C:\vhdl\nascom2_t80\keyboard.vhd" +vhdl work "C:\vhdl\nascom2_t80\uart.vhd" +vhdl work "C:\vhdl\nascom2_t80\kcpsm3.vhd" +vhdl work "C:\vhdl\nascom2_t80\uartprog.vhd" +vhdl work "C:\vhdl\nascom2_t80\fifo16x8.vhd" +vhdl work "C:\vhdl\nascom2_t80\spi.vhd" diff --git a/spi.vhd b/spi.vhd new file mode 100755 index 0000000..029dff9 --- /dev/null +++ b/spi.vhd @@ -0,0 +1,99 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:52:22 12/30/2008 +-- Design Name: +-- Module Name: toplevel - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity spi is + + port ( + -- SPI + MISO : in std_logic; + MOSI, SCK : out std_logic; + -- System bus + DATA_I : in std_logic_vector(7 downto 0); + DATA_O : out std_logic_vector(7 downto 0); + START : in std_logic; + BUSY : out std_logic; + CLK : in std_logic); + +end spi; + +architecture Behavioral of spi is +type states is (ST_IDLE, ST_TRANSMIT); +signal state : states := ST_IDLE; + +signal dout_r, din_r : std_logic_vector(7 downto 0) := X"00"; +signal count : unsigned(2 downto 0) := to_unsigned(0, 3); +signal spicycle : unsigned(1 downto 0) := to_unsigned(0, 2); + +attribute iob : string; +attribute iob of MOSI, SCK : signal is "true"; +begin -- Behavioral + + fsm: process (CLK) + variable next_state : states; + begin + if rising_edge(CLK) then + next_state := state; + case state is + when ST_IDLE => + BUSY <= '0'; + SCK <= '0'; + if START = '1' then + dout_r <= DATA_I; + spicycle <= to_unsigned(0, spicycle'length); + count <= to_unsigned(0, count'length); + next_state := ST_TRANSMIT; + end if; + when ST_TRANSMIT => + BUSY <= '1'; + if spicycle = 0 then -- data out + MOSI <= dout_r(7); + dout_r <= dout_r(6 downto 0) & '0'; + elsif spicycle = 1 then -- clock up + SCK <= '1'; + elsif spicycle = 2 then -- nop + elsif spicycle = 3 then -- clock down, sample data + SCK <= '0'; + din_r(0) <= MISO; + din_r(7 downto 1) <= din_r(6 downto 0); + if count = 7 then + next_state := ST_IDLE; + else + count <= count + 1; + end if; + end if; + spicycle <= spicycle + 1; + when others => null; + end case; + state <= next_state; + end if; + end process; + + DATA_O <= din_r; + +end Behavioral; diff --git a/syncgen.vhd b/syncgen.vhd new file mode 100755 index 0000000..b3d778b --- /dev/null +++ b/syncgen.vhd @@ -0,0 +1,87 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 10:37:34 12/08/2008 +-- Design Name: +-- Module Name: syncgen - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity syncgen is + Port ( CLKPIXEL : in STD_LOGIC; + VSYNC : out STD_LOGIC; + HSYNC : out STD_LOGIC; + COLUMN : out STD_LOGIC_VECTOR (9 downto 0); + ROW : out STD_LOGIC_VECTOR (9 downto 0)); +end syncgen; + +architecture Behavioral of syncgen is +signal hsync_i, hsync_i_old: std_logic := '1'; +signal vsync_i: std_logic := '1'; +signal row_i: std_logic_vector(9 downto 0) := "0000000000"; +signal column_i: std_logic_vector(9 downto 0) := "0000000000"; +begin + hsync_p: process(CLKPIXEL) + begin + if rising_edge(CLKPIXEL) then + if column_i = 799 then + column_i <= "0000000000"; + else + column_i <= column_i + 1; + end if; + + if column_i >= 658 and column_i <= 753 then -- generate hsync pulse (one clock early, it is delayed later) + hsync_i <= '0'; -- hsync is low active + else + hsync_i <= '1'; + end if; + + HSYNC <= hsync_i; -- delay hsync 1 clock + end if; + end process; + + vsync_p: process(CLKPIXEL) + begin + if rising_edge(CLKPIXEL) then + if (hsync_i = '0') and (hsync_i_old = '1') then + if row_i = 524 then + row_i <= "0000000000"; + else + row_i <= row_i + 1; + end if; + + if row_i = 493 then -- generate vsync pulse + vsync_i <= '0'; -- vsync is low active + else + vsync_i <= '1'; + end if; + end if; + hsync_i_old <= hsync_i; + end if; + end process; + +COLUMN <= column_i; +ROW <= row_i; +VSYNC <= vsync_i; +end Behavioral; + diff --git a/test.coe b/test.coe new file mode 100755 index 0000000..3765494 --- /dev/null +++ b/test.coe @@ -0,0 +1,9 @@ +memory_initialization_radix = 16; +memory_initialization_vector = +31, 00, 10, +dd, 21, ad, de, +fd, 21, ef, be, +dd, e5, +fd, e3, +dd, e1, +76; \ No newline at end of file diff --git a/textgen.vhd b/textgen.vhd new file mode 100755 index 0000000..2f86d6e --- /dev/null +++ b/textgen.vhd @@ -0,0 +1,104 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:50:00 12/08/2008 +-- Design Name: +-- Module Name: textgen - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity textgen is + Port ( OE : in STD_LOGIC; + CHRX : in STD_LOGIC_VECTOR (2 downto 0); + CHRY : in STD_LOGIC_VECTOR (3 downto 0); + SCRADR : in STD_LOGIC_VECTOR (11 downto 0); + RED : out STD_LOGIC_VECTOR (3 downto 0); + GREEN : out STD_LOGIC_VECTOR (3 downto 0); + BLUE : out STD_LOGIC_VECTOR (3 downto 0); + CLK : in STD_LOGIC); +end textgen; + +architecture Behavioral of textgen is + +component charrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(11 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END component; + +component textram IS + port ( + clka: IN std_logic; + dina: IN std_logic_VECTOR(7 downto 0); + addra: IN std_logic_VECTOR(11 downto 0); + wea: IN std_logic_VECTOR(0 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END component; +signal out_i, oe_i: std_logic := '0'; +signal charrom_adr, textram_adr: std_logic_vector(11 downto 0); +signal charrom_data, textram_data: std_logic_vector(7 downto 0); +signal oe_d1, oe_d2, oe_d3: std_logic := '0'; +begin + textram_adr <= SCRADR; + + textram_inst: textram port map( clka => CLK, + dina => "00000000", + addra => textram_adr, + wea => "0", + douta => textram_data); + + charrom_adr(11 downto 4) <= textram_data; + charrom_adr(3 downto 0) <= CHRY; + + charrom_inst: charrom port map( clka => CLK, + addra => charrom_adr, + douta => charrom_data); + + + process (CLK) + variable bitmap: std_logic_vector(7 downto 0) := "00000000"; + begin + if rising_edge(CLK) then + if CHRX = 3 then + bitmap := charrom_data; + end if; + out_i <= bitmap((conv_integer(2 - CHRX))); + end if; + end process; + +oe_delay: process (CLK) + begin + if rising_edge(CLK) then + oe_d1 <= OE; + oe_d2 <= oe_d1; + oe_d3 <= oe_d2; + oe_i <= oe_d3; + end if; + end process; + +RED <= (others => out_i) when oe_i = '1' else "0000"; +GREEN <= (others => out_i) when oe_i = '1' else "0000"; +BLUE <= (others => out_i) when oe_i = '1' else "0000"; +end Behavioral; + diff --git a/toplevel.vhd b/toplevel.vhd new file mode 100755 index 0000000..5ef01a0 --- /dev/null +++ b/toplevel.vhd @@ -0,0 +1,318 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:52:22 12/30/2008 +-- Design Name: +-- Module Name: toplevel - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +use work.T80_Pack.all; + +entity toplevel is + Port ( + -- Clock (50 MHz) + CLKIN_50M : in STD_LOGIC; + -- VGA out + RED, GREEN, BLUE : out STD_LOGIC_VECTOR(3 downto 0); + HSYNC, VSYNC : out STD_LOGIC; + -- PS2 Keyboard + PS2_CLK1, PS2_DATA1 : inout STD_LOGIC; + -- LEDs + LED : out STD_LOGIC_VECTOR(7 downto 0); + -- Buttons + BTN_NORTH : in STD_LOGIC; + BTN_SOUTH : in STD_LOGIC; + BTN_EAST : in STD_LOGIC; + BTN_WEST : in STD_LOGIC; + ROT_CENTER : in STD_LOGIC; + -- Switches - 3=Enable IORQ breakpoint, 2,1,0=N/A + SW : in STD_LOGIC_VECTOR(3 downto 0); + -- SPI for Atmel Dataflash + SPI_MISO : in std_logic; + SPI_MOSI : out std_logic; + SPI_SCK : out std_logic; + SPI_SS_B : out std_logic := '1'; + DATAFLASH_WP : out std_logic; + DATAFLASH_RST : out std_logic; + -- LCD interface + LCD_DB : inout std_logic_vector(7 downto 0); + LCD_E, LCD_RS, LCD_RW : out std_logic + ); +end toplevel; + +architecture Behavioral of toplevel is + component dcm_in50 + port ( + CLKIN_IN : in std_logic; + RST_IN : in std_logic; + CLKFX_OUT : out std_logic; + CLKIN_IBUFG_OUT : out std_logic; + CLK0_OUT : out std_logic; + LOCKED_OUT : out std_logic); + end component; + +component memory + port ( + DATA_I : in STD_LOGIC_VECTOR (7 downto 0); + DATA_O : out STD_LOGIC_VECTOR (7 downto 0); + ADDR_I : in STD_LOGIC_VECTOR (15 downto 0); + RD_N : in STD_LOGIC; + WR_N : in STD_LOGIC; + MREQ_N : in STD_LOGIC; + CLK : in STD_LOGIC; + CLKEN : in STD_LOGIC; + VID_DATA_O : out STD_LOGIC_VECTOR(7 downto 0); + VID_ADDR_I : in STD_LOGIC_VECTOR(9 downto 0); + VID_CLK : in STD_LOGIC); + end component; + +component T80se + generic ( + Mode : integer := 0; + T2Write : integer := 0; + IOWait : integer := 0); + port ( + RESET_n : in std_logic; + CLK_n : in std_logic; + CLKEN : in std_logic; + WAIT_n : in std_logic; + INT_n : in std_logic; + NMI_n : in std_logic; + BUSRQ_n : in std_logic; + M1_n : out std_logic; + MREQ_n : out std_logic; + IORQ_n : out std_logic; + RD_n : out std_logic; + WR_n : out std_logic; + RFSH_n : out std_logic; + HALT_n : out std_logic; + BUSAK_n : out std_logic; + A : out std_logic_vector(15 downto 0); + DI : in std_logic_vector(7 downto 0); + DO : out std_logic_vector(7 downto 0)); +end component; + +component video + port ( + CLK : in std_logic; + DATA_I : in std_logic_vector(7 downto 0); + ADDR_O : out std_logic_vector(9 downto 0); + RED, GREEN, BLUE : out std_logic_vector(3 downto 0); + VSYNC, HSYNC : out std_logic); +end component; + +component keyboard + port ( + PS2_CLK : inout std_logic; + PS2_DATA : inout std_logic; + CLK_16M : in std_logic; + IORQ_n : in std_logic; + RD_n, WR_n : in std_logic; + DATA_I : in std_logic_vector(7 downto 0); + DATA_O : out std_logic_vector(7 downto 0); + DEBUG : out std_logic_vector(7 downto 0)); +end component; + +component uart + port ( + CLK_16M : in std_logic; + DATA_I : in std_logic_vector(7 downto 0); + ADDR_I : in std_logic_vector(1 downto 0); + IORQ_n, RD_n, WR_n : in std_logic; + DATA_O : out std_logic_vector(7 downto 0); + -- SPI for Atmel Dataflash + SPI_MISO : in std_logic; + SPI_MOSI : out std_logic; + SPI_SCK : out std_logic; + SPI_SS_B : out std_logic := '1'; + DATAFLASH_WP : out std_logic; + DATAFLASH_RST : out std_logic; + -- LCD interface + LCD_DB : inout std_logic_vector(7 downto 0); + LCD_E, LCD_RS, LCD_RW : out std_logic; + -- Buttons + BTN_NORTH : in STD_LOGIC; + BTN_SOUTH : in STD_LOGIC; + BTN_EAST : in STD_LOGIC; + BTN_WEST : in STD_LOGIC; + ROT_CENTER : in STD_LOGIC); +end component; + +signal CLK_16M, CLK_25M : std_logic; + +signal z80cpu_addr: std_logic_vector(15 downto 0); +signal z80cpu_datao, z80cpu_datai, memory_datao: std_logic_vector(7 downto 0); +signal z80cpu_mreq_n, z80cpu_iorq_n, z80cpu_wr_n, z80cpu_rd_n, + z80cpu_clken, z80cpu_halt_n, z80cpu_busak_n, z80cpu_m1_n, + z80cpu_rfsh_n, z80cpu_reset_n: std_logic; + +signal video_datai : std_logic_vector(7 downto 0); +signal video_addro : std_logic_vector(9 downto 0); + +signal red_out, blue_out, green_out : std_logic_vector(3 downto 0); +signal hsync_out, vsync_out : std_logic; + +signal keyb_datao : std_logic_vector(7 downto 0); +signal keyb_iorq_n : std_logic; + +signal uart_datao : std_logic_vector(7 downto 0); +signal uart_iorq_n : std_logic; + +-- shift register for z80 CLKEN +-- signal z80cpu_clken_gen : std_logic_vector(1 downto 0) := "01"; -- = 8 MHz +-- signal z80cpu_clken_gen : std_logic_vector(3 downto 0) := "0001"; -- = 4 MHz + signal z80cpu_clken_gen : std_logic_vector(7 downto 0) := "00000001"; -- = 2 MHz +-- signal z80cpu_clken_gen : std_logic_vector(255 downto 0) := X"0000000000000000000000000000000000000000000000000000000000000001"; -- = 62.5 KHz +signal stop : std_logic := '0'; +begin +dcm_in50_inst: dcm_in50 + port map ( + CLKIN_IN => CLKIN_50M, + RST_IN => '0', + CLKFX_OUT => CLK_16M, + CLKIN_IBUFG_OUT => open, + CLK0_OUT => CLK_25M, + LOCKED_OUT => open); + +clken: process(CLK_16M) + begin + if rising_edge(CLK_16M) then + z80cpu_clken_gen <= z80cpu_clken_gen((z80cpu_clken_gen'left-1) downto 0) + & z80cpu_clken_gen(z80cpu_clken_gen'left); + if BTN_NORTH = '1' then + stop <= '0'; + elsif (z80cpu_iorq_n = '0') and (SW(3) = '1') then + stop <= '1'; + end if; + end if; + end process; + +z80cpu_clken <= '1' when (stop = '0') and (z80cpu_clken_gen(z80cpu_clken_gen'left) = '1') else + '0'; + +z80cpu_reset_n <= '0' when (BTN_SOUTH = '1') else + '1'; + +z80cpu_datai <= memory_datao when z80cpu_mreq_n = '0' else + keyb_datao when (z80cpu_iorq_n = '0') and (z80cpu_addr(2 downto 0) = "000") else + uart_datao when (z80cpu_iorq_n = '0') and (z80cpu_addr(2 downto 0) = "001") else + uart_datao when (z80cpu_iorq_n = '0') and (z80cpu_addr(2 downto 0) = "010") else + "XXXXXXXX"; + +z80cpu_inst : T80se port map ( + RESET_n => z80cpu_reset_n, + CLK_n => CLK_16M, + CLKEN => z80cpu_clken, + WAIT_n => '1', + INT_n => '1', + NMI_n => '1', + BUSRQ_n => '1', + M1_n => z80cpu_m1_n, + MREQ_n => z80cpu_mreq_n, + IORQ_n => z80cpu_iorq_n, + RD_n => z80cpu_rd_n, + WR_n => z80cpu_wr_n, + RFSH_n => z80cpu_rfsh_n, + HALT_n => z80cpu_halt_n, + BUSAK_n => z80cpu_busak_n, + A => z80cpu_addr, + DI => z80cpu_datai, + DO => z80cpu_datao); + + +--LED <= z80cpu_iorq_n & z80cpu_rd_n & z80cpu_wr_n & z80cpu_addr(1) & "0000"; + +memory_inst: memory port map( DATA_I => z80cpu_datao, + DATA_O => memory_datao, + ADDR_I => z80cpu_addr, + RD_N => z80cpu_rd_n, + WR_N => z80cpu_wr_n, + MREQ_N => z80cpu_mreq_n, + CLK => CLK_16M, + CLKEN => z80cpu_clken, + VID_DATA_O => video_datai, + VID_ADDR_I => video_addro, + VID_CLK => CLK_25M); + + +keyb_iorq_n <= '0' when (z80cpu_iorq_n = '0') and (z80cpu_addr(2 downto 0) = "000") else + '1'; + +keyboard_inst : keyboard port map ( + PS2_CLK => PS2_CLK1, + PS2_DATA => PS2_DATA1, + CLK_16M => CLK_16M, + IORQ_n => keyb_iorq_n, + RD_n => z80cpu_rd_n, + WR_n => z80cpu_wr_n, + DATA_I => z80cpu_datao, + DATA_O => keyb_datao, + DEBUG => LED); + +uart_iorq_n <= '0' when (z80cpu_iorq_n = '0') and ((z80cpu_addr(2 downto 0) = "001") or + (z80cpu_addr(2 downto 0) = "010")) else + '1'; + +uart_inst : uart port map ( + CLK_16M => CLK_16M, + DATA_I => z80cpu_datao, + ADDR_I => z80cpu_addr(1 downto 0), + RD_n => z80cpu_rd_n, + WR_n => z80cpu_wr_n, + IORQ_n => uart_iorq_n, + DATA_O => uart_datao, + SPI_MISO => SPI_MISO, + SPI_MOSI => SPI_MOSI, + SPI_SCK => SPI_SCK, + SPI_SS_B => SPI_SS_B, + DATAFLASH_WP => DATAFLASH_WP, + DATAFLASH_RST => DATAFLASH_RST, + LCD_DB => LCD_DB, + LCD_E => LCD_E, + LCD_RS => LCD_RS, + LCD_RW => LCD_RW, + BTN_NORTH => BTN_NORTH, + BTN_EAST => BTN_EAST, + BTN_SOUTH => BTN_SOUTH, + BTN_WEST => BTN_WEST, + ROT_CENTER => ROT_CENTER + ); + +video_inst : video port map ( + CLK => CLK_25M, + DATA_I => video_datai, + ADDR_O => video_addro, + RED => red_out, + GREEN => green_out, + BLUE => blue_out, + VSYNC => vsync_out, + HSYNC => hsync_out); + +RED <= red_out; +GREEN <= green_out; +BLUE <= blue_out; +HSYNC <= hsync_out; +VSYNC <= vsync_out; +end Behavioral; + diff --git a/toplevel_tb.vhd b/toplevel_tb.vhd new file mode 100755 index 0000000..bd3b6fe --- /dev/null +++ b/toplevel_tb.vhd @@ -0,0 +1,155 @@ +------------------------------------------------------------------------------- +-- Title : Testbench for design "toplevel" +-- Project : +------------------------------------------------------------------------------- +-- File : toplevel_tb.vhd +-- Author : U-MATTHIAS-THINKP\Matthias +-- Company : +-- Created : 2009-01-03 +-- Last update: 2009-01-03 +-- Platform : +-- Standard : VHDL'87 +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2009 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2009-01-03 1.0 Matthias Created +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; + +use work.sim_bmppack.all; + +------------------------------------------------------------------------------- + +entity toplevel_tb is + +end toplevel_tb; + +------------------------------------------------------------------------------- + +architecture tb of toplevel_tb is + + component toplevel + port ( + -- Clock (50 MHz) + CLKIN_50M : in STD_LOGIC; + -- NASBUS + ADDR : out STD_LOGIC_VECTOR (15 downto 0); + DATA : inout STD_LOGIC_VECTOR (7 downto 0); + M1_n : out STD_LOGIC; + MREQ_n : out STD_LOGIC; + IORQ_n : out STD_LOGIC; + WR_n : out STD_LOGIC; + RD_n : out STD_LOGIC; + RFSH_n : out STD_LOGIC; + HALT_n : out STD_LOGIC; + BUSAK_n : out STD_LOGIC; + RESET_n : in STD_LOGIC; + WAIT_n : in STD_LOGIC; + INT_n : in STD_LOGIC; + NMI_n : in STD_LOGIC; + BUSRQ_n : in STD_LOGIC; + -- VGA out + RED, GREEN, BLUE : out STD_LOGIC_VECTOR(3 downto 0); + HSYNC, VSYNC : out STD_LOGIC + ); + end component; + + -- component ports + signal CLKIN_50M : STD_LOGIC := '0'; + signal ADDR : STD_LOGIC_VECTOR (15 downto 0); + signal DATA : STD_LOGIC_VECTOR (7 downto 0); + signal M1_n : STD_LOGIC; + signal MREQ_n : STD_LOGIC; + signal IORQ_n : STD_LOGIC; + signal WR_n : STD_LOGIC; + signal RD_n : STD_LOGIC; + signal RFSH_n : STD_LOGIC; + signal HALT_n : STD_LOGIC; + signal BUSAK_n : STD_LOGIC; + signal RESET_n : STD_LOGIC := '0'; + signal WAIT_n : STD_LOGIC := '1'; + signal INT_n : STD_LOGIC := '1'; + signal NMI_n : STD_LOGIC := '1'; + signal BUSRQ_n : STD_LOGIC := '1'; + signal RED, GREEN, BLUE : std_logic_vector(3 downto 0); + signal VSYNC, HSYNC : std_logic; + +begin -- tb + + -- component instantiation + DUT: toplevel + port map ( + CLKIN_50M => CLKIN_50M, + ADDR => ADDR, + DATA => DATA, + M1_n => M1_n, + MREQ_n => MREQ_n, + IORQ_n => IORQ_n, + WR_n => WR_n, + RD_n => RD_n, + RFSH_n => RFSH_n, + HALT_n => HALT_n, + BUSAK_n => BUSAK_n, + RESET_n => RESET_n, + WAIT_n => WAIT_n, + INT_n => INT_n, + NMI_n => NMI_n, + BUSRQ_n => BUSRQ_n, + RED => RED, + GREEN => GREEN, + BLUE => BLUE, + VSYNC => VSYNC, + HSYNC => HSYNC + ); + + -- clock generation + CLKIN_50M <= not CLKIN_50M after 10 ns; + + -- waveform generation + WaveGen_Proc: process + begin + -- insert signal assignments here + wait for 100 ns; + RESET_n <= '1'; + wait; + end process WaveGen_Proc; + + VGARead: process + variable i: integer := 0; + variable pixeldata : std_logic_vector(23 downto 0); + begin + ReadFile("vga.bmp"); + wait until CLKIN_50M = '1'; -- wait for uut to stat + wait for 260 ns; -- wait for vga frame to start (depends + -- on latency of UUT) + while true loop + for y in 479 downto 0 loop + for x in 0 to 639 loop + pixeldata := RED & "0000" & GREEN & "0000" & BLUE & "0000"; + SetPixel(x, y, pixeldata); + wait for 40 ns; + end loop; -- x + wait for 6400 ns; + end loop; -- x + wait for 1440 us; + WriteFile("vga" & integer'image(i) & ".bmp"); + i := i + 1; + end loop; + end process; + +end tb; + +------------------------------------------------------------------------------- + +configuration toplevel_tb_tb_cfg of toplevel_tb is + for tb + end for; +end toplevel_tb_tb_cfg; + +------------------------------------------------------------------------------- diff --git a/uart.vhd b/uart.vhd new file mode 100755 index 0000000..10eda40 --- /dev/null +++ b/uart.vhd @@ -0,0 +1,259 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 15:52:22 12/30/2008 +-- Design Name: +-- Module Name: toplevel - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; +use IEEE.NUMERIC_STD.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +library UNISIM; +use UNISIM.VComponents.all; + +entity uart is + + port ( + CLK_16M : in std_logic; + DATA_I : in std_logic_vector(7 downto 0); + ADDR_I : in std_logic_vector(1 downto 0); + IORQ_n, RD_n, WR_n : in std_logic; + DATA_O : out std_logic_vector(7 downto 0); + -- SPI for Atmel Dataflash + SPI_MISO : in std_logic; + SPI_MOSI : out std_logic; + SPI_SCK : out std_logic; + SPI_SS_B : out std_logic := '1'; + DATAFLASH_WP : out std_logic; + DATAFLASH_RST : out std_logic; + -- LCD interface + LCD_DB : inout std_logic_vector(7 downto 0); + LCD_E, LCD_RS, LCD_RW : out std_logic; + -- Buttons + BTN_NORTH : in STD_LOGIC; + BTN_SOUTH : in STD_LOGIC; + BTN_EAST : in STD_LOGIC; + BTN_WEST : in STD_LOGIC; + ROT_CENTER : in STD_LOGIC); + +end uart; + +architecture Behavioral of uart is + +component kcpsm3 + port ( + address : out std_logic_vector(9 downto 0); + instruction : in std_logic_vector(17 downto 0); + port_id : out std_logic_vector(7 downto 0); + write_strobe : out std_logic; + out_port : out std_logic_vector(7 downto 0); + read_strobe : out std_logic; + in_port : in std_logic_vector(7 downto 0); + interrupt : in std_logic; + interrupt_ack : out std_logic; + reset : in std_logic; + clk : in std_logic); + end component; + +component uartprog + port ( + address : in std_logic_vector(9 downto 0); + instruction : out std_logic_vector(17 downto 0); + clk : in std_logic); + end component; + +component fifo16x8 + port ( + DATAIN : in STD_LOGIC_VECTOR (7 downto 0); + WRITESTB : in STD_LOGIC; + DATAOUT : out STD_LOGIC_VECTOR (7 downto 0); + READSTB : in STD_LOGIC; + CLK : in STD_LOGIC; + FULL : out STD_LOGIC; + EMPTY : out STD_LOGIC); +end component; + +component spi + port ( + MISO : in std_logic; + MOSI, SCK : out std_logic; + DATA_I : in std_logic_vector(7 downto 0); + DATA_O : out std_logic_vector(7 downto 0); + START : in std_logic; + BUSY : out std_logic; + CLK : in std_logic); +end component; + +signal receive_r, transmit_r : std_logic_vector(7 downto 0); +signal drt_r, drr_r : std_logic := '0'; + +signal kcpsm3_addr : std_logic_vector(9 downto 0); +signal kcpsm3_instr : std_logic_vector(17 downto 0); +signal kcpsm3_portid, kcpsm3_outport, kcpsm3_inport : std_logic_vector(7 downto 0); +signal kcpsm3_wrstb, kcpsm3_rdstb : std_logic; + +attribute iob : string; +signal lcdctrl_r : std_logic_vector(2 downto 0) := "000"; +signal lcdout_r : std_logic_vector(7 downto 0) := X"00"; +attribute iob of lcdout_r : signal is "true"; +attribute iob of lcdctrl_r : signal is "true"; + +signal spi_datao : std_logic_vector(7 downto 0); +signal spi_start, spi_busy : std_logic; + +signal iorq_old : std_logic := '0'; + +signal fifo_t_wrstb, fifo_t_rdstb, fifo_t_full, fifo_t_empty, fifo_r_wrstb, fifo_r_rdstb, fifo_r_full, fifo_r_empty : std_logic; +signal fifo_r_din, fifo_r_dout, fifo_t_din, fifo_t_dout : std_logic_vector(7 downto 0); +begin -- Behavioral + + kcpsm3_inst : kcpsm3 port map ( + address => kcpsm3_addr, + instruction => kcpsm3_instr, + port_id => kcpsm3_portid, + write_strobe => kcpsm3_wrstb, + out_port => kcpsm3_outport, + read_strobe => kcpsm3_rdstb, + in_port => kcpsm3_inport, + interrupt => '0', + interrupt_ack => open, + reset => '0', + clk => CLK_16M); + + prog_inst : uartprog port map ( + address => kcpsm3_addr, + instruction => kcpsm3_instr, + clk => CLK_16M); + + spi_inst : spi port map ( + MISO => SPI_MISO, + MOSI => SPI_MOSI, + SCK => SPI_SCK, + DATA_I => kcpsm3_outport, + DATA_O => spi_datao, + START => spi_start, + BUSY => spi_busy, + CLK => CLK_16M); + + spi_start <= '1' when (kcpsm3_wrstb = '1') and (kcpsm3_portid = X"02") else + '0'; + + spi_iface: process (CLK_16M) + begin -- process spi_iface + if rising_edge(CLK_16M) then + if (kcpsm3_wrstb = '1') then + if (kcpsm3_portid = X"03") then + SPI_SS_B <= kcpsm3_outport(0); + end if; + end if; + end if; + end process; + + lcd_iface: process (CLK_16M) + begin -- process lcd_iface + if rising_edge(CLK_16M) then + if (kcpsm3_wrstb = '1') then + if (kcpsm3_portid = X"04") then + lcdctrl_r <= kcpsm3_outport(2 downto 0); + elsif kcpsm3_portid = X"05" then + lcdout_r <= kcpsm3_outport; + end if; + end if; + end if; + end process lcd_iface; + +kcpsm3_inport <= fifo_t_dout when kcpsm3_portid = X"00" else + "000000" & fifo_t_empty & fifo_r_full when kcpsm3_portid = X"01" else + spi_datao when kcpsm3_portid = X"02" else + "0000000" & spi_busy when kcpsm3_portid = X"03" else + LCD_DB when kcpsm3_portid = X"05" else + "00000" & lcdctrl_r when kcpsm3_portid = X"04" else + "000" & ROT_CENTER & BTN_NORTH & BTN_EAST & BTN_SOUTH & BTN_WEST when kcpsm3_portid = X"06" else + "XXXXXXXX"; + + process (CLK_16M) + begin + if rising_edge(CLK_16M) then + fifo_r_wrstb <= '0'; + fifo_t_rdstb <= '0'; + if (kcpsm3_wrstb = '1') then + if (kcpsm3_portid = X"00") then + fifo_r_din <= kcpsm3_outport; + fifo_r_wrstb <= '1'; + end if; + elsif (kcpsm3_rdstb = '1') then + if (kcpsm3_portid = X"00") then + fifo_t_rdstb <= '1'; + end if; + end if; + end if; + end process; + + fifo16x8_inst_transmit : fifo16x8 port map ( + DATAIN => fifo_t_din, + WRITESTB => fifo_t_wrstb, + DATAOUT => fifo_t_dout, + READSTB => fifo_t_rdstb, + CLK => CLK_16M, + FULL => fifo_t_full, + EMPTY => fifo_t_empty); + + fifo16x8_inst_receive : fifo16x8 port map ( + DATAIN => fifo_r_din, + WRITESTB => fifo_r_wrstb, + DATAOUT => fifo_r_dout, + READSTB => fifo_r_rdstb, + CLK => CLK_16M, + FULL => fifo_r_full, + EMPTY => fifo_r_empty); + + z80bus: process (CLK_16M) + begin + if rising_edge(CLK_16M) then + fifo_r_rdstb <= '0'; + fifo_t_wrstb <= '0'; + if (iorq_old = '1') and (IORQ_n = '0') then + if ADDR_I = "01" then + if RD_n = '0' then -- read receiver register + DATA_O <= fifo_r_dout; + fifo_r_rdstb <= '1'; + elsif WR_n = '0' then -- transmitter buffer load + fifo_t_din <= DATA_I; + fifo_t_wrstb <= '1'; + end if; + elsif ADDR_I = "10" then + if RD_n = '0' then -- read status flags + DATA_O <= not fifo_r_empty & not fifo_t_full & "XX000X"; -- DR, TBRE, x, x, FE, PE, OE, x + end if; + end if; + end if; + iorq_old <= IORQ_n; + end if; + end process; + + LCD_DB <= lcdout_r when lcdctrl_r(2) = '0' else + "ZZZZZZZZ"; + LCD_E <= lcdctrl_r(0); + LCD_RS <= lcdctrl_r(1); + LCD_RW <= lcdctrl_r(2); + + DATAFLASH_RST <= '1'; + DATAFLASH_WP <= '1'; + +end Behavioral; diff --git a/uart_prog.psm b/uart_prog.psm new file mode 100755 index 0000000..94194eb --- /dev/null +++ b/uart_prog.psm @@ -0,0 +1,2 @@ +done: +JUMP done \ No newline at end of file diff --git a/uartprog.psm b/uartprog.psm new file mode 100755 index 0000000..4d29e84 --- /dev/null +++ b/uartprog.psm @@ -0,0 +1,445 @@ +CONSTANT UART_DATA, 00 +CONSTANT UART_CTRL, 01 +CONSTANT LCD_DATA, 05 +CONSTANT LCD_CTRL, 04 +CONSTANT SPI_DATA, 02 +CONSTANT SPI_CTRL, 03 +CONSTANT KEYS, 06 + +CONSTANT KEY_CENTER, 10 +CONSTANT KEY_NORTH, 08 +CONSTANT KEY_EAST, 04 +CONSTANT KEY_SOUTH, 02 +CONSTANT KEY_WEST, 01 + +NAMEREG sF, PAGE_LSB +NAMEREG sE, PAGE_MSB +NAMEREG sD, MODE + +; wait 10 ms (6*256*256) +LOAD s0, 06 +loop0: +LOAD s1, FF +loop1: +LOAD s2, FF +loop2: +SUB s2, 01 +JUMP NZ, loop2 +SUB s1, 01 +JUMP NZ, loop1 +SUB s0, 01 +JUMP NZ, loop0 + +LOAD s0, 38 +CALL write_display_i +CALL wait_busy +LOAD s0, 0E +CALL write_display_i +CALL wait_busy +LOAD s0, 01 +CALL write_display_i +CALL wait_busy +LOAD s0, 06 +CALL write_display_i +CALL wait_busy +LOAD s0, 80 +CALL write_display_i +CALL wait_busy + +; clear page register +LOAD PAGE_LSB, 00 +LOAD PAGE_MSB, 00 +LOAD s0, PAGE_MSB +CALL write_hex +LOAD s0, PAGE_LSB +CALL write_hex +; clear mode register +LOAD MODE, 00 +CALL wait_busy +LOAD s0, 85 +CALL write_display_i +LOAD s0, MODE +CALL write_hex +main_loop: +INPUT s0, KEYS +AND s0, 1D +JUMP NZ, main_loop +main_loop_1: +INPUT s0, KEYS +TEST s0, KEY_EAST +JUMP NZ, east +TEST s0, KEY_WEST +JUMP NZ, west +TEST s0, KEY_NORTH +JUMP NZ, north +TEST s0, KEY_CENTER +JUMP NZ, center +JUMP main_loop_1 + +;; increment page +east: +ADD PAGE_LSB, 01 +ADDCY PAGE_MSB, 00 +COMPARE PAGE_MSB, 10 +JUMP NZ, east_write +LOAD PAGE_LSB, 00 +LOAD PAGE_MSB, 00 +east_write: +CALL wait_busy +LOAD s0, 80 +CALL write_display_i +LOAD s0, PAGE_MSB +CALL write_hex +LOAD s0, PAGE_LSB +CALL write_hex +JUMP main_loop + +;; decrement page +west: +SUB PAGE_LSB, 01 +SUBCY PAGE_MSB, 00 +COMPARE PAGE_MSB, FF +JUMP NZ, west_write +LOAD PAGE_LSB, FF +LOAD PAGE_MSB, 0F +west_write: +CALL wait_busy +LOAD s0, 80 +CALL write_display_i +LOAD s0, PAGE_MSB +CALL write_hex +LOAD s0, PAGE_LSB +CALL write_hex +JUMP main_loop + +;; toggle mode +north: +XOR MODE, 01 +CALL wait_busy +LOAD s0, 85 +CALL write_display_i +LOAD s0, MODE +CALL write_hex +JUMP main_loop + +;; enter recv/send state +center: +TEST MODE, 01 +JUMP Z, send +CALL recv_page +JUMP main_loop +send: +CALL send_page +JUMP main_loop + +; receive and write page, s0..s5 overwritten +recv_page: +CALL clear_buffer +LOAD s2, PAGE_MSB +LOAD s3, PAGE_LSB +SL0 s3 ; shift top 2 bits to s2 +SLA s2 +SL0 s3 +SLA s2 +CALL spi_busy +; recv and write data +LOAD s0, 00 +OUTPUT s0, SPI_CTRL ; Chip select low +LOAD s0, 82 ; Buffer Write +CALL do_spi ; write command +LOAD s0, s2 +CALL do_spi ; write addr +LOAD s0, s3 +CALL do_spi ; write addr +LOAD s0, 00 +CALL do_spi ; write addr +LOAD s4, 00 +LOAD s5, 00 +recv_page_loop: +ADD s5, 01 +ADDCY s4, 00 +COMPARE s4, 02 +JUMP NZ, recv_page_recv +COMPARE s5, 11 +JUMP Z, recv_page_exit +recv_page_recv: +INPUT s0, KEYS +AND s0, KEY_CENTER +JUMP Z, recv_page_end +INPUT s0, UART_CTRL +AND s0, 02 +JUMP NZ, recv_page_recv +INPUT s0, UART_DATA +CALL do_spi ; write data +JUMP recv_page_loop +recv_page_end: ; check if 528 bytes were written +COMPARE s4, 02 +JUMP NZ, recv_page_wff +COMPARE s5, 10 +JUMP Z, recv_page_exit +recv_page_wff: ; write terminating FF if bytes < 528 +LOAD s0, FF +CALL do_spi +recv_page_exit: +LOAD s0, 01 +OUTPUT s0, SPI_CTRL ; Chip select high +RETURN + + +; send page; s0..s5 overwritten +send_page: +LOAD s2, PAGE_MSB +LOAD s3, PAGE_LSB +SL0 s3 ; shift top 2 bits to s2 +SLA s2 +SL0 s3 +SLA s2 +CALL spi_busy +LOAD s0, 00 +OUTPUT s0, SPI_CTRL ; Chip select low +LOAD s0, D2 ; Main Memory Page Read +CALL do_spi ; write command +LOAD s0, s2 +CALL do_spi ; write addr +LOAD s0, s3 +CALL do_spi ; write addr +LOAD s0, 00 +CALL do_spi ; write addr +CALL do_spi ; write don't care +CALL do_spi ; write don't care +CALL do_spi ; write don't care +CALL do_spi ; write don't care +LOAD s4, 00 +LOAD s5, 00 +send_page_loop: +ADD s5, 01 +ADDCY s4, 00 +COMPARE s4, 02 +JUMP NZ, send_page_send +COMPARE s5, 11 +JUMP Z, send_page_end +send_page_send: +CALL do_spi ; read data +COMPARE s0, FF +JUMP Z, send_page_end +CALL write_data +; dump incoming data +send_page_dump: +INPUT s0, UART_CTRL +AND s0, 02 +JUMP NZ, send_page_loop +INPUT s0, UART_DATA +JUMP send_page_dump +send_page_end: +LOAD s0, 01 +OUTPUT s0, SPI_CTRL ; Chip select high +RETURN + +; data in s0; return in s0; +do_spi: +OUTPUT s0, SPI_DATA +do_spi_wait: +INPUT s0, SPI_CTRL +AND s0, 01 +JUMP NZ, do_spi_wait +INPUT s0, SPI_DATA +RETURN + +; wait for flash to become unbusy +spi_busy: +LOAD s0, 00 +OUTPUT s0, SPI_CTRL ; Chip select low +LOAD s0, D7 +CALL do_spi +spi_busy_loop: +CALL do_spi +TEST s0, 80 +JUMP Z, spi_busy_loop +LOAD s0, 01 +OUTPUT s0, SPI_CTRL ; Chip select high +RETURN + +; clear buffer 1 +clear_buffer: +CALL spi_busy +LOAD s0, 00 +OUTPUT s0, SPI_CTRL ; Chip select low +LOAD s0, 84 ; Buffer Write +CALL do_spi ; write command +LOAD s0, 00 +CALL do_spi ; write addr +LOAD s0, 00 +CALL do_spi ; write addr +LOAD s0, 00 +CALL do_spi ; write addr +LOAD s4, 00 +LOAD s5, 00 +clear_buffer_loop: +ADD s5, 01 +ADDCY s4, 00 +COMPARE s4, 02 +JUMP NZ, clear_buffer_write +COMPARE s5, 11 +JUMP Z, clear_buffer_end +clear_buffer_write: +LOAD s0, FF +CALL do_spi ; read data +JUMP clear_buffer_loop +clear_buffer_end: +LOAD s0, 01 +OUTPUT s0, SPI_CTRL ; Chip select high +RETURN + +; data in s0; s1 overwritten +write_data: +INPUT s1, UART_CTRL +AND s1, 01 +JUMP NZ, write_data +OUTPUT s0, UART_DATA +RETURN + +; return in s0 +read_data: +INPUT s0, UART_CTRL +AND s0, 02 +JUMP NZ, read_data +INPUT s0, UART_DATA +RETURN + +; data in s0; s0, s1, s2, s3 overwritten +write_hex: +LOAD s3, s0 +LOAD s2, s0 +SR0 s2 +SR0 s2 +SR0 s2 +SR0 s2 +ADD s2, 30 +COMPARE s2, 3A +JUMP C, write_hex_outh +ADD s2, 07 +write_hex_outh: +CALL wait_busy +LOAD s0, s2 +CALL write_display_d +LOAD s2, s3 +AND s2, 0F +ADD s2, 30 +COMPARE s2, 3A +JUMP C, write_hex_outl +ADD s2, 07 +write_hex_outl: +CALL wait_busy +LOAD s0, s2 +CALL write_display_d +RETURN + + +; data in s0, s0 overwritten +write_display_i: +OUTPUT s0, LCD_DATA +LOAD s0, 00 +LOAD s0, 00 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 01 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +RETURN + +; zero flag reset if busy; s0, s1 overwritten +busy_display: +LOAD s0, 04 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 05 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +INPUT s1, LCD_DATA +LOAD s0, 00 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +AND s1, 80 +RETURN + +wait_busy: +CALL busy_display +JUMP NZ, wait_busy +RETURN + +; data in s0, s0 is overwritten +write_display_d: +OUTPUT s0, LCD_DATA +LOAD s0, 00 +LOAD s0, 02 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 03 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 02 +OUTPUT s0, LCD_CTRL +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +LOAD s0, 00 +RETURN diff --git a/video.vhd b/video.vhd new file mode 100755 index 0000000..2f10dbf --- /dev/null +++ b/video.vhd @@ -0,0 +1,121 @@ +------------------------------------------------------------------------------- +-- Title : NASCOM 2 video generator for VGA monitors +-- Project : +------------------------------------------------------------------------------- +-- File : video.vhd +-- Author : U-MATTHIAS-THINKP\Matthias +-- Company : +-- Created : 2009-01-03 +-- Last update: 2009-01-03 +-- Platform : +-- Standard : VHDL'93 +------------------------------------------------------------------------------- +-- Description: +------------------------------------------------------------------------------- +-- Copyright (c) 2009 +------------------------------------------------------------------------------- +-- Revisions : +-- Date Version Author Description +-- 2009-01-03 1.0 Matthias Created +------------------------------------------------------------------------------- + +library ieee; +use ieee.std_logic_1164.all; +use ieee.std_logic_arith.all; +use ieee.std_logic_unsigned.all; + +entity video is + + port ( + CLK : in std_logic; -- Should be 25.175 MHz + DATA_I : in std_logic_vector(7 downto 0); -- Data from video ram + ADDR_O : out std_logic_vector(9 downto 0); -- Addr to video ram + RED, GREEN, BLUE : out std_logic_vector(3 downto 0); -- VGA output to DAC + VSYNC, HSYNC : out std_logic); -- VGA sync output + +end video; + +architecture Behavioral of video is + component syncgen + port ( + CLKPIXEL : in STD_LOGIC; + VSYNC : out STD_LOGIC; + HSYNC : out STD_LOGIC; + COLUMN : out STD_LOGIC_VECTOR (9 downto 0); + ROW : out STD_LOGIC_VECTOR (9 downto 0)); + end component; + + component videogen + port ( + ROW : in STD_LOGIC_VECTOR (9 downto 0); + COLUMN : in STD_LOGIC_VECTOR (9 downto 0); + CLK : in STD_LOGIC; + RED, GREEN, BLUE : out STD_LOGIC_VECTOR(3 downto 0); + VRAM_ADDR_O : out STD_LOGIC_VECTOR(9 downto 0); + VRAM_DATA_I : in STD_LOGIC_VECTOR(7 downto 0)); + end component; +attribute iob : string; -- pull flip-flop into IOB +signal vsync_int, hsync_int : std_logic := '1'; -- vga sync from syncgen +signal column, row : std_logic_vector(9 downto 0); -- screen position from syncgen +signal red_out, green_out, blue_out : std_logic_vector(3 downto 0); +signal vram_addr_out : std_logic_vector(9 downto 0); +signal vsync_d1, vsync_d2, vsync_d3, vsync_d4, hsync_d1, hsync_d2, hsync_d3, hsync_d4 : std_logic := '1'; -- delay sync signals by videogen latency + +attribute iob of VSYNC, HSYNC : signal is "TRUE"; +attribute iob of RED, GREEN, BLUE : signal is "TRUE"; +begin -- Behavioral + +syncgen_inst : syncgen port map ( + CLKPIXEL => CLK, + VSYNC => vsync_int, + HSYNC => hsync_int, + COLUMN => column, + ROW => row); + +videogen_inst : videogen port map ( + ROW => row, + COLUMN => column, + CLK => CLK, + RED => red_out, + GREEN => green_out, + BLUE => blue_out, + VRAM_ADDR_O => vram_addr_out, + VRAM_DATA_I => DATA_I); + +ADDR_O <= vram_addr_out; + +-- purpose: output register for video data +-- type : sequential +-- inputs : CLK, red_out, blue_out, green_out +-- outputs: RED, BLUE, GREEN +viddataff: process (CLK) +begin -- process viddataff + if rising_edge(CLK) then -- rising clock edge + RED <= red_out; + GREEN <= green_out; + BLUE <= blue_out; + end if; +end process viddataff; + +-- purpose: delay sync signals by 3+1 cycles +-- type : sequential +-- inputs : CLK, vsync_int, hsync_int +-- outputs: VSYNC, HSYNC +syncdelay: process (CLK) +begin -- process + if rising_edge(CLK) then + vsync_d1 <= vsync_int; + vsync_d2 <= vsync_d1; + vsync_d3 <= vsync_d2; + vsync_d4 <= vsync_d3; + VSYNC <= vsync_d4; + + hsync_d1 <= hsync_int; + hsync_d2 <= hsync_d1; + hsync_d3 <= hsync_d2; + hsync_d4 <= hsync_d3; + HSYNC <= hsync_d4; + end if; +end process; + +end Behavioral; diff --git a/videogen.vhd b/videogen.vhd new file mode 100755 index 0000000..de7e1aa --- /dev/null +++ b/videogen.vhd @@ -0,0 +1,132 @@ +---------------------------------------------------------------------------------- +-- Company: +-- Engineer: +-- +-- Create Date: 16:39:57 12/11/2008 +-- Design Name: +-- Module Name: adrgen - Behavioral +-- Project Name: +-- Target Devices: +-- Tool versions: +-- Description: +-- +-- Dependencies: +-- +-- Revision: +-- Revision 0.01 - File Created +-- Additional Comments: +-- +---------------------------------------------------------------------------------- +library IEEE; +use IEEE.STD_LOGIC_1164.ALL; +use IEEE.STD_LOGIC_ARITH.ALL; +use IEEE.STD_LOGIC_UNSIGNED.ALL; + +---- Uncomment the following library declaration if instantiating +---- any Xilinx primitives in this code. +--library UNISIM; +--use UNISIM.VComponents.all; + +entity videogen is + Port ( ROW : in STD_LOGIC_VECTOR (9 downto 0); + COLUMN : in STD_LOGIC_VECTOR (9 downto 0); + CLK : in STD_LOGIC; + RED, GREEN, BLUE : out STD_LOGIC_VECTOR(3 downto 0); + VRAM_ADDR_O : out STD_LOGIC_VECTOR(9 downto 0); + VRAM_DATA_I : in STD_LOGIC_VECTOR(7 downto 0) + ); +end videogen; + +architecture Behavioral of videogen is +component charrom IS + port ( + clka: IN std_logic; + addra: IN std_logic_VECTOR(10 downto 0); + douta: OUT std_logic_VECTOR(7 downto 0)); +END component; + +signal chrx_i: std_logic_vector(2 downto 0) := "000"; -- h pos in char +signal chry_i: std_logic_vector(3 downto 0) := "0000"; -- v pos in char +signal scradrx_i: std_logic_vector(6 downto 0) := "0001010"; -- adr in ram line +signal scradry_i: std_logic_vector(11 downto 0) := X"3C0"; -- line ofs + -- in ram +signal oe_i, oe_d1, oe_d2, oe_d3, oe_o: std_logic := '0'; -- output enable + -- delay ff chain + +signal charrom_addr : std_logic_vector(10 downto 0); +signal charrom_data : std_logic_vector(7 downto 0); +signal out_i : std_logic; +begin + +adrgen: process (CLK) + begin + if rising_edge(CLK) then + chrx_i <= COLUMN(2 downto 0); + chry_i <= ROW(3 downto 0); + + if (COLUMN < 384) and (ROW < 256) then -- nascom 48x16 characters mode + oe_i <= '1'; + if (chrx_i = "111") and (COLUMN(2 downto 0) = "000") then + if scradrx_i = 57 then + scradrx_i <= "0001010"; + else + scradrx_i <= scradrx_i + 1; + end if; + end if; + else + oe_i <= '0'; + end if; + + if ROW < 256 then + if (not (chry_i = "0000")) and (ROW(3 downto 0) = "0000") then + if scradry_i = 960 then + scradry_i <= X"000"; + else + scradry_i <= scradry_i + 64; + end if; + end if; + end if; + + end if; + end process; + + VRAM_ADDR_O <= scradry_i(9 downto 0) + scradrx_i; + + charrom_addr(10 downto 4) <= VRAM_DATA_I(6 downto 0); + charrom_addr(3 downto 0) <= chry_i; + + charrom_inst: charrom port map( clka => CLK, + addra => charrom_addr, + douta => charrom_data); + + vgen: process (CLK) + variable bitmap: std_logic_vector(7 downto 0) := "00000000"; + begin + if rising_edge(CLK) then + if chrx_i = 3 then + bitmap := charrom_data; + end if; + out_i <= bitmap(conv_integer(2 - chrx_i)); + end if; + end process; + + oe_delay: process (CLK) + begin + if rising_edge(CLK) then + oe_d1 <= oe_i; + oe_d2 <= oe_d1; + oe_d3 <= oe_d2; + oe_o <= oe_d3; + end if; + end process; + +RED <= (others => out_i) when oe_o = '1' else "0000"; +GREEN <= (others => out_i) when oe_o = '1' else "0000"; +BLUE <= (others => out_i) when oe_o = '1' else "0000"; + +--CHRX <= chrx_i; +--CHRY <= chry_i; +--SCRADR <= scradrx_i + scradry_i; +--OE <= oe_i; +end Behavioral; + diff --git a/vsim.wlf b/vsim.wlf new file mode 100755 index 0000000000000000000000000000000000000000..d779e3ef589cc739febad9c5b8c1705b7fa152df GIT binary patch literal 40960 zcmeHQ4}4U`ou9YaO*SFm0>KLy>k^2jHbRI?Tq0nAMS=#15Hu<@2}vYrmTU~cMBS%R zgG3}4IMf)hM$1`Ls%b@~6*VZf(SinoCwf#LQE;4Bm{4a!;{~{PSpF|MnXaIY}S&6988yPcRJLwc! zf^cgSheRi9=^|-mQc+TAQn5%1Cap@UOT zDO)7XPr5QGFX`IMq}fSVC(VdT4-|`xVi5?e6j^1isJYrG?c5RCXy@1qMrh(e5pkAd zg?J=3B5CFLn6t%=+KRam%e8!Mm3#g;?G^tlkufo^ELc`iE2gBCO}weJIOv(UvZ}~A zVwMP1m1LJKn>bTsUztB|_PqQ#V)p#}tJCt+Cq#=2v=iqz_KWh=Sa%?E*hoigl=Gw` zIl>{bL@M+bao*b4jDpBX$q}we8BW)v$Lb=BoX$x}(LwFNcx{|GAX-ImVnyM~qR-j%C_QQ0$v=?jg=NtuAuH#F|i5xpU;*j_PINt$_FlicZwt z8|(aF=2cp$!y8$8QA9~y!&-Ml@v3UqQn%Z6cg!+TQd)RZS*WUTW6-%w{4VBFcSh`t zde+?l;G~ovAVJ zB4n9`&xJEZPBBLMAkN*Dn(QC%sJo7wPdQ4<={rLRo)uV46KIQ?h zYf;?B2zP1x6Qhd)W7@{LMrS3sVx~FISrhM!4p%$JWQ}#jq-c+i7=DNLTH;vOLa!?( znB+QVXz|R%*y6H^=s&I8Yqu)vj==1N?j6!xp-qI433Y-mFEgMAy9wa$U1; zaou}hu`4m^-aXMmB-Du~5n_0q+Trg(1HlhBgzp}9M>x>WrgwHUYKQhUYKdki9|sM~ zL6kGczgA=IJ85=-p0HBTw71+9ZUa4SIQgz|wAWC+k{ADmK}%V*_9uX+4C0XpRVVXy zur*+7;Qw9&*@riT+hYwo(YqJdDXvvFnl%iO*STD^{T0nj1^?EbSEOAaTZ(z@)3(qq zFtnZ}#Q0yoQqz2%Ph4%LwV-Q?Xs=;?KR`-@kyYx~X)_pefDbkranq9an!2QyYuG;0 zPRa;Md!y`7`xicNz-G-3>rA(sZD%gvckrLKQQFCPWIc#pM25Fsd!;7U$clzPg!N01?`-S>AKPq1 z|L?>4gSWk`KZIBZ=vK%b5??OmSmC=Y@F9>uzE0?@pvAAB3%+YLUG|^j$$@ksWuD)pyd5N>SK8*$_>b04}QvIr`#VKV?kb}U+3G6+7|(rN0mGGH)>D)eu>1l zb?(;`JQ;N4lX_w1?;xD`3Vo8#K9;`b-Ntb?LZ-@K%qu&oAD?hIHikL>IWOg0xUW;o zGI8q;fac>3VK-pC?~=a2_;v-gQE zO|~85`$mb&!*V)sOua}M5`f#V%;iZyek$^uf76gMu7BpB=Id(IDyC_2u8^;($JaNH zE)*g4sIzP$9$6;JCkQUstN+J#Xea8W9qLm!8;L;OiD8+zEWM&9d%w1t*E-rm9IsVH zFXt}wmHlH}c1RoE5gs+C{jdAPo+k|+MW2WMmA>xq)V_;#670{}kVV**-45C3=6UaO6ZV|4VNUdM=?lWc`4^8o zBW2On7Ch6X9YLA4w$`+@?0+fXo|oR%{LqKjW<9 zLYF5FbYH~|1>QL&%Yl9#jWldpFKj^qaO`8j8Dw$L)W9j;om(*eQmznN`tk0t)JMCU zW!li%(k^WsaICkpACJ|Ba&9noAM~BZ+X3Fz<}NMv(BSjkRmGnwu~-mhpe)hSNMke56Gctc%)1F zXGAypbLo1gCl&HJcNmG|IwuN|5+uT6JCYw`pv|Uf^ldWP?yXgfeX! z%g2y^Yp5pk?57tnwok2l{HP}$vHPb>r5@N1Lyj@u8-P3KXOczYBhW24JEe}AAiECu zdPHR}2D|pzAF*J+><`<~dewfd39u!I1&G$QasO+dde{yc+6(4)As_ogr_5K*->>aO z`Ko7}o@+2>l-=<@`tw@7_zmK5*g=kU0#f1#XWWi>`PxX&@mt)UL!@uV9D!n-|7P$8 zAj=P)qJ2(JGE%6lZ>$B%4JM3m(vC#R`&bZgx-8-l8HiKux*B=HIHrWteiE?Gl*PHw zjJcAB_E_hA>iz>-E8xbs82q{5ryRm)FBY4!krh)nI6bxR_{0wMk@o?@C_5Q6J51S! zEcdfiz()?-U6Tx)C&=Q5GBFARjA_$_pGP?P=Mf_g~TL>cBi#}B%J?gQ*k zCdQxgD1)-kecI)r>>&6FH|D!0ug6}<=6&FpMfPCxjBVJq>TTH{j^#r?G1m8lvyZ)y zq2@kev7ljE`_uu+v-cN`TH1_CStdLM_=ATr-V5K>j!wh91#R;KhQyc;pwC183p8jO z^1QYfI*|XTi+0yMaQ^O^<_}?mKlX`LDEGhj7}P>cmJ(ITNRL3qk(cXbfxNp=ueRfo z+dSFd1GTYgl1Q}g;K=go$&OR7_fVEJ-Cx{(d=Nf=KR!0W%4f79Lr`ukXeqA_>EnM` zQQZys90SrpHGKmxqVKNUm+pOR(M!hlAfs2#nycXjg)P@Pf@^t(ojv+7;eoC}8 zc2w()hae-l>fsv;CTzZu`epwXOnOxI(StS>_VpuObb|KHt_TlhTj%F-z!w2dSQBuW zWd}6UsCJzIp7qiu_%S9OkZZL!l^Y^G94pRgV*`xTLi8+;^z3`;Cx@A@1`o@vNY8Fa zzfEACG0z!Tfb>GZQZVm#LLctdCp6kaR?4*ogSt+bXI)Ggi0`fy7!#jZ2c4us&SA*o zTrr*p40{s`*p@>Z!UAWbSV1+o``|ca8tSHF^-VZ6D#81UT9hhGyg+*|Su1KV;5} z?naF?#PJ_xF~9Z0MkdnMV48?x#KP(lX@`D_I*Wo{GDuo%z_EKLF~tO)LA8?51Z)6$ zC#7ASI%Ue_w8^l?CtE*#wI*xR8hzW?HF_-S)R*n9Ia;w=CrqVYz&(3ILY13+N|$@jKa^Bh;Hy4Lu8t9d;VPVO5YdCoQH(B zjlQ#wj(E9Vs|ntaKdY*Qx~RqYu>J9nNnP}yy@aVW1#s#@l{ubSfL;AwNQ@Yb>l$-_ z{A&J`W*N_%9Q!BE*ej2xl{9t7n{>+S>t}}C05M~In^m5~OLfwQ3 z&iSG0673o>5qsH{L1FA=FPrG4hi8Q&PwvQyAt6nqhcHuDXc1?vN{tSd)m4<_=TGrZ zcHA%gv$z|tiIKJ3j2GOE=VrWy-FR-s3+~2qGhT2vo}2L=?#7D?PV&j+T}R~;+F{qB zmXydt!>S5fSEQBK`d#mQnLOpJr@e9*hdeyP5wpCcV#rgSMWuy9cb<3db}`1-2{qL6 z=o-EG*+%U+=4vMLZ#}eH{}6E}U}^844kL~zmL&tqN|EfJl6YSNkiq&G{7SiY-KTd<);?`>+)eSl{; z%Ebv&PTR)Sde2Esq=Kj6Im~_F-;F32xyV;jE+iBnKfcANmjdemXDYArd&2q`sk-of zS+7q=-1W)JHHU#`N*d;84ZBgaj$MaoV}uj=#=XcLw?+bZoh*O)A^n+o59z(z!@39c zrT?))^qBRSY|ig@$yiaa}jOUSOKeiHvK%3357Y~sK z5#t|Qqvruno7C#d6+MVKlXGQ%NhkX^!1Y^%iG?XK5fg%wQeNo}XoT{UAuk0wq^;s! zXz2rW(l6BUd6V7&9MihHjO&bbQ1=G`AA`F6B13P&IFSDvwU`^gf2(GZ;JB$V^gdvW z1LfpxS}kSOVr(gkp%}bVpIIbS9jPdDjEU=n9Ht#Vfj!$}jN#E*14h0vpJmB;#rdb^ zG3mDhcgro$>6~-zhziI4s(MJrb~2Td11a0c@^nPD;pmHv+T!#p2olWu+hO;o`1L86 zpM*894eRYKxG$hS-uGBuSzVkT3@tA!%AYhT-6!;8FPysX5ie@Ox;YNQq)CU-@4Gja zf+ntgweG3Lyh3C>1y49Vd6095$G8`=AGJr;NnF-{7;VOVT=4qZg0`tPGrtM)xPDp( z{vFeEkxSRN14elB!Y6h1rwur^pZTL#88G&-1Mq`C4vEyzlREnjW=TWbVc=*($m3lS z){mmxnwi^I-iCe>PJHpIkZ42Mk1_N@MgXyW^BO&QRah?o?0TFFV%`tAsvgo(SJVM< zB5TsLg6{+ZGq0FdkUj6xj2Yzxln)3r|>7BQ^MEj48wR9ZPcto{coP&04LwUunmur%d9{J{4@i}4&=Gr$eKeb-u znxtTnbe;y#9`mQ001*Ii@UUUE$ZiNWWd?sfq%~{|K~{ zTZyr4!#rp+<#s2p6@;mD58zzusB$}S2La={Pr-W3x>)91Vaj<#`OS&Oy3&JdnC;HO zcoC-3@qkmlDt80t1B~(&EC*%wh2=bxm+MNFv(Xo|u4I{!xD$Zqtu^vYt1WaaD_k$& zq-VLgA59O+>_hAMz@uK;0P4?)Yk{yF)W!6u*$0;ASmvo4^y>Pt%1Hp6x={QoO$D5~ zP~`&1X8*XZR4}%KdG@aq@ffa8D@^r23>>e|2VloGA^-ZH7P09v48{K$h_W+C4*`Fd zmMhaYkka;%hPGl4aQkK&b|VlB>*S-()U!Nok=2*oY2xBu2F)PP@~l_YZOsD=-<+`q z`S<0k^-mFVU=vCaRees-C_i}5`YtWg#MPgnKH5J<`jV`9mOX2YdjR)(1oAr|Z_e&T zq80ZBMi1gaz^rrmFv=W1IcG2)D(wNB&Vg18H9pl|dDV0&!&Jg#S%oW8QR=keSU4`8QsA3fQPzcO4)Kff|UMQ zmFF|Q@tp4yPUy?MOK7>~*zs9D6?q@a2=qmi(hu;;>3;AnC|3f`xwj2@^_(@3AG{8- ztbXuyC@VjBEAnUZgC7HaFJiap2WLMFz43Y7C#ItA!TjI>@cDrEBmN~{1pCtppEdhK zJ#$|*0GJ0c4w3y+eeCaxpdJc9Ltg~*mB`Z%&U`84)S*m2_zM^#$`0>Ff8eL3AAB3^ z7{|I3G{h0kX!V1yBfaSdZ#MH=A?p};=m$TH6wU4%YXO;4&9wsIq*Z=!FW_|D#Swu( zoNCwe$P-2#5U%{-nWikxh0gC{&kAj@`laYMJ_NWiE(ZS&@KX+9v=`5rvXMQ_55C1B zy8$$%rfjPpya{mnh!2~zJxITbA6y)U-b_Du4tS{F0OYH=;73~q@=LKlZ5TJ%AIRW5 zQ+{wS_z5@Wg5d|>4%zgBw_0TLxpyGhY=iQH?*x5+KlpaQtbXuzl*yy~;B{D&S^ePM zz&E^hx*z-)VAQj`-a(&-Jbi{skXQb_F!IU|p8Zp-iBh|?Gx@m<<`ogJsjz3cdH7P@{Qz41;FaK|AAB9^8q5#A2RN%AydLF&{NURF zKa(H44R|z3-h0|GCSi<))!xu=%&|I?A3Pa6^n>RjReo^hIo@$d=?Cvd`c?ektc&eo zkZTyz51t8~&=1}OIh-q2KX^O(KtK2n6Q|C43}h3m{h{5k`oSstOnz{-?J#KM9xU>` z$X|qKRl@5oGxi3ZxV~GVi%RUNrlJq*OdMbhq{ID`_9X@7QI{Eea`b%{|Lk->ILq(u zSt@8N3Mk}Jm&e}|*7HzC$*?u1{}z7mMP(~4FAJ8;DlI80uMCw{RC{mrc~kLsaoQ#6 zewn*ylGm3u#WyAWlC&w4y;x>>DTnJD2Krn22RF`vFD$N?V2%U4ad^t~`#D*@cvmR3_myh za0P(1FD#cd#B~5i8^g6e$5knME9lnGCn%KKC%sGqFfyz7zDHPAivZc@^!6 z->#F-5Tv>9<4lqFqs@Bf@7C$Pp#9nNOC0CL-lSG&+=R0$e)KL>ZeZb{}0u~lPOV-VG$`8YEDPqx!e(7jG&cB7Opw7wrtiJ zQ=e|sR?nI%%W7THaQ|kxUTFf3>#l61YX7s-u|Y3DxdV}V+1=U({T0ZG+6cevbF1`@ zqb<7o;}+ff-4?wAssGUyJ^r`IKd@O(Myy5jL%ynm4dQ-ZJLCG^@7LQ)~GQSj9Vwdh@YQ4d3!C=nV@&KH%L5jr0oXJ@C~a3@rsvi+Zoj)*S*Jq z-vPX$r`%q|*D{wFFq4)r_Tx+Qd8P^Nqi>3B^gP?B-4FTf$M;YsZ_aY#d0agr4y1$a zkYUvU*W`Q-ONBgCb21(&ic$xAP(O9B6)F4ShW;4Y?gqq9pabec=|JH~djvex0r85L zIw*MG&;k2q)d6`=AX;@mTEY&ZZwW}n(I!2aDS~`MItg!jd9#)tOu>z+fR z?}Yvk+FPC@QGS;O zq&y2Y*dBcWaC{$kt9d46pl|+s0!NuT8_4(87&%}(hje5R**W*&-GAWZIV7G38RXm) z-x1?}JcBxiL?2`TeWCx2bEg1j5IFZ3J8_QT7l=6Lb35mv^1mq@X>&n$r*Da%K2~Hc z7OKshS5q37^p(j^98=c61^DxtmIwvs{8YTev&?X0>p|IOp65~TZkzyY9&mgc?4b(d z3@~X0^xB(QjuU8qzW<*9oZA1FpZ5ZdYzWeU_W!d$ulD~H{kn4F3^7>b{(tAL%{t%R zs|2mu{~w5!^&Ec-=N~|)_W#L8ImGSRhxdKVGGWY9b}x7oj(P6?JCV{JFxdP5QVsS$ zTLZQRYz^2Nur=^^qk*sUZBvU9&cma{SK9w~KHaEYk(LYA480V4_wgw2#u)&vMeTC| z_PGGgDf?W2eJ+5$3Vxf}J{MqrpTzz?iE*Zhw$c7R3C?c8M?+oM-zPEVh5daJ`}-vJ z_et#Uli1%UG4@34?~~ZyC$YazVt=2+fivsX{a{+w9w}Y(#TLZQRYz^2Nur=_v)WBbJE&%Pa_y5grm)qY3u)hnS-q+_Q zmHk}+yu*NZ_3iHh*uPI=|2~QR`y^b$*uPJL-vz>Ng4n-LV*fsg{re>L?~~ZSPr|!` z;RBZkyNsg!T>$ep9PRG{*xv=PzYAc07vO*6_et#ie|!Jm;n*1FH~n8kw7&~reDl@* gE`a@A0CO2?C$